OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 26 to Rev 27
    Reverse comparison

Rev 26 → Rev 27

/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_prototype.ise Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/gpif_com_fsm.vhd
80,7 → 80,7
-- XST specific synthesize attributes
attribute safe_implementation: string;
attribute safe_recovery_state: string;
 
-----------------------------------------------------------------------------
-- FSM
94,8 → 94,8
inRQ, inACK, inWait, inTrans, inThrot,
inThrotBreak,inThrotBreak2, inThrotEnd,
endInTrans, -- in com states
outRQ, outTrans, outACK, outUSBwait, outFIFOwait,
endOutTrans); -- out com states
outRQ, outRQdelay, outTrans, outACK, outUSBwait,
outFIFOwait, endOutTrans); -- out com states
 
103,9 → 103,7
-- XST specific synthesize attributes
attribute safe_recovery_state of pr_state : signal is "idle";
attribute safe_implementation of pr_state : signal is "yes";
 
 
-- interconection signals
signal s_FIFOrst, s_RDYX, s_WRX, s_ABORT : std_logic;
361,9 → 359,24
elsif i_WRU = '1' and i_RDYU = '0' then
nx_state <= inRQ;
else
nx_state <= outACK;
nx_state <= outRQdelay;
end if;
 
when outRQdelay =>
-- output signal values:
s_WRX <= '1';
s_RDYX <= '0';
s_X2U_RD_EN <= '0';
 
-- state decisions
if i_WRU = '1' and i_RDYU = '1' then
nx_state <= rst;
elsif i_WRU = '1' and i_RDYU = '0' then
nx_state <= inRQ;
else
nx_state <= outACK;
end if;
 
when outACK =>
state_number := x"C";
-- output signal values:
415,6 → 428,8
-- state decisions
if i_WRU = '1' and i_RDYU = '1' then
nx_state <= rst;
elsif i_X2U_EMPTY = '1' and i_EOM = '1' then
nx_state <= endOutTrans;
elsif i_WRU = '0' and i_RDYU = '1' then
nx_state <= outTrans;
else
435,7 → 450,7
nx_state <= rst;
elsif i_X2U_EMPTY = '1' and i_EOM = '1' then
nx_state <= endOutTrans;
elsif i_X2U_EMPTY = '0' and i_EOM = '0' then
elsif i_X2U_EMPTY = '0' then
nx_state <= outTrans;
else
nx_state <= outFIFOwait;
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_fsm.vhd
96,6 → 96,7
-- XST specific synthesize attributes
attribute safe_implementation : string;
attribute safe_recovery_state : string;
attribute fsm_encoding : string;
 
type state_type is (st1_idle, st2_abort, st3_read_msg_id, st4_check_msg_id,
st5_read_nbtag, st6_read_transfer_size_low,
113,7 → 114,9
-- XST specific synthesize attributes
attribute safe_recovery_state of state : signal is "st1_idle";
attribute safe_implementation of state : signal is "yes";
attribute fsm_encoding of state : signal is "johnson";
 
--Declare internal signals for all outputs of the state-machine
signal s_receive_fifo_wr_en : std_logic;
signal s_receive_fifo_reset : std_logic;
350,7 → 353,12
state = st19_send_transfer_size_high or
state = st20_send_attributes or
state = st21_send_reserved))
or state = st22_send_data
or (state = st22_send_data and
i_gpif_tx_full = '0' and
i_send_fifo_empty = '0')
or (state = st23_send_wait and
i_gpif_tx_full = '0' and
i_send_fifo_empty = '0')
then
s_gpif_tx_wr_en <= '1';
end if;
376,7 → 384,9
end if;
when st2_abort =>
next_state <= st1_idle;
if i_gpif_abort = '0' then
next_state <= st1_idle;
end if;
when st3_read_msg_id =>
next_state <= st4_check_msg_id;
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_datapath.vhd
317,7 → 317,8
-- s_send_fifo_data, s_send_transfersize_reg
-- outputs: o_tx_data
tx_data_mux : process (i_send_mux_sel, i_send_have_more_data, s_btag,
s_nbtag, s_send_fifo_data, s_send_transfersize_reg)
s_msg_id, s_nbtag, s_send_fifo_data,
s_send_transfersize_reg)
begin -- process tx_data_mux
case i_send_mux_sel is
when "000" => o_tx_data <= s_btag & s_msg_id; -- MsgID and stored bTag
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test.cdc
1,5 → 1,5
#ChipScope Core Inserter Project File Version 3.0
#Fri Feb 19 13:36:39 CET 2010
#Mon Feb 22 22:07:31 CET 2010
Project.device.designInputFile=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test_cs.ngc
Project.device.designOutputFile=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test_cs.ngc
Project.device.deviceFamily=6
18,30 → 18,30
Project.unit<0>.clockChannel=i_sysclk_BUFGP
Project.unit<0>.clockEdge=Rising
Project.unit<0>.dataChannel<0>=GECKO3COM_simple_1 i_nReset
Project.unit<0>.dataChannel<100>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_9
Project.unit<0>.dataChannel<101>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_10
Project.unit<0>.dataChannel<102>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_11
Project.unit<0>.dataChannel<103>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_12
Project.unit<0>.dataChannel<104>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_13
Project.unit<0>.dataChannel<105>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_14
Project.unit<0>.dataChannel<106>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_15
Project.unit<0>.dataChannel<107>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_16
Project.unit<0>.dataChannel<108>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_17
Project.unit<0>.dataChannel<109>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_18
Project.unit<0>.dataChannel<100>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count9
Project.unit<0>.dataChannel<101>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count10
Project.unit<0>.dataChannel<102>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count11
Project.unit<0>.dataChannel<103>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count12
Project.unit<0>.dataChannel<104>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count13
Project.unit<0>.dataChannel<105>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count14
Project.unit<0>.dataChannel<106>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count15
Project.unit<0>.dataChannel<107>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count16
Project.unit<0>.dataChannel<108>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count17
Project.unit<0>.dataChannel<109>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count18
Project.unit<0>.dataChannel<10>=GECKO3COM_simple_1 s_btag_correct
Project.unit<0>.dataChannel<110>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_19
Project.unit<0>.dataChannel<111>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_20
Project.unit<0>.dataChannel<112>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_21
Project.unit<0>.dataChannel<113>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_22
Project.unit<0>.dataChannel<114>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_23
Project.unit<0>.dataChannel<115>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_24
Project.unit<0>.dataChannel<116>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_25
Project.unit<0>.dataChannel<117>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_26
Project.unit<0>.dataChannel<118>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_27
Project.unit<0>.dataChannel<119>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_28
Project.unit<0>.dataChannel<110>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count19
Project.unit<0>.dataChannel<111>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count20
Project.unit<0>.dataChannel<112>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count21
Project.unit<0>.dataChannel<113>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count22
Project.unit<0>.dataChannel<114>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count23
Project.unit<0>.dataChannel<115>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count24
Project.unit<0>.dataChannel<116>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count25
Project.unit<0>.dataChannel<117>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count26
Project.unit<0>.dataChannel<118>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count27
Project.unit<0>.dataChannel<119>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count28
Project.unit<0>.dataChannel<11>=GECKO3COM_simple_1 s_btag_reg_en
Project.unit<0>.dataChannel<120>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_29
Project.unit<0>.dataChannel<121>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_30
Project.unit<0>.dataChannel<120>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count29
Project.unit<0>.dataChannel<121>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count30
Project.unit<0>.dataChannel<122>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<0>
Project.unit<0>.dataChannel<123>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<1>
Project.unit<0>.dataChannel<124>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<2>
104,64 → 104,64
Project.unit<0>.dataChannel<44>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd3
Project.unit<0>.dataChannel<45>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd4
Project.unit<0>.dataChannel<46>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd5
Project.unit<0>.dataChannel<47>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd6
Project.unit<0>.dataChannel<48>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd7
Project.unit<0>.dataChannel<49>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd8
Project.unit<0>.dataChannel<47>=s_send_counter_equals_transfer_size
Project.unit<0>.dataChannel<48>=s_send_transfersize_en
Project.unit<0>.dataChannel<49>=s_send_fifo_data<0>
Project.unit<0>.dataChannel<4>=GECKO3COM_simple_1 o_receive_end_of_message
Project.unit<0>.dataChannel<50>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd9
Project.unit<0>.dataChannel<51>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd10
Project.unit<0>.dataChannel<52>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd11
Project.unit<0>.dataChannel<53>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd12
Project.unit<0>.dataChannel<54>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd13
Project.unit<0>.dataChannel<55>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd14
Project.unit<0>.dataChannel<56>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd15
Project.unit<0>.dataChannel<57>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd16
Project.unit<0>.dataChannel<58>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd17
Project.unit<0>.dataChannel<59>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd18
Project.unit<0>.dataChannel<50>=s_send_fifo_data<1>
Project.unit<0>.dataChannel<51>=s_send_fifo_data<2>
Project.unit<0>.dataChannel<52>=s_send_fifo_data<3>
Project.unit<0>.dataChannel<53>=s_send_fifo_data<4>
Project.unit<0>.dataChannel<54>=s_send_fifo_data<5>
Project.unit<0>.dataChannel<55>=s_send_fifo_data<6>
Project.unit<0>.dataChannel<56>=s_send_fifo_data<7>
Project.unit<0>.dataChannel<57>=s_send_fifo_data<8>
Project.unit<0>.dataChannel<58>=s_send_fifo_data<9>
Project.unit<0>.dataChannel<59>=s_send_fifo_data<10>
Project.unit<0>.dataChannel<5>=GECKO3COM_simple_1 o_receive_fifo_empty
Project.unit<0>.dataChannel<60>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd19
Project.unit<0>.dataChannel<61>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd20
Project.unit<0>.dataChannel<62>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd21
Project.unit<0>.dataChannel<63>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd22
Project.unit<0>.dataChannel<64>=s_send_have_more_data
Project.unit<0>.dataChannel<65>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 v_receive_fifo_empty_old
Project.unit<0>.dataChannel<66>=GECKO3COM_simple_1 o_receive_transfersize<31>
Project.unit<0>.dataChannel<67>=GECKO3COM_simple_1 o_receive_transfersize<3>
Project.unit<0>.dataChannel<68>=GECKO3COM_simple_1 o_receive_transfersize<4>
Project.unit<0>.dataChannel<69>=GECKO3COM_simple_1 o_receive_transfersize<5>
Project.unit<0>.dataChannel<60>=s_send_fifo_data<11>
Project.unit<0>.dataChannel<61>=s_send_fifo_data<12>
Project.unit<0>.dataChannel<62>=s_send_fifo_data<13>
Project.unit<0>.dataChannel<63>=s_send_fifo_data<14>
Project.unit<0>.dataChannel<64>=s_send_fifo_data<15>
Project.unit<0>.dataChannel<65>=s_send_fifo_data<16>
Project.unit<0>.dataChannel<66>=s_send_fifo_data<17>
Project.unit<0>.dataChannel<67>=s_send_fifo_data<18>
Project.unit<0>.dataChannel<68>=s_send_fifo_data<19>
Project.unit<0>.dataChannel<69>=s_send_fifo_data<20>
Project.unit<0>.dataChannel<6>=GECKO3COM_simple_1 o_receive_newdata
Project.unit<0>.dataChannel<70>=GECKO3COM_simple_1 o_receive_transfersize<6>
Project.unit<0>.dataChannel<71>=GECKO3COM_simple_1 o_receive_transfersize<7>
Project.unit<0>.dataChannel<72>=GECKO3COM_simple_1 o_receive_transfersize<8>
Project.unit<0>.dataChannel<73>=GECKO3COM_simple_1 o_receive_transfersize<9>
Project.unit<0>.dataChannel<74>=GECKO3COM_simple_1 s_gpif_rx_data<0>
Project.unit<0>.dataChannel<75>=GECKO3COM_simple_1 s_gpif_rx_data<10>
Project.unit<0>.dataChannel<76>=GECKO3COM_simple_1 s_gpif_rx_data<11>
Project.unit<0>.dataChannel<77>=GECKO3COM_simple_1 s_gpif_rx_data<12>
Project.unit<0>.dataChannel<78>=GECKO3COM_simple_1 s_gpif_rx_data<13>
Project.unit<0>.dataChannel<79>=GECKO3COM_simple_1 s_gpif_rx_data<14>
Project.unit<0>.dataChannel<70>=s_send_fifo_data<21>
Project.unit<0>.dataChannel<71>=s_send_fifo_data<22>
Project.unit<0>.dataChannel<72>=s_send_fifo_data<23>
Project.unit<0>.dataChannel<73>=s_send_have_more_data
Project.unit<0>.dataChannel<74>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd1
Project.unit<0>.dataChannel<75>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd2
Project.unit<0>.dataChannel<76>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd3
Project.unit<0>.dataChannel<77>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd4
Project.unit<0>.dataChannel<78>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd5
Project.unit<0>.dataChannel<79>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd6
Project.unit<0>.dataChannel<7>=GECKO3COM_simple_1 o_send_data_request
Project.unit<0>.dataChannel<80>=GECKO3COM_simple_1 s_gpif_rx_data<15>
Project.unit<0>.dataChannel<81>=GECKO3COM_simple_1 s_gpif_rx_data<1>
Project.unit<0>.dataChannel<82>=GECKO3COM_simple_1 s_gpif_rx_data<2>
Project.unit<0>.dataChannel<83>=GECKO3COM_simple_1 s_gpif_rx_data<3>
Project.unit<0>.dataChannel<84>=GECKO3COM_simple_1 s_gpif_rx_data<4>
Project.unit<0>.dataChannel<85>=GECKO3COM_simple_1 s_gpif_rx_data<5>
Project.unit<0>.dataChannel<86>=GECKO3COM_simple_1 s_gpif_rx_data<6>
Project.unit<0>.dataChannel<87>=GECKO3COM_simple_1 s_gpif_rx_data<7>
Project.unit<0>.dataChannel<88>=GECKO3COM_simple_1 s_gpif_rx_data<8>
Project.unit<0>.dataChannel<89>=GECKO3COM_simple_1 s_gpif_rx_data<9>
Project.unit<0>.dataChannel<80>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd7
Project.unit<0>.dataChannel<81>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd8
Project.unit<0>.dataChannel<82>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd9
Project.unit<0>.dataChannel<83>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd10
Project.unit<0>.dataChannel<84>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd11
Project.unit<0>.dataChannel<85>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd12
Project.unit<0>.dataChannel<86>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd13
Project.unit<0>.dataChannel<87>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd14
Project.unit<0>.dataChannel<88>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd15
Project.unit<0>.dataChannel<89>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd16
Project.unit<0>.dataChannel<8>=GECKO3COM_simple_1 o_send_fifo_full
Project.unit<0>.dataChannel<90>=GECKO3COM_simple_1 o_receive_transfersize<0>
Project.unit<0>.dataChannel<91>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_0
Project.unit<0>.dataChannel<92>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_1
Project.unit<0>.dataChannel<93>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_2
Project.unit<0>.dataChannel<94>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_3
Project.unit<0>.dataChannel<95>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_4
Project.unit<0>.dataChannel<96>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_5
Project.unit<0>.dataChannel<97>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_6
Project.unit<0>.dataChannel<98>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_7
Project.unit<0>.dataChannel<99>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_8
Project.unit<0>.dataChannel<91>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count
Project.unit<0>.dataChannel<92>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count1
Project.unit<0>.dataChannel<93>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count2
Project.unit<0>.dataChannel<94>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count3
Project.unit<0>.dataChannel<95>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count4
Project.unit<0>.dataChannel<96>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count5
Project.unit<0>.dataChannel<97>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count6
Project.unit<0>.dataChannel<98>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count7
Project.unit<0>.dataChannel<99>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count8
Project.unit<0>.dataChannel<9>=GECKO3COM_simple_1 o_send_finished
Project.unit<0>.dataDepth=512
Project.unit<0>.dataEqualsTrigger=false
174,7 → 174,7
Project.unit<0>.triggerChannel<0><0>=GECKO3COM_simple_1 s_dev_dep_msg_out
Project.unit<0>.triggerChannel<0><10>=s_send_transfersize_en
Project.unit<0>.triggerChannel<0><11>=s_transfer_size_reg_en
Project.unit<0>.triggerChannel<0><1>=GECKO3COM_simple_1 s_btag_correct
Project.unit<0>.triggerChannel<0><1>=GECKO3COM_simple_1 s_gpif_tx_full
Project.unit<0>.triggerChannel<0><2>=GECKO3COM_simple_1 s_request_dev_dep_msg_in
Project.unit<0>.triggerChannel<0><3>=GECKO3COM_simple_1 s_gpif_rx_rd_en
Project.unit<0>.triggerChannel<0><4>=GECKO3COM_simple_1 s_btag_reg_en
181,7 → 181,7
Project.unit<0>.triggerChannel<0><5>=GECKO3COM_simple_1 s_gpif_abort
Project.unit<0>.triggerChannel<0><6>=s_send_data_request
Project.unit<0>.triggerChannel<0><7>=s_send_fifo_full
Project.unit<0>.triggerChannel<0><8>=s_send_fifo_wr_en
Project.unit<0>.triggerChannel<0><8>=GECKO3COM_simple_1 i_send_fifo_wr_en
Project.unit<0>.triggerChannel<0><9>=s_send_have_more_data
Project.unit<0>.triggerConditionCountWidth=0
Project.unit<0>.triggerMatchCount<0>=2
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_prototype.xise
84,6 → 84,9
<association xil_pn:name="BehavioralSimulation"/>
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="GECKO3COM_simple_test.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation"/>
</file>
<file xil_pn:name="GECKO3COM_simple_test.cdc" xil_pn:type="FILE_CDC">
<association xil_pn:name="Implementation"/>
</file>
100,6 → 103,7
 
<properties>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="true"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="false"/>
<property xil_pn:name="Command line syntax" xil_pn:value="emacsclient +$2 $1"/>
<property xil_pn:name="Compiled Library Directory" xil_pn:value="lib"/>
<property xil_pn:name="Constraints Entry" xil_pn:value="Constraints Editor"/>
106,23 → 110,39
<property xil_pn:name="Device" xil_pn:value="xc3s1500"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan3"/>
<property xil_pn:name="Editor" xil_pn:value="Custom"/>
<property xil_pn:name="Extra Effort" xil_pn:value="Normal" xil_pn:x_locked="true"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="Normal" xil_pn:x_locked="true"/>
<property xil_pn:name="Fitter Report Format" xil_pn:value="HTML"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="VHDL"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|GECKO3COM_simple_test|behavour"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/GECKO3COM_simple_test"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="Soft"/>
<property xil_pn:name="Last Applied Goal" xil_pn:value="Timing Performance"/>
<property xil_pn:name="Last Applied Strategy" xil_pn:value="Performance without IOB Packing;/home/chrigi/bin/11.1/ISE/spartan3/data/spartan3_performance_without_iobpacking.xds"/>
<property xil_pn:name="Map Effort Level" xil_pn:value="High" xil_pn:x_locked="true"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="High" xil_pn:x_locked="true"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:x_locked="true"/>
<property xil_pn:name="Optimization Strategy (Cover Mode)" xil_pn:value="Speed"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="true"/>
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Architecture|GECKO3COM_simple|Behavioral"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="usb_tmc_com"/>
<property xil_pn:name="PROP_mapSmartGuideFileName" xil_pn:value=""/>
<property xil_pn:name="PROP_parSmartGuideFileName" xil_pn:value=""/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="For Inputs and Outputs"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="No" xil_pn:x_locked="true"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:x_locked="true"/>
<property xil_pn:name="Package" xil_pn:value="fg676"/>
<property xil_pn:name="Perform Timing-Driven Packing" xil_pn:value="true" xil_pn:x_locked="true"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:x_locked="true"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:x_locked="true"/>
<property xil_pn:name="Placer Effort Level (Overrides Overall Level)" xil_pn:value="High" xil_pn:x_locked="true"/>
<property xil_pn:name="Preferred Language" xil_pn:value="Verilog"/>
<property xil_pn:name="Register Balancing" xil_pn:value="Yes" xil_pn:x_locked="true"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="false"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="false"/>
<property xil_pn:name="Report Type" xil_pn:value="Error Report"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Error Report"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="yes"/>
<property xil_pn:name="Router Effort Level (Overrides Overall Level)" xil_pn:value="High" xil_pn:x_locked="true"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="Yes"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="Architecture|GECKO3COM_simple|Behavioral"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="Architecture|gpif_com_test_tb|simulation"/>
133,6 → 153,7
<property xil_pn:name="Simulator Path" xil_pn:value="/opt/mentorGraphics/modeltech/bin/"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-4"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)"/>
<property xil_pn:name="Target UCF File Name" xil_pn:value="GECKO3COM_simple_test.ucf"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL"/>
<property xil_pn:name="Verbose Property Persistence" xil_pn:value="false"/>
<property xil_pn:name="iMPACT Project File" xil_pn:value="GECKO3COM.ipf"/>
140,6 → 161,7
 
<bindings>
<binding xil_pn:location="/gpif_com_test" xil_pn:name="GECKO3main_prototype.ucf"/>
<binding xil_pn:location="/gpif_com_test" xil_pn:name="GECKO3COM_simple_test.ucf"/>
<binding xil_pn:location="/GECKO3COM_simple_test" xil_pn:name="GECKO3COM_simple_test.cdc"/>
</bindings>
 
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test.cpj
1,5 → 1,5
#ChipScope Pro Analyzer Project File, Version 3.0
#Thu Feb 18 16:29:57 CET 2010
#Mon Feb 22 18:02:47 CET 2010
deviceChain.deviceName0=XC3S1500
deviceChain.iRLength0=6
deviceChain.name0=MyDevice0
8,8 → 8,8
import.dir=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/
import.filename=GECKO3COM_simple_test.cdc
import.unitDeviceIdx=0
mdiAreaHeight=0.8265503875968992
mdiAreaHeightLast=0.689922480620155
mdiAreaHeight=0.8258317025440313
mdiAreaHeightLast=0.6898238747553816
mdiCount=2
mdiDevice0=0
mdiDevice1=0
17,23 → 17,24
mdiType1=0
mdiUnit0=0
mdiUnit1=0
navigatorHeight=0.17926356589147288
navigatorHeightLast=0.17926356589147288
navigatorWidth=0.19253554502369669
navigatorWidthLast=0.49111374407582936
navigatorHeight=0.31604696673189825
navigatorHeightLast=0.17906066536203522
navigatorWidth=0.11407103825136612
navigatorWidthLast=0.2889344262295082
unit.-1.-1.username=
unit.0.0.0.HEIGHT0=0.45882353
unit.0.-1.username=
unit.0.0.0.HEIGHT0=0.4589774
unit.0.0.0.TriggerRow0=1
unit.0.0.0.TriggerRow1=1
unit.0.0.0.TriggerRow2=1
unit.0.0.0.WIDTH0=1.0104244
unit.0.0.0.WIDTH0=1.0571653
unit.0.0.0.X0=0.0
unit.0.0.0.Y0=0.0
unit.0.0.1.HEIGHT1=0.9082353
unit.0.0.1.WIDTH1=1.0781832
unit.0.0.1.X1=0.0
unit.0.0.1.Y1=0.08117647
unit.0.0.MFBitsA0=XXXX1XXXXXXX
unit.0.0.1.HEIGHT1=0.9084423
unit.0.0.1.WIDTH1=1.0563822
unit.0.0.1.X1=-0.0031323414
unit.0.0.1.Y1=0.10463734
unit.0.0.MFBitsA0=XXXXX1XXXXXX
unit.0.0.MFBitsA1=XXXXXXXXXXXX
unit.0.0.MFBitsB0=000000000000
unit.0.0.MFBitsB1=000000000000
52,8 → 53,8
unit.0.0.TCActive=0
unit.0.0.TCAdvanced0=0
unit.0.0.TCCondition0_0=M0
unit.0.0.TCCondition0_1=M0 --> M0
unit.0.0.TCConditionType0=1
unit.0.0.TCCondition0_1=M0
unit.0.0.TCConditionType0=0
unit.0.0.TCCount=1
unit.0.0.TCEventCount0=1
unit.0.0.TCEventType0=3
64,9 → 65,15
unit.0.0.browser_tree_state</GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_1>=0
unit.0.0.browser_tree_state</GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag>=0
unit.0.0.browser_tree_state</GECKO3COM_simple_1/o_receive_transfersize>=0
unit.0.0.browser_tree_state</GECKO3COM_simple_1/s_send_mux_sel>=0
unit.0.0.browser_tree_state</s_send_fifo_data>=0
unit.0.0.browser_tree_state<Data\ Port>=1
unit.0.0.browser_tree_state<GECKO3COM_simple_fsm_1/state_FSM_FFd1>=0
unit.0.0.browser_tree_state<Mcount_s_receive_transfersize_count_1>=1
unit.0.0.browser_tree_state<Trigger\ Ports>=1
unit.0.0.browser_tree_state<TriggerPort0>=1
unit.0.0.browser_tree_state<o_receive_transfersize>=0
unit.0.0.browser_tree_state<s_receive_transfersize_en>=0
unit.0.0.coretype=ILA
unit.0.0.eventCount0=1
unit.0.0.eventCount1=1
98,10 → 105,24
unit.0.0.port.-1.b.1.unsignedPrecision=0
unit.0.0.port.-1.b.1.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.1.visible=1
unit.0.0.port.-1.b.2.alias=GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.port.-1.b.2.channellist=42 53 64 43 44 45 46 47 48 49 50 51 52 54 55 56 57 58 59 60 61 62 63
unit.0.0.port.-1.b.10.alias=s_receive_transfersize_en
unit.0.0.port.-1.b.10.channellist=29 30
unit.0.0.port.-1.b.10.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.10.name=/GECKO3COM_simple_1/s_receive_transfersize_en
unit.0.0.port.-1.b.10.orderindex=-1
unit.0.0.port.-1.b.10.radix=Hex
unit.0.0.port.-1.b.10.signedOffset=0.0
unit.0.0.port.-1.b.10.signedPrecision=0
unit.0.0.port.-1.b.10.signedScaleFactor=1.0
unit.0.0.port.-1.b.10.tokencount=0
unit.0.0.port.-1.b.10.unsignedOffset=0.0
unit.0.0.port.-1.b.10.unsignedPrecision=0
unit.0.0.port.-1.b.10.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.10.visible=1
unit.0.0.port.-1.b.2.alias=/s_send_fifo_data
unit.0.0.port.-1.b.2.channellist=49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72
unit.0.0.port.-1.b.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.2.name=/GECKO3COM_simple_1/o_receive_transfersize
unit.0.0.port.-1.b.2.name=/s_send_fifo_data
unit.0.0.port.-1.b.2.orderindex=-1
unit.0.0.port.-1.b.2.radix=Hex
unit.0.0.port.-1.b.2.signedOffset=0.0
112,10 → 133,10
unit.0.0.port.-1.b.2.unsignedPrecision=0
unit.0.0.port.-1.b.2.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.2.visible=1
unit.0.0.port.-1.b.3.alias=Mcount_s_receive_transfersize_count_eqn
unit.0.0.port.-1.b.3.channellist=91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121
unit.0.0.port.-1.b.3.alias=GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.port.-1.b.3.channellist=46 45 44 43 42
unit.0.0.port.-1.b.3.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.3.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn
unit.0.0.port.-1.b.3.name=/GECKO3COM_simple_1/o_receive_transfersize
unit.0.0.port.-1.b.3.orderindex=-1
unit.0.0.port.-1.b.3.radix=Hex
unit.0.0.port.-1.b.3.signedOffset=0.0
126,10 → 147,10
unit.0.0.port.-1.b.3.unsignedPrecision=0
unit.0.0.port.-1.b.3.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.3.visible=1
unit.0.0.port.-1.b.4.alias=s_btag
unit.0.0.port.-1.b.4.channellist=122 123 124 125 126 127 128 129
unit.0.0.port.-1.b.4.alias=Mcount_s_send_transfersize_coun
unit.0.0.port.-1.b.4.channellist=91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121
unit.0.0.port.-1.b.4.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.4.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag
unit.0.0.port.-1.b.4.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_coun
unit.0.0.port.-1.b.4.orderindex=-1
unit.0.0.port.-1.b.4.radix=Hex
unit.0.0.port.-1.b.4.signedOffset=0.0
140,10 → 161,10
unit.0.0.port.-1.b.4.unsignedPrecision=0
unit.0.0.port.-1.b.4.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.4.visible=1
unit.0.0.port.-1.b.5.alias=s_msg_id
unit.0.0.port.-1.b.5.channellist=130 131 132 133 134 135 136 137
unit.0.0.port.-1.b.5.alias=s_btag
unit.0.0.port.-1.b.5.channellist=122 123 124 125 126 127 128 129
unit.0.0.port.-1.b.5.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.5.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id
unit.0.0.port.-1.b.5.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_btag
unit.0.0.port.-1.b.5.orderindex=-1
unit.0.0.port.-1.b.5.radix=Hex
unit.0.0.port.-1.b.5.signedOffset=0.0
154,10 → 175,10
unit.0.0.port.-1.b.5.unsignedPrecision=0
unit.0.0.port.-1.b.5.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.5.visible=1
unit.0.0.port.-1.b.6.alias=s_nbtag
unit.0.0.port.-1.b.6.channellist=138 139 140 141 142 143 144 145
unit.0.0.port.-1.b.6.alias=s_msg_id
unit.0.0.port.-1.b.6.channellist=130 131 132 133 134 135 136 137
unit.0.0.port.-1.b.6.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.6.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag
unit.0.0.port.-1.b.6.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_msg_id
unit.0.0.port.-1.b.6.orderindex=-1
unit.0.0.port.-1.b.6.radix=Hex
unit.0.0.port.-1.b.6.signedOffset=0.0
168,10 → 189,10
unit.0.0.port.-1.b.6.unsignedPrecision=0
unit.0.0.port.-1.b.6.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.6.visible=1
unit.0.0.port.-1.b.7.alias=s_receive_transfersize_en
unit.0.0.port.-1.b.7.channellist=29 30
unit.0.0.port.-1.b.7.alias=s_nbtag
unit.0.0.port.-1.b.7.channellist=138 139 140 141 142 143 144 145
unit.0.0.port.-1.b.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.7.name=/GECKO3COM_simple_1/s_receive_transfersize_en
unit.0.0.port.-1.b.7.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag
unit.0.0.port.-1.b.7.orderindex=-1
unit.0.0.port.-1.b.7.radix=Hex
unit.0.0.port.-1.b.7.signedOffset=0.0
182,7 → 203,35
unit.0.0.port.-1.b.7.unsignedPrecision=0
unit.0.0.port.-1.b.7.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.7.visible=1
unit.0.0.port.-1.buscount=8
unit.0.0.port.-1.b.8.alias=s_receive_transfersize_en
unit.0.0.port.-1.b.8.channellist=29 30
unit.0.0.port.-1.b.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.8.name=/GECKO3COM_simple_1/s_receive_transfersize_en
unit.0.0.port.-1.b.8.orderindex=-1
unit.0.0.port.-1.b.8.radix=Hex
unit.0.0.port.-1.b.8.signedOffset=0.0
unit.0.0.port.-1.b.8.signedPrecision=0
unit.0.0.port.-1.b.8.signedScaleFactor=1.0
unit.0.0.port.-1.b.8.tokencount=0
unit.0.0.port.-1.b.8.unsignedOffset=0.0
unit.0.0.port.-1.b.8.unsignedPrecision=0
unit.0.0.port.-1.b.8.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.8.visible=1
unit.0.0.port.-1.b.9.alias=s_receive_transfersize_en
unit.0.0.port.-1.b.9.channellist=29 30
unit.0.0.port.-1.b.9.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.b.9.name=/GECKO3COM_simple_1/s_receive_transfersize_en
unit.0.0.port.-1.b.9.orderindex=-1
unit.0.0.port.-1.b.9.radix=Hex
unit.0.0.port.-1.b.9.signedOffset=0.0
unit.0.0.port.-1.b.9.signedPrecision=0
unit.0.0.port.-1.b.9.signedScaleFactor=1.0
unit.0.0.port.-1.b.9.tokencount=0
unit.0.0.port.-1.b.9.unsignedOffset=0.0
unit.0.0.port.-1.b.9.unsignedPrecision=0
unit.0.0.port.-1.b.9.unsignedScaleFactor=1.0
unit.0.0.port.-1.b.9.visible=1
unit.0.0.port.-1.buscount=9
unit.0.0.port.-1.channelcount=146
unit.0.0.port.-1.s.0.alias=
unit.0.0.port.-1.s.0.color=java.awt.Color[r\=0,g\=0,b\=124]
201,52 → 250,52
unit.0.0.port.-1.s.10.visible=1
unit.0.0.port.-1.s.100.alias=
unit.0.0.port.-1.s.100.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_9
unit.0.0.port.-1.s.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count9
unit.0.0.port.-1.s.100.orderindex=-1
unit.0.0.port.-1.s.100.visible=0
unit.0.0.port.-1.s.101.alias=
unit.0.0.port.-1.s.101.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_10
unit.0.0.port.-1.s.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count10
unit.0.0.port.-1.s.101.orderindex=-1
unit.0.0.port.-1.s.101.visible=0
unit.0.0.port.-1.s.102.alias=
unit.0.0.port.-1.s.102.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_11
unit.0.0.port.-1.s.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count11
unit.0.0.port.-1.s.102.orderindex=-1
unit.0.0.port.-1.s.102.visible=0
unit.0.0.port.-1.s.103.alias=
unit.0.0.port.-1.s.103.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_12
unit.0.0.port.-1.s.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count12
unit.0.0.port.-1.s.103.orderindex=-1
unit.0.0.port.-1.s.103.visible=0
unit.0.0.port.-1.s.104.alias=
unit.0.0.port.-1.s.104.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_13
unit.0.0.port.-1.s.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count13
unit.0.0.port.-1.s.104.orderindex=-1
unit.0.0.port.-1.s.104.visible=0
unit.0.0.port.-1.s.105.alias=
unit.0.0.port.-1.s.105.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_14
unit.0.0.port.-1.s.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count14
unit.0.0.port.-1.s.105.orderindex=-1
unit.0.0.port.-1.s.105.visible=0
unit.0.0.port.-1.s.106.alias=
unit.0.0.port.-1.s.106.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_15
unit.0.0.port.-1.s.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count15
unit.0.0.port.-1.s.106.orderindex=-1
unit.0.0.port.-1.s.106.visible=0
unit.0.0.port.-1.s.107.alias=
unit.0.0.port.-1.s.107.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_16
unit.0.0.port.-1.s.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count16
unit.0.0.port.-1.s.107.orderindex=-1
unit.0.0.port.-1.s.107.visible=0
unit.0.0.port.-1.s.108.alias=
unit.0.0.port.-1.s.108.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_17
unit.0.0.port.-1.s.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count17
unit.0.0.port.-1.s.108.orderindex=-1
unit.0.0.port.-1.s.108.visible=0
unit.0.0.port.-1.s.109.alias=
unit.0.0.port.-1.s.109.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_18
unit.0.0.port.-1.s.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count18
unit.0.0.port.-1.s.109.orderindex=-1
unit.0.0.port.-1.s.109.visible=0
unit.0.0.port.-1.s.11.alias=
256,52 → 305,52
unit.0.0.port.-1.s.11.visible=1
unit.0.0.port.-1.s.110.alias=
unit.0.0.port.-1.s.110.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_19
unit.0.0.port.-1.s.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count19
unit.0.0.port.-1.s.110.orderindex=-1
unit.0.0.port.-1.s.110.visible=0
unit.0.0.port.-1.s.111.alias=
unit.0.0.port.-1.s.111.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_20
unit.0.0.port.-1.s.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count20
unit.0.0.port.-1.s.111.orderindex=-1
unit.0.0.port.-1.s.111.visible=0
unit.0.0.port.-1.s.112.alias=
unit.0.0.port.-1.s.112.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_21
unit.0.0.port.-1.s.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count21
unit.0.0.port.-1.s.112.orderindex=-1
unit.0.0.port.-1.s.112.visible=0
unit.0.0.port.-1.s.113.alias=
unit.0.0.port.-1.s.113.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_22
unit.0.0.port.-1.s.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count22
unit.0.0.port.-1.s.113.orderindex=-1
unit.0.0.port.-1.s.113.visible=0
unit.0.0.port.-1.s.114.alias=
unit.0.0.port.-1.s.114.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_23
unit.0.0.port.-1.s.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count23
unit.0.0.port.-1.s.114.orderindex=-1
unit.0.0.port.-1.s.114.visible=0
unit.0.0.port.-1.s.115.alias=
unit.0.0.port.-1.s.115.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_24
unit.0.0.port.-1.s.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count24
unit.0.0.port.-1.s.115.orderindex=-1
unit.0.0.port.-1.s.115.visible=0
unit.0.0.port.-1.s.116.alias=
unit.0.0.port.-1.s.116.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_25
unit.0.0.port.-1.s.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count25
unit.0.0.port.-1.s.116.orderindex=-1
unit.0.0.port.-1.s.116.visible=0
unit.0.0.port.-1.s.117.alias=
unit.0.0.port.-1.s.117.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_26
unit.0.0.port.-1.s.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count26
unit.0.0.port.-1.s.117.orderindex=-1
unit.0.0.port.-1.s.117.visible=0
unit.0.0.port.-1.s.118.alias=
unit.0.0.port.-1.s.118.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_27
unit.0.0.port.-1.s.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count27
unit.0.0.port.-1.s.118.orderindex=-1
unit.0.0.port.-1.s.118.visible=0
unit.0.0.port.-1.s.119.alias=
unit.0.0.port.-1.s.119.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_28
unit.0.0.port.-1.s.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count28
unit.0.0.port.-1.s.119.orderindex=-1
unit.0.0.port.-1.s.119.visible=0
unit.0.0.port.-1.s.12.alias=
311,12 → 360,12
unit.0.0.port.-1.s.12.visible=1
unit.0.0.port.-1.s.120.alias=
unit.0.0.port.-1.s.120.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_29
unit.0.0.port.-1.s.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count29
unit.0.0.port.-1.s.120.orderindex=-1
unit.0.0.port.-1.s.120.visible=0
unit.0.0.port.-1.s.121.alias=
unit.0.0.port.-1.s.121.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_30
unit.0.0.port.-1.s.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count30
unit.0.0.port.-1.s.121.orderindex=-1
unit.0.0.port.-1.s.121.visible=0
unit.0.0.port.-1.s.122.alias=
603,40 → 652,40
unit.0.0.port.-1.s.42.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.42.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.port.-1.s.42.orderindex=-1
unit.0.0.port.-1.s.42.visible=0
unit.0.0.port.-1.s.42.visible=1
unit.0.0.port.-1.s.43.alias=
unit.0.0.port.-1.s.43.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.43.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd2
unit.0.0.port.-1.s.43.orderindex=-1
unit.0.0.port.-1.s.43.visible=0
unit.0.0.port.-1.s.43.visible=1
unit.0.0.port.-1.s.44.alias=
unit.0.0.port.-1.s.44.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.44.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd3
unit.0.0.port.-1.s.44.orderindex=-1
unit.0.0.port.-1.s.44.visible=0
unit.0.0.port.-1.s.44.visible=1
unit.0.0.port.-1.s.45.alias=
unit.0.0.port.-1.s.45.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.45.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd4
unit.0.0.port.-1.s.45.orderindex=-1
unit.0.0.port.-1.s.45.visible=0
unit.0.0.port.-1.s.45.visible=1
unit.0.0.port.-1.s.46.alias=
unit.0.0.port.-1.s.46.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.46.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd5
unit.0.0.port.-1.s.46.orderindex=-1
unit.0.0.port.-1.s.46.visible=0
unit.0.0.port.-1.s.46.visible=1
unit.0.0.port.-1.s.47.alias=
unit.0.0.port.-1.s.47.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.47.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd6
unit.0.0.port.-1.s.47.name=/s_send_counter_equals_transfer_size
unit.0.0.port.-1.s.47.orderindex=-1
unit.0.0.port.-1.s.47.visible=0
unit.0.0.port.-1.s.47.visible=1
unit.0.0.port.-1.s.48.alias=
unit.0.0.port.-1.s.48.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.48.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd7
unit.0.0.port.-1.s.48.name=/s_send_transfersize_en
unit.0.0.port.-1.s.48.orderindex=-1
unit.0.0.port.-1.s.48.visible=0
unit.0.0.port.-1.s.48.visible=1
unit.0.0.port.-1.s.49.alias=
unit.0.0.port.-1.s.49.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.49.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd8
unit.0.0.port.-1.s.49.name=/s_send_fifo_data<0>
unit.0.0.port.-1.s.49.orderindex=-1
unit.0.0.port.-1.s.49.visible=0
unit.0.0.port.-1.s.5.alias=
646,52 → 695,52
unit.0.0.port.-1.s.5.visible=1
unit.0.0.port.-1.s.50.alias=
unit.0.0.port.-1.s.50.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.50.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd9
unit.0.0.port.-1.s.50.name=/s_send_fifo_data<1>
unit.0.0.port.-1.s.50.orderindex=-1
unit.0.0.port.-1.s.50.visible=0
unit.0.0.port.-1.s.51.alias=
unit.0.0.port.-1.s.51.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.51.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd10
unit.0.0.port.-1.s.51.name=/s_send_fifo_data<2>
unit.0.0.port.-1.s.51.orderindex=-1
unit.0.0.port.-1.s.51.visible=0
unit.0.0.port.-1.s.52.alias=
unit.0.0.port.-1.s.52.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.52.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd11
unit.0.0.port.-1.s.52.name=/s_send_fifo_data<3>
unit.0.0.port.-1.s.52.orderindex=-1
unit.0.0.port.-1.s.52.visible=0
unit.0.0.port.-1.s.53.alias=
unit.0.0.port.-1.s.53.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.53.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd12
unit.0.0.port.-1.s.53.name=/s_send_fifo_data<4>
unit.0.0.port.-1.s.53.orderindex=-1
unit.0.0.port.-1.s.53.visible=0
unit.0.0.port.-1.s.54.alias=
unit.0.0.port.-1.s.54.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.54.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd13
unit.0.0.port.-1.s.54.name=/s_send_fifo_data<5>
unit.0.0.port.-1.s.54.orderindex=-1
unit.0.0.port.-1.s.54.visible=0
unit.0.0.port.-1.s.55.alias=
unit.0.0.port.-1.s.55.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.55.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd14
unit.0.0.port.-1.s.55.name=/s_send_fifo_data<6>
unit.0.0.port.-1.s.55.orderindex=-1
unit.0.0.port.-1.s.55.visible=0
unit.0.0.port.-1.s.56.alias=
unit.0.0.port.-1.s.56.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.56.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd15
unit.0.0.port.-1.s.56.name=/s_send_fifo_data<7>
unit.0.0.port.-1.s.56.orderindex=-1
unit.0.0.port.-1.s.56.visible=0
unit.0.0.port.-1.s.57.alias=
unit.0.0.port.-1.s.57.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.57.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd16
unit.0.0.port.-1.s.57.name=/s_send_fifo_data<8>
unit.0.0.port.-1.s.57.orderindex=-1
unit.0.0.port.-1.s.57.visible=0
unit.0.0.port.-1.s.58.alias=
unit.0.0.port.-1.s.58.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.58.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd17
unit.0.0.port.-1.s.58.name=/s_send_fifo_data<9>
unit.0.0.port.-1.s.58.orderindex=-1
unit.0.0.port.-1.s.58.visible=0
unit.0.0.port.-1.s.59.alias=
unit.0.0.port.-1.s.59.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.59.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd18
unit.0.0.port.-1.s.59.name=/s_send_fifo_data<10>
unit.0.0.port.-1.s.59.orderindex=-1
unit.0.0.port.-1.s.59.visible=0
unit.0.0.port.-1.s.6.alias=
701,54 → 750,54
unit.0.0.port.-1.s.6.visible=1
unit.0.0.port.-1.s.60.alias=
unit.0.0.port.-1.s.60.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.60.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd19
unit.0.0.port.-1.s.60.name=/s_send_fifo_data<11>
unit.0.0.port.-1.s.60.orderindex=-1
unit.0.0.port.-1.s.60.visible=0
unit.0.0.port.-1.s.61.alias=
unit.0.0.port.-1.s.61.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.61.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd20
unit.0.0.port.-1.s.61.name=/s_send_fifo_data<12>
unit.0.0.port.-1.s.61.orderindex=-1
unit.0.0.port.-1.s.61.visible=0
unit.0.0.port.-1.s.62.alias=
unit.0.0.port.-1.s.62.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.62.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd21
unit.0.0.port.-1.s.62.name=/s_send_fifo_data<13>
unit.0.0.port.-1.s.62.orderindex=-1
unit.0.0.port.-1.s.62.visible=0
unit.0.0.port.-1.s.63.alias=
unit.0.0.port.-1.s.63.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.63.name=/GECKO3COM_simple_1/GECKO3COM_simple_fsm_1/state_FSM_FFd22
unit.0.0.port.-1.s.63.name=/s_send_fifo_data<14>
unit.0.0.port.-1.s.63.orderindex=-1
unit.0.0.port.-1.s.63.visible=0
unit.0.0.port.-1.s.64.alias=
unit.0.0.port.-1.s.64.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.64.name=/s_send_have_more_data
unit.0.0.port.-1.s.64.name=/s_send_fifo_data<15>
unit.0.0.port.-1.s.64.orderindex=-1
unit.0.0.port.-1.s.64.visible=0
unit.0.0.port.-1.s.65.alias=v_receive_fifo_empty_old
unit.0.0.port.-1.s.65.alias=
unit.0.0.port.-1.s.65.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.65.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/v_receive_fifo_empty_old
unit.0.0.port.-1.s.65.name=/s_send_fifo_data<16>
unit.0.0.port.-1.s.65.orderindex=-1
unit.0.0.port.-1.s.65.visible=1
unit.0.0.port.-1.s.65.visible=0
unit.0.0.port.-1.s.66.alias=
unit.0.0.port.-1.s.66.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.66.name=/GECKO3COM_simple_1/o_receive_transfersize<31>
unit.0.0.port.-1.s.66.name=/s_send_fifo_data<17>
unit.0.0.port.-1.s.66.orderindex=-1
unit.0.0.port.-1.s.66.visible=1
unit.0.0.port.-1.s.66.visible=0
unit.0.0.port.-1.s.67.alias=
unit.0.0.port.-1.s.67.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.67.name=/GECKO3COM_simple_1/o_receive_transfersize<3>
unit.0.0.port.-1.s.67.name=/s_send_fifo_data<18>
unit.0.0.port.-1.s.67.orderindex=-1
unit.0.0.port.-1.s.67.visible=1
unit.0.0.port.-1.s.67.visible=0
unit.0.0.port.-1.s.68.alias=
unit.0.0.port.-1.s.68.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.68.name=/GECKO3COM_simple_1/o_receive_transfersize<4>
unit.0.0.port.-1.s.68.name=/s_send_fifo_data<19>
unit.0.0.port.-1.s.68.orderindex=-1
unit.0.0.port.-1.s.68.visible=1
unit.0.0.port.-1.s.68.visible=0
unit.0.0.port.-1.s.69.alias=
unit.0.0.port.-1.s.69.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.69.name=/GECKO3COM_simple_1/o_receive_transfersize<5>
unit.0.0.port.-1.s.69.name=/s_send_fifo_data<20>
unit.0.0.port.-1.s.69.orderindex=-1
unit.0.0.port.-1.s.69.visible=1
unit.0.0.port.-1.s.69.visible=0
unit.0.0.port.-1.s.7.alias=
unit.0.0.port.-1.s.7.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.7.name=/GECKO3COM_simple_1/o_send_data_request
756,22 → 805,22
unit.0.0.port.-1.s.7.visible=1
unit.0.0.port.-1.s.70.alias=
unit.0.0.port.-1.s.70.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.70.name=/GECKO3COM_simple_1/o_receive_transfersize<6>
unit.0.0.port.-1.s.70.name=/s_send_fifo_data<21>
unit.0.0.port.-1.s.70.orderindex=-1
unit.0.0.port.-1.s.70.visible=1
unit.0.0.port.-1.s.70.visible=0
unit.0.0.port.-1.s.71.alias=
unit.0.0.port.-1.s.71.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.71.name=/GECKO3COM_simple_1/o_receive_transfersize<7>
unit.0.0.port.-1.s.71.name=/s_send_fifo_data<22>
unit.0.0.port.-1.s.71.orderindex=-1
unit.0.0.port.-1.s.71.visible=1
unit.0.0.port.-1.s.71.visible=0
unit.0.0.port.-1.s.72.alias=
unit.0.0.port.-1.s.72.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.72.name=/GECKO3COM_simple_1/o_receive_transfersize<8>
unit.0.0.port.-1.s.72.name=/s_send_fifo_data<23>
unit.0.0.port.-1.s.72.orderindex=-1
unit.0.0.port.-1.s.72.visible=1
unit.0.0.port.-1.s.72.visible=0
unit.0.0.port.-1.s.73.alias=
unit.0.0.port.-1.s.73.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.73.name=/GECKO3COM_simple_1/o_receive_transfersize<9>
unit.0.0.port.-1.s.73.name=/s_send_have_more_data
unit.0.0.port.-1.s.73.orderindex=-1
unit.0.0.port.-1.s.73.visible=1
unit.0.0.port.-1.s.74.alias=
871,47 → 920,47
unit.0.0.port.-1.s.90.visible=1
unit.0.0.port.-1.s.91.alias=
unit.0.0.port.-1.s.91.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_0
unit.0.0.port.-1.s.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count
unit.0.0.port.-1.s.91.orderindex=-1
unit.0.0.port.-1.s.91.visible=0
unit.0.0.port.-1.s.92.alias=
unit.0.0.port.-1.s.92.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_1
unit.0.0.port.-1.s.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count1
unit.0.0.port.-1.s.92.orderindex=-1
unit.0.0.port.-1.s.92.visible=0
unit.0.0.port.-1.s.93.alias=
unit.0.0.port.-1.s.93.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_2
unit.0.0.port.-1.s.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count2
unit.0.0.port.-1.s.93.orderindex=-1
unit.0.0.port.-1.s.93.visible=0
unit.0.0.port.-1.s.94.alias=
unit.0.0.port.-1.s.94.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_3
unit.0.0.port.-1.s.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count3
unit.0.0.port.-1.s.94.orderindex=-1
unit.0.0.port.-1.s.94.visible=0
unit.0.0.port.-1.s.95.alias=
unit.0.0.port.-1.s.95.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_4
unit.0.0.port.-1.s.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count4
unit.0.0.port.-1.s.95.orderindex=-1
unit.0.0.port.-1.s.95.visible=0
unit.0.0.port.-1.s.96.alias=
unit.0.0.port.-1.s.96.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_5
unit.0.0.port.-1.s.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count5
unit.0.0.port.-1.s.96.orderindex=-1
unit.0.0.port.-1.s.96.visible=0
unit.0.0.port.-1.s.97.alias=
unit.0.0.port.-1.s.97.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_6
unit.0.0.port.-1.s.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count6
unit.0.0.port.-1.s.97.orderindex=-1
unit.0.0.port.-1.s.97.visible=0
unit.0.0.port.-1.s.98.alias=
unit.0.0.port.-1.s.98.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_7
unit.0.0.port.-1.s.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count7
unit.0.0.port.-1.s.98.orderindex=-1
unit.0.0.port.-1.s.98.visible=0
unit.0.0.port.-1.s.99.alias=
unit.0.0.port.-1.s.99.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.-1.s.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_receive_transfersize_count_eqn_8
unit.0.0.port.-1.s.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/Mcount_s_send_transfersize_count8
unit.0.0.port.-1.s.99.orderindex=-1
unit.0.0.port.-1.s.99.visible=0
unit.0.0.port.0.b.0.alias=
951,7 → 1000,7
unit.0.0.port.0.s.11.visible=1
unit.0.0.port.0.s.2.alias=
unit.0.0.port.0.s.2.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.2.name=/GECKO3COM_simple_1/s_gpif_rx_empty
unit.0.0.port.0.s.2.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
unit.0.0.port.0.s.2.orderindex=-1
unit.0.0.port.0.s.2.visible=1
unit.0.0.port.0.s.3.alias=
981,7 → 1030,7
unit.0.0.port.0.s.7.visible=1
unit.0.0.port.0.s.8.alias=
unit.0.0.port.0.s.8.color=java.awt.Color[r\=0,g\=0,b\=124]
unit.0.0.port.0.s.8.name=/s_send_fifo_wr_en
unit.0.0.port.0.s.8.name=/GECKO3COM_simple_1/i_send_fifo_wr_en
unit.0.0.port.0.s.8.orderindex=-1
unit.0.0.port.0.s.8.visible=1
unit.0.0.port.0.s.9.alias=
998,9 → 1047,10
unit.0.0.triggerWindowDepth=512
unit.0.0.triggerWindowTS=0
unit.0.0.username=MyILA0
unit.0.0.waveform.count=46
unit.0.0.waveform.count=49
unit.0.0.waveform.posn.0.channel=0
unit.0.0.waveform.posn.0.name=/GECKO3COM_simple_1/i_nReset
unit.0.0.waveform.posn.0.radix=1
unit.0.0.waveform.posn.0.type=signal
unit.0.0.waveform.posn.1.channel=1
unit.0.0.waveform.posn.1.name=/GECKO3COM_simple_1/i_receive_fifo_rd_en
1008,144 → 1058,186
unit.0.0.waveform.posn.10.channel=10
unit.0.0.waveform.posn.10.name=/GECKO3COM_simple_1/s_btag_correct
unit.0.0.waveform.posn.10.type=signal
unit.0.0.waveform.posn.100.channel=145
unit.0.0.waveform.posn.100.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.100.type=signal
unit.0.0.waveform.posn.101.channel=145
unit.0.0.waveform.posn.101.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.101.type=signal
unit.0.0.waveform.posn.102.channel=145
unit.0.0.waveform.posn.102.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.102.type=signal
unit.0.0.waveform.posn.103.channel=145
unit.0.0.waveform.posn.103.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.103.type=signal
unit.0.0.waveform.posn.104.channel=145
unit.0.0.waveform.posn.104.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.104.type=signal
unit.0.0.waveform.posn.105.channel=145
unit.0.0.waveform.posn.105.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.105.type=signal
unit.0.0.waveform.posn.106.channel=145
unit.0.0.waveform.posn.106.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.106.type=signal
unit.0.0.waveform.posn.107.channel=145
unit.0.0.waveform.posn.107.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.107.type=signal
unit.0.0.waveform.posn.108.channel=145
unit.0.0.waveform.posn.108.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.108.type=signal
unit.0.0.waveform.posn.109.channel=145
unit.0.0.waveform.posn.109.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.109.type=signal
unit.0.0.waveform.posn.100.channel=2147483646
unit.0.0.waveform.posn.100.name=s_receive_transfersize_en
unit.0.0.waveform.posn.100.radix=1
unit.0.0.waveform.posn.100.type=bus
unit.0.0.waveform.posn.101.channel=2147483646
unit.0.0.waveform.posn.101.name=s_receive_transfersize_en
unit.0.0.waveform.posn.101.radix=1
unit.0.0.waveform.posn.101.type=bus
unit.0.0.waveform.posn.102.channel=2147483646
unit.0.0.waveform.posn.102.name=s_receive_transfersize_en
unit.0.0.waveform.posn.102.radix=1
unit.0.0.waveform.posn.102.type=bus
unit.0.0.waveform.posn.103.channel=2147483646
unit.0.0.waveform.posn.103.name=s_receive_transfersize_en
unit.0.0.waveform.posn.103.radix=1
unit.0.0.waveform.posn.103.type=bus
unit.0.0.waveform.posn.104.channel=2147483646
unit.0.0.waveform.posn.104.name=s_receive_transfersize_en
unit.0.0.waveform.posn.104.radix=1
unit.0.0.waveform.posn.104.type=bus
unit.0.0.waveform.posn.105.channel=2147483646
unit.0.0.waveform.posn.105.name=s_receive_transfersize_en
unit.0.0.waveform.posn.105.radix=1
unit.0.0.waveform.posn.105.type=bus
unit.0.0.waveform.posn.106.channel=2147483646
unit.0.0.waveform.posn.106.name=s_receive_transfersize_en
unit.0.0.waveform.posn.106.radix=1
unit.0.0.waveform.posn.106.type=bus
unit.0.0.waveform.posn.107.channel=2147483646
unit.0.0.waveform.posn.107.name=s_receive_transfersize_en
unit.0.0.waveform.posn.107.radix=1
unit.0.0.waveform.posn.107.type=bus
unit.0.0.waveform.posn.108.channel=2147483646
unit.0.0.waveform.posn.108.name=s_receive_transfersize_en
unit.0.0.waveform.posn.108.radix=1
unit.0.0.waveform.posn.108.type=bus
unit.0.0.waveform.posn.109.channel=2147483646
unit.0.0.waveform.posn.109.name=s_receive_transfersize_en
unit.0.0.waveform.posn.109.radix=1
unit.0.0.waveform.posn.109.type=bus
unit.0.0.waveform.posn.11.channel=11
unit.0.0.waveform.posn.11.name=/GECKO3COM_simple_1/s_btag_reg_en
unit.0.0.waveform.posn.11.type=signal
unit.0.0.waveform.posn.110.channel=145
unit.0.0.waveform.posn.110.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.110.type=signal
unit.0.0.waveform.posn.111.channel=145
unit.0.0.waveform.posn.111.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.111.type=signal
unit.0.0.waveform.posn.112.channel=145
unit.0.0.waveform.posn.112.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.112.type=signal
unit.0.0.waveform.posn.113.channel=145
unit.0.0.waveform.posn.113.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.113.type=signal
unit.0.0.waveform.posn.114.channel=145
unit.0.0.waveform.posn.114.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.114.type=signal
unit.0.0.waveform.posn.115.channel=145
unit.0.0.waveform.posn.115.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.115.type=signal
unit.0.0.waveform.posn.116.channel=145
unit.0.0.waveform.posn.116.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.116.type=signal
unit.0.0.waveform.posn.117.channel=145
unit.0.0.waveform.posn.117.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.117.type=signal
unit.0.0.waveform.posn.118.channel=145
unit.0.0.waveform.posn.118.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.118.type=signal
unit.0.0.waveform.posn.119.channel=145
unit.0.0.waveform.posn.119.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.119.type=signal
unit.0.0.waveform.posn.110.channel=2147483646
unit.0.0.waveform.posn.110.name=s_receive_transfersize_en
unit.0.0.waveform.posn.110.radix=1
unit.0.0.waveform.posn.110.type=bus
unit.0.0.waveform.posn.111.channel=2147483646
unit.0.0.waveform.posn.111.name=s_receive_transfersize_en
unit.0.0.waveform.posn.111.radix=1
unit.0.0.waveform.posn.111.type=bus
unit.0.0.waveform.posn.112.channel=2147483646
unit.0.0.waveform.posn.112.name=s_receive_transfersize_en
unit.0.0.waveform.posn.112.radix=1
unit.0.0.waveform.posn.112.type=bus
unit.0.0.waveform.posn.113.channel=2147483646
unit.0.0.waveform.posn.113.name=s_receive_transfersize_en
unit.0.0.waveform.posn.113.radix=1
unit.0.0.waveform.posn.113.type=bus
unit.0.0.waveform.posn.114.channel=2147483646
unit.0.0.waveform.posn.114.name=s_receive_transfersize_en
unit.0.0.waveform.posn.114.radix=1
unit.0.0.waveform.posn.114.type=bus
unit.0.0.waveform.posn.115.channel=2147483646
unit.0.0.waveform.posn.115.name=s_receive_transfersize_en
unit.0.0.waveform.posn.115.radix=1
unit.0.0.waveform.posn.115.type=bus
unit.0.0.waveform.posn.116.channel=2147483646
unit.0.0.waveform.posn.116.name=s_receive_transfersize_en
unit.0.0.waveform.posn.116.radix=1
unit.0.0.waveform.posn.116.type=bus
unit.0.0.waveform.posn.117.channel=2147483646
unit.0.0.waveform.posn.117.name=s_receive_transfersize_en
unit.0.0.waveform.posn.117.radix=1
unit.0.0.waveform.posn.117.type=bus
unit.0.0.waveform.posn.118.channel=2147483646
unit.0.0.waveform.posn.118.name=s_receive_transfersize_en
unit.0.0.waveform.posn.118.radix=1
unit.0.0.waveform.posn.118.type=bus
unit.0.0.waveform.posn.119.channel=2147483646
unit.0.0.waveform.posn.119.name=s_receive_transfersize_en
unit.0.0.waveform.posn.119.radix=1
unit.0.0.waveform.posn.119.type=bus
unit.0.0.waveform.posn.12.channel=12
unit.0.0.waveform.posn.12.name=/GECKO3COM_simple_1/s_dev_dep_msg_out
unit.0.0.waveform.posn.12.type=signal
unit.0.0.waveform.posn.120.channel=145
unit.0.0.waveform.posn.120.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.120.type=signal
unit.0.0.waveform.posn.121.channel=145
unit.0.0.waveform.posn.121.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.121.type=signal
unit.0.0.waveform.posn.122.channel=145
unit.0.0.waveform.posn.122.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.122.type=signal
unit.0.0.waveform.posn.123.channel=145
unit.0.0.waveform.posn.123.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.123.type=signal
unit.0.0.waveform.posn.124.channel=145
unit.0.0.waveform.posn.124.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.124.type=signal
unit.0.0.waveform.posn.125.channel=145
unit.0.0.waveform.posn.125.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.125.type=signal
unit.0.0.waveform.posn.126.channel=145
unit.0.0.waveform.posn.126.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.126.type=signal
unit.0.0.waveform.posn.127.channel=145
unit.0.0.waveform.posn.127.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.127.type=signal
unit.0.0.waveform.posn.128.channel=145
unit.0.0.waveform.posn.128.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.128.type=signal
unit.0.0.waveform.posn.129.channel=145
unit.0.0.waveform.posn.129.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.129.type=signal
unit.0.0.waveform.posn.120.channel=2147483646
unit.0.0.waveform.posn.120.name=s_receive_transfersize_en
unit.0.0.waveform.posn.120.radix=1
unit.0.0.waveform.posn.120.type=bus
unit.0.0.waveform.posn.121.channel=2147483646
unit.0.0.waveform.posn.121.name=s_receive_transfersize_en
unit.0.0.waveform.posn.121.radix=1
unit.0.0.waveform.posn.121.type=bus
unit.0.0.waveform.posn.122.channel=2147483646
unit.0.0.waveform.posn.122.name=s_receive_transfersize_en
unit.0.0.waveform.posn.122.radix=1
unit.0.0.waveform.posn.122.type=bus
unit.0.0.waveform.posn.123.channel=2147483646
unit.0.0.waveform.posn.123.name=s_receive_transfersize_en
unit.0.0.waveform.posn.123.radix=1
unit.0.0.waveform.posn.123.type=bus
unit.0.0.waveform.posn.124.channel=2147483646
unit.0.0.waveform.posn.124.name=s_receive_transfersize_en
unit.0.0.waveform.posn.124.radix=1
unit.0.0.waveform.posn.124.type=bus
unit.0.0.waveform.posn.125.channel=2147483646
unit.0.0.waveform.posn.125.name=s_receive_transfersize_en
unit.0.0.waveform.posn.125.radix=1
unit.0.0.waveform.posn.125.type=bus
unit.0.0.waveform.posn.126.channel=2147483646
unit.0.0.waveform.posn.126.name=s_receive_transfersize_en
unit.0.0.waveform.posn.126.radix=1
unit.0.0.waveform.posn.126.type=bus
unit.0.0.waveform.posn.127.channel=2147483646
unit.0.0.waveform.posn.127.name=s_receive_transfersize_en
unit.0.0.waveform.posn.127.radix=1
unit.0.0.waveform.posn.127.type=bus
unit.0.0.waveform.posn.128.channel=2147483646
unit.0.0.waveform.posn.128.name=s_receive_transfersize_en
unit.0.0.waveform.posn.128.radix=1
unit.0.0.waveform.posn.128.type=bus
unit.0.0.waveform.posn.129.channel=2147483646
unit.0.0.waveform.posn.129.name=s_receive_transfersize_en
unit.0.0.waveform.posn.129.radix=1
unit.0.0.waveform.posn.129.type=bus
unit.0.0.waveform.posn.13.channel=13
unit.0.0.waveform.posn.13.name=/GECKO3COM_simple_1/s_eom_bit_detected
unit.0.0.waveform.posn.13.type=signal
unit.0.0.waveform.posn.130.channel=145
unit.0.0.waveform.posn.130.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.130.type=signal
unit.0.0.waveform.posn.131.channel=145
unit.0.0.waveform.posn.131.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.131.type=signal
unit.0.0.waveform.posn.132.channel=145
unit.0.0.waveform.posn.132.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.132.type=signal
unit.0.0.waveform.posn.133.channel=145
unit.0.0.waveform.posn.133.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.133.type=signal
unit.0.0.waveform.posn.134.channel=145
unit.0.0.waveform.posn.134.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.134.type=signal
unit.0.0.waveform.posn.135.channel=145
unit.0.0.waveform.posn.135.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.135.type=signal
unit.0.0.waveform.posn.136.channel=145
unit.0.0.waveform.posn.136.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.136.type=signal
unit.0.0.waveform.posn.137.channel=145
unit.0.0.waveform.posn.137.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.137.type=signal
unit.0.0.waveform.posn.138.channel=145
unit.0.0.waveform.posn.138.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.138.type=signal
unit.0.0.waveform.posn.139.channel=145
unit.0.0.waveform.posn.139.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.139.type=signal
unit.0.0.waveform.posn.130.channel=2147483646
unit.0.0.waveform.posn.130.name=s_receive_transfersize_en
unit.0.0.waveform.posn.130.radix=1
unit.0.0.waveform.posn.130.type=bus
unit.0.0.waveform.posn.131.channel=2147483646
unit.0.0.waveform.posn.131.name=s_receive_transfersize_en
unit.0.0.waveform.posn.131.radix=1
unit.0.0.waveform.posn.131.type=bus
unit.0.0.waveform.posn.132.channel=2147483646
unit.0.0.waveform.posn.132.name=s_receive_transfersize_en
unit.0.0.waveform.posn.132.radix=1
unit.0.0.waveform.posn.132.type=bus
unit.0.0.waveform.posn.133.channel=2147483646
unit.0.0.waveform.posn.133.name=s_receive_transfersize_en
unit.0.0.waveform.posn.133.radix=1
unit.0.0.waveform.posn.133.type=bus
unit.0.0.waveform.posn.134.channel=2147483646
unit.0.0.waveform.posn.134.name=s_receive_transfersize_en
unit.0.0.waveform.posn.134.radix=1
unit.0.0.waveform.posn.134.type=bus
unit.0.0.waveform.posn.135.channel=2147483646
unit.0.0.waveform.posn.135.name=s_receive_transfersize_en
unit.0.0.waveform.posn.135.radix=1
unit.0.0.waveform.posn.135.type=bus
unit.0.0.waveform.posn.136.channel=2147483646
unit.0.0.waveform.posn.136.name=s_receive_transfersize_en
unit.0.0.waveform.posn.136.radix=1
unit.0.0.waveform.posn.136.type=bus
unit.0.0.waveform.posn.137.channel=2147483646
unit.0.0.waveform.posn.137.name=s_receive_transfersize_en
unit.0.0.waveform.posn.137.radix=1
unit.0.0.waveform.posn.137.type=bus
unit.0.0.waveform.posn.138.channel=2147483646
unit.0.0.waveform.posn.138.name=s_receive_transfersize_en
unit.0.0.waveform.posn.138.radix=1
unit.0.0.waveform.posn.138.type=bus
unit.0.0.waveform.posn.139.channel=2147483646
unit.0.0.waveform.posn.139.name=s_receive_transfersize_en
unit.0.0.waveform.posn.139.radix=1
unit.0.0.waveform.posn.139.type=bus
unit.0.0.waveform.posn.14.channel=14
unit.0.0.waveform.posn.14.name=/GECKO3COM_simple_1/s_gpif_abort
unit.0.0.waveform.posn.14.type=signal
unit.0.0.waveform.posn.140.channel=145
unit.0.0.waveform.posn.140.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.140.type=signal
unit.0.0.waveform.posn.141.channel=145
unit.0.0.waveform.posn.141.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.141.type=signal
unit.0.0.waveform.posn.140.channel=2147483646
unit.0.0.waveform.posn.140.name=s_receive_transfersize_en
unit.0.0.waveform.posn.140.radix=1
unit.0.0.waveform.posn.140.type=bus
unit.0.0.waveform.posn.141.channel=2147483646
unit.0.0.waveform.posn.141.name=s_receive_transfersize_en
unit.0.0.waveform.posn.141.radix=1
unit.0.0.waveform.posn.141.type=bus
unit.0.0.waveform.posn.142.channel=145
unit.0.0.waveform.posn.142.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.142.type=signal
1184,9 → 1276,11
unit.0.0.waveform.posn.21.type=signal
unit.0.0.waveform.posn.22.channel=22
unit.0.0.waveform.posn.22.name=/GECKO3COM_simple_1/s_receive_counter_load
unit.0.0.waveform.posn.22.radix=1
unit.0.0.waveform.posn.22.type=signal
unit.0.0.waveform.posn.23.channel=23
unit.0.0.waveform.posn.23.name=/GECKO3COM_simple_1/s_receive_counter_zero
unit.0.0.waveform.posn.23.radix=1
unit.0.0.waveform.posn.23.type=signal
unit.0.0.waveform.posn.24.channel=24
unit.0.0.waveform.posn.24.name=/GECKO3COM_simple_1/s_receive_end_of_message_set
1194,256 → 1288,309
unit.0.0.waveform.posn.25.channel=25
unit.0.0.waveform.posn.25.name=/GECKO3COM_simple_1/s_receive_fifo_full
unit.0.0.waveform.posn.25.type=signal
unit.0.0.waveform.posn.26.channel=65
unit.0.0.waveform.posn.26.name=v_receive_fifo_empty_old
unit.0.0.waveform.posn.26.channel=26
unit.0.0.waveform.posn.26.name=/GECKO3COM_simple_1/s_receive_fifo_reset
unit.0.0.waveform.posn.26.type=signal
unit.0.0.waveform.posn.27.channel=26
unit.0.0.waveform.posn.27.name=/GECKO3COM_simple_1/s_receive_fifo_reset
unit.0.0.waveform.posn.27.channel=27
unit.0.0.waveform.posn.27.name=/GECKO3COM_simple_1/s_receive_fifo_wr_en
unit.0.0.waveform.posn.27.type=signal
unit.0.0.waveform.posn.28.channel=27
unit.0.0.waveform.posn.28.name=/GECKO3COM_simple_1/s_receive_fifo_wr_en
unit.0.0.waveform.posn.28.channel=28
unit.0.0.waveform.posn.28.name=/GECKO3COM_simple_1/s_receive_newdata_set
unit.0.0.waveform.posn.28.type=signal
unit.0.0.waveform.posn.29.channel=28
unit.0.0.waveform.posn.29.name=/GECKO3COM_simple_1/s_receive_newdata_set
unit.0.0.waveform.posn.29.channel=31
unit.0.0.waveform.posn.29.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
unit.0.0.waveform.posn.29.type=signal
unit.0.0.waveform.posn.3.channel=3
unit.0.0.waveform.posn.3.name=/GECKO3COM_simple_1/i_send_have_more_data
unit.0.0.waveform.posn.3.type=signal
unit.0.0.waveform.posn.30.channel=31
unit.0.0.waveform.posn.30.name=/GECKO3COM_simple_1/s_request_dev_dep_msg_in
unit.0.0.waveform.posn.30.channel=32
unit.0.0.waveform.posn.30.name=/GECKO3COM_simple_1/s_send_counter_en
unit.0.0.waveform.posn.30.type=signal
unit.0.0.waveform.posn.31.channel=32
unit.0.0.waveform.posn.31.name=/GECKO3COM_simple_1/s_send_counter_en
unit.0.0.waveform.posn.31.channel=33
unit.0.0.waveform.posn.31.name=/GECKO3COM_simple_1/s_send_counter_load
unit.0.0.waveform.posn.31.type=signal
unit.0.0.waveform.posn.32.channel=33
unit.0.0.waveform.posn.32.name=/GECKO3COM_simple_1/s_send_counter_load
unit.0.0.waveform.posn.32.channel=34
unit.0.0.waveform.posn.32.name=/GECKO3COM_simple_1/s_send_counter_zero
unit.0.0.waveform.posn.32.type=signal
unit.0.0.waveform.posn.33.channel=34
unit.0.0.waveform.posn.33.name=/GECKO3COM_simple_1/s_send_counter_zero
unit.0.0.waveform.posn.33.channel=35
unit.0.0.waveform.posn.33.name=/GECKO3COM_simple_1/s_send_data_request_set
unit.0.0.waveform.posn.33.type=signal
unit.0.0.waveform.posn.34.channel=35
unit.0.0.waveform.posn.34.name=/GECKO3COM_simple_1/s_send_data_request_set
unit.0.0.waveform.posn.34.channel=36
unit.0.0.waveform.posn.34.name=/GECKO3COM_simple_1/s_send_fifo_empty
unit.0.0.waveform.posn.34.type=signal
unit.0.0.waveform.posn.35.channel=36
unit.0.0.waveform.posn.35.name=/GECKO3COM_simple_1/s_send_fifo_empty
unit.0.0.waveform.posn.35.channel=37
unit.0.0.waveform.posn.35.name=/GECKO3COM_simple_1/s_send_fifo_rd_en
unit.0.0.waveform.posn.35.type=signal
unit.0.0.waveform.posn.36.channel=37
unit.0.0.waveform.posn.36.name=/GECKO3COM_simple_1/s_send_fifo_rd_en
unit.0.0.waveform.posn.36.channel=38
unit.0.0.waveform.posn.36.name=/GECKO3COM_simple_1/s_send_fifo_reset
unit.0.0.waveform.posn.36.type=signal
unit.0.0.waveform.posn.37.channel=38
unit.0.0.waveform.posn.37.name=/GECKO3COM_simple_1/s_send_fifo_reset
unit.0.0.waveform.posn.37.channel=47
unit.0.0.waveform.posn.37.name=/s_send_counter_equals_transfer_size
unit.0.0.waveform.posn.37.radix=1
unit.0.0.waveform.posn.37.type=signal
unit.0.0.waveform.posn.38.channel=2147483646
unit.0.0.waveform.posn.38.name=s_btag
unit.0.0.waveform.posn.38.channel=48
unit.0.0.waveform.posn.38.name=/s_send_transfersize_en
unit.0.0.waveform.posn.38.radix=1
unit.0.0.waveform.posn.38.type=bus
unit.0.0.waveform.posn.39.channel=2147483646
unit.0.0.waveform.posn.39.name=s_msg_id
unit.0.0.waveform.posn.38.type=signal
unit.0.0.waveform.posn.39.channel=73
unit.0.0.waveform.posn.39.name=/s_send_have_more_data
unit.0.0.waveform.posn.39.radix=1
unit.0.0.waveform.posn.39.type=bus
unit.0.0.waveform.posn.39.type=signal
unit.0.0.waveform.posn.4.channel=4
unit.0.0.waveform.posn.4.name=/GECKO3COM_simple_1/o_receive_end_of_message
unit.0.0.waveform.posn.4.type=signal
unit.0.0.waveform.posn.40.channel=2147483646
unit.0.0.waveform.posn.40.name=s_nbtag
unit.0.0.waveform.posn.40.name=/s_send_fifo_data
unit.0.0.waveform.posn.40.radix=1
unit.0.0.waveform.posn.40.type=bus
unit.0.0.waveform.posn.41.channel=2147483646
unit.0.0.waveform.posn.41.name=GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.waveform.posn.41.name=/GECKO3COM_simple_1/s_gpif_rx_data
unit.0.0.waveform.posn.41.radix=1
unit.0.0.waveform.posn.41.type=bus
unit.0.0.waveform.posn.42.channel=2147483646
unit.0.0.waveform.posn.42.name=/GECKO3COM_simple_1/s_gpif_rx_data
unit.0.0.waveform.posn.42.name=Mcount_s_send_transfersize_coun
unit.0.0.waveform.posn.42.radix=1
unit.0.0.waveform.posn.42.type=bus
unit.0.0.waveform.posn.43.channel=2147483646
unit.0.0.waveform.posn.43.name=s_receive_transfersize_en
unit.0.0.waveform.posn.43.name=s_msg_id
unit.0.0.waveform.posn.43.radix=1
unit.0.0.waveform.posn.43.type=bus
unit.0.0.waveform.posn.44.channel=2147483646
unit.0.0.waveform.posn.44.name=/GECKO3COM_simple_1/s_send_mux_sel
unit.0.0.waveform.posn.44.name=s_btag
unit.0.0.waveform.posn.44.radix=1
unit.0.0.waveform.posn.44.type=bus
unit.0.0.waveform.posn.45.channel=2147483646
unit.0.0.waveform.posn.45.name=Mcount_s_receive_transfersize_count_eqn
unit.0.0.waveform.posn.45.name=s_nbtag
unit.0.0.waveform.posn.45.radix=1
unit.0.0.waveform.posn.45.type=bus
unit.0.0.waveform.posn.46.channel=2147483646
unit.0.0.waveform.posn.46.name=Mcount_s_receive_transfersize_count_eqn
unit.0.0.waveform.posn.46.name=/GECKO3COM_simple_1/s_send_mux_sel
unit.0.0.waveform.posn.46.radix=1
unit.0.0.waveform.posn.46.type=bus
unit.0.0.waveform.posn.47.channel=145
unit.0.0.waveform.posn.47.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.47.type=signal
unit.0.0.waveform.posn.48.channel=145
unit.0.0.waveform.posn.48.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.48.type=signal
unit.0.0.waveform.posn.49.channel=145
unit.0.0.waveform.posn.49.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.49.type=signal
unit.0.0.waveform.posn.47.channel=2147483646
unit.0.0.waveform.posn.47.name=GECKO3COM_simple_fsm_1/state_FSM_FFd1
unit.0.0.waveform.posn.47.radix=1
unit.0.0.waveform.posn.47.type=bus
unit.0.0.waveform.posn.48.channel=2147483646
unit.0.0.waveform.posn.48.name=s_receive_transfersize_en
unit.0.0.waveform.posn.48.radix=1
unit.0.0.waveform.posn.48.type=bus
unit.0.0.waveform.posn.49.channel=2147483646
unit.0.0.waveform.posn.49.name=s_receive_transfersize_en
unit.0.0.waveform.posn.49.radix=1
unit.0.0.waveform.posn.49.type=bus
unit.0.0.waveform.posn.5.channel=5
unit.0.0.waveform.posn.5.name=/GECKO3COM_simple_1/o_receive_fifo_empty
unit.0.0.waveform.posn.5.type=signal
unit.0.0.waveform.posn.50.channel=145
unit.0.0.waveform.posn.50.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.50.type=signal
unit.0.0.waveform.posn.51.channel=145
unit.0.0.waveform.posn.51.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.51.type=signal
unit.0.0.waveform.posn.52.channel=145
unit.0.0.waveform.posn.52.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.52.type=signal
unit.0.0.waveform.posn.53.channel=145
unit.0.0.waveform.posn.53.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.53.type=signal
unit.0.0.waveform.posn.54.channel=145
unit.0.0.waveform.posn.54.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.54.type=signal
unit.0.0.waveform.posn.55.channel=145
unit.0.0.waveform.posn.55.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.55.type=signal
unit.0.0.waveform.posn.56.channel=145
unit.0.0.waveform.posn.56.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.56.type=signal
unit.0.0.waveform.posn.57.channel=145
unit.0.0.waveform.posn.57.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.57.type=signal
unit.0.0.waveform.posn.58.channel=145
unit.0.0.waveform.posn.58.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.58.type=signal
unit.0.0.waveform.posn.59.channel=145
unit.0.0.waveform.posn.59.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.59.type=signal
unit.0.0.waveform.posn.50.channel=2147483646
unit.0.0.waveform.posn.50.name=s_receive_transfersize_en
unit.0.0.waveform.posn.50.radix=1
unit.0.0.waveform.posn.50.type=bus
unit.0.0.waveform.posn.51.channel=2147483646
unit.0.0.waveform.posn.51.name=s_receive_transfersize_en
unit.0.0.waveform.posn.51.radix=1
unit.0.0.waveform.posn.51.type=bus
unit.0.0.waveform.posn.52.channel=2147483646
unit.0.0.waveform.posn.52.name=s_receive_transfersize_en
unit.0.0.waveform.posn.52.radix=1
unit.0.0.waveform.posn.52.type=bus
unit.0.0.waveform.posn.53.channel=2147483646
unit.0.0.waveform.posn.53.name=s_receive_transfersize_en
unit.0.0.waveform.posn.53.radix=1
unit.0.0.waveform.posn.53.type=bus
unit.0.0.waveform.posn.54.channel=2147483646
unit.0.0.waveform.posn.54.name=s_receive_transfersize_en
unit.0.0.waveform.posn.54.radix=1
unit.0.0.waveform.posn.54.type=bus
unit.0.0.waveform.posn.55.channel=2147483646
unit.0.0.waveform.posn.55.name=s_receive_transfersize_en
unit.0.0.waveform.posn.55.radix=1
unit.0.0.waveform.posn.55.type=bus
unit.0.0.waveform.posn.56.channel=2147483646
unit.0.0.waveform.posn.56.name=s_receive_transfersize_en
unit.0.0.waveform.posn.56.radix=1
unit.0.0.waveform.posn.56.type=bus
unit.0.0.waveform.posn.57.channel=2147483646
unit.0.0.waveform.posn.57.name=s_receive_transfersize_en
unit.0.0.waveform.posn.57.radix=1
unit.0.0.waveform.posn.57.type=bus
unit.0.0.waveform.posn.58.channel=2147483646
unit.0.0.waveform.posn.58.name=s_receive_transfersize_en
unit.0.0.waveform.posn.58.radix=1
unit.0.0.waveform.posn.58.type=bus
unit.0.0.waveform.posn.59.channel=2147483646
unit.0.0.waveform.posn.59.name=s_receive_transfersize_en
unit.0.0.waveform.posn.59.radix=1
unit.0.0.waveform.posn.59.type=bus
unit.0.0.waveform.posn.6.channel=6
unit.0.0.waveform.posn.6.name=/GECKO3COM_simple_1/o_receive_newdata
unit.0.0.waveform.posn.6.type=signal
unit.0.0.waveform.posn.60.channel=145
unit.0.0.waveform.posn.60.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.60.type=signal
unit.0.0.waveform.posn.61.channel=145
unit.0.0.waveform.posn.61.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.61.type=signal
unit.0.0.waveform.posn.62.channel=145
unit.0.0.waveform.posn.62.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.62.type=signal
unit.0.0.waveform.posn.63.channel=145
unit.0.0.waveform.posn.63.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.63.type=signal
unit.0.0.waveform.posn.64.channel=145
unit.0.0.waveform.posn.64.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.64.type=signal
unit.0.0.waveform.posn.65.channel=145
unit.0.0.waveform.posn.65.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.65.type=signal
unit.0.0.waveform.posn.66.channel=145
unit.0.0.waveform.posn.66.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.66.type=signal
unit.0.0.waveform.posn.67.channel=145
unit.0.0.waveform.posn.67.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.67.type=signal
unit.0.0.waveform.posn.68.channel=145
unit.0.0.waveform.posn.68.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.68.type=signal
unit.0.0.waveform.posn.69.channel=145
unit.0.0.waveform.posn.69.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.69.type=signal
unit.0.0.waveform.posn.60.channel=2147483646
unit.0.0.waveform.posn.60.name=s_receive_transfersize_en
unit.0.0.waveform.posn.60.radix=1
unit.0.0.waveform.posn.60.type=bus
unit.0.0.waveform.posn.61.channel=2147483646
unit.0.0.waveform.posn.61.name=s_receive_transfersize_en
unit.0.0.waveform.posn.61.radix=1
unit.0.0.waveform.posn.61.type=bus
unit.0.0.waveform.posn.62.channel=2147483646
unit.0.0.waveform.posn.62.name=s_receive_transfersize_en
unit.0.0.waveform.posn.62.radix=1
unit.0.0.waveform.posn.62.type=bus
unit.0.0.waveform.posn.63.channel=2147483646
unit.0.0.waveform.posn.63.name=s_receive_transfersize_en
unit.0.0.waveform.posn.63.radix=1
unit.0.0.waveform.posn.63.type=bus
unit.0.0.waveform.posn.64.channel=2147483646
unit.0.0.waveform.posn.64.name=s_receive_transfersize_en
unit.0.0.waveform.posn.64.radix=1
unit.0.0.waveform.posn.64.type=bus
unit.0.0.waveform.posn.65.channel=2147483646
unit.0.0.waveform.posn.65.name=s_receive_transfersize_en
unit.0.0.waveform.posn.65.radix=1
unit.0.0.waveform.posn.65.type=bus
unit.0.0.waveform.posn.66.channel=2147483646
unit.0.0.waveform.posn.66.name=s_receive_transfersize_en
unit.0.0.waveform.posn.66.radix=1
unit.0.0.waveform.posn.66.type=bus
unit.0.0.waveform.posn.67.channel=2147483646
unit.0.0.waveform.posn.67.name=s_receive_transfersize_en
unit.0.0.waveform.posn.67.radix=1
unit.0.0.waveform.posn.67.type=bus
unit.0.0.waveform.posn.68.channel=2147483646
unit.0.0.waveform.posn.68.name=s_receive_transfersize_en
unit.0.0.waveform.posn.68.radix=1
unit.0.0.waveform.posn.68.type=bus
unit.0.0.waveform.posn.69.channel=2147483646
unit.0.0.waveform.posn.69.name=s_receive_transfersize_en
unit.0.0.waveform.posn.69.radix=1
unit.0.0.waveform.posn.69.type=bus
unit.0.0.waveform.posn.7.channel=7
unit.0.0.waveform.posn.7.name=/GECKO3COM_simple_1/o_send_data_request
unit.0.0.waveform.posn.7.type=signal
unit.0.0.waveform.posn.70.channel=145
unit.0.0.waveform.posn.70.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.70.type=signal
unit.0.0.waveform.posn.71.channel=145
unit.0.0.waveform.posn.71.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.71.type=signal
unit.0.0.waveform.posn.72.channel=145
unit.0.0.waveform.posn.72.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.72.type=signal
unit.0.0.waveform.posn.73.channel=145
unit.0.0.waveform.posn.73.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.73.type=signal
unit.0.0.waveform.posn.74.channel=145
unit.0.0.waveform.posn.74.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.74.type=signal
unit.0.0.waveform.posn.75.channel=145
unit.0.0.waveform.posn.75.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.75.type=signal
unit.0.0.waveform.posn.76.channel=145
unit.0.0.waveform.posn.76.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.76.type=signal
unit.0.0.waveform.posn.77.channel=145
unit.0.0.waveform.posn.77.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.77.type=signal
unit.0.0.waveform.posn.78.channel=145
unit.0.0.waveform.posn.78.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.78.type=signal
unit.0.0.waveform.posn.79.channel=145
unit.0.0.waveform.posn.79.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.79.type=signal
unit.0.0.waveform.posn.70.channel=2147483646
unit.0.0.waveform.posn.70.name=s_receive_transfersize_en
unit.0.0.waveform.posn.70.radix=1
unit.0.0.waveform.posn.70.type=bus
unit.0.0.waveform.posn.71.channel=2147483646
unit.0.0.waveform.posn.71.name=s_receive_transfersize_en
unit.0.0.waveform.posn.71.radix=1
unit.0.0.waveform.posn.71.type=bus
unit.0.0.waveform.posn.72.channel=2147483646
unit.0.0.waveform.posn.72.name=s_receive_transfersize_en
unit.0.0.waveform.posn.72.radix=1
unit.0.0.waveform.posn.72.type=bus
unit.0.0.waveform.posn.73.channel=2147483646
unit.0.0.waveform.posn.73.name=s_receive_transfersize_en
unit.0.0.waveform.posn.73.radix=1
unit.0.0.waveform.posn.73.type=bus
unit.0.0.waveform.posn.74.channel=2147483646
unit.0.0.waveform.posn.74.name=s_receive_transfersize_en
unit.0.0.waveform.posn.74.radix=1
unit.0.0.waveform.posn.74.type=bus
unit.0.0.waveform.posn.75.channel=2147483646
unit.0.0.waveform.posn.75.name=s_receive_transfersize_en
unit.0.0.waveform.posn.75.radix=1
unit.0.0.waveform.posn.75.type=bus
unit.0.0.waveform.posn.76.channel=2147483646
unit.0.0.waveform.posn.76.name=s_receive_transfersize_en
unit.0.0.waveform.posn.76.radix=1
unit.0.0.waveform.posn.76.type=bus
unit.0.0.waveform.posn.77.channel=2147483646
unit.0.0.waveform.posn.77.name=s_receive_transfersize_en
unit.0.0.waveform.posn.77.radix=1
unit.0.0.waveform.posn.77.type=bus
unit.0.0.waveform.posn.78.channel=2147483646
unit.0.0.waveform.posn.78.name=s_receive_transfersize_en
unit.0.0.waveform.posn.78.radix=1
unit.0.0.waveform.posn.78.type=bus
unit.0.0.waveform.posn.79.channel=2147483646
unit.0.0.waveform.posn.79.name=s_receive_transfersize_en
unit.0.0.waveform.posn.79.radix=1
unit.0.0.waveform.posn.79.type=bus
unit.0.0.waveform.posn.8.channel=8
unit.0.0.waveform.posn.8.name=/GECKO3COM_simple_1/o_send_fifo_full
unit.0.0.waveform.posn.8.type=signal
unit.0.0.waveform.posn.80.channel=145
unit.0.0.waveform.posn.80.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.80.type=signal
unit.0.0.waveform.posn.81.channel=145
unit.0.0.waveform.posn.81.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.81.type=signal
unit.0.0.waveform.posn.82.channel=145
unit.0.0.waveform.posn.82.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.82.type=signal
unit.0.0.waveform.posn.83.channel=145
unit.0.0.waveform.posn.83.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.83.type=signal
unit.0.0.waveform.posn.84.channel=145
unit.0.0.waveform.posn.84.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.84.type=signal
unit.0.0.waveform.posn.85.channel=145
unit.0.0.waveform.posn.85.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.85.type=signal
unit.0.0.waveform.posn.86.channel=145
unit.0.0.waveform.posn.86.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.86.type=signal
unit.0.0.waveform.posn.87.channel=145
unit.0.0.waveform.posn.87.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.87.type=signal
unit.0.0.waveform.posn.88.channel=145
unit.0.0.waveform.posn.88.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.88.type=signal
unit.0.0.waveform.posn.89.channel=145
unit.0.0.waveform.posn.89.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.89.type=signal
unit.0.0.waveform.posn.80.channel=2147483646
unit.0.0.waveform.posn.80.name=s_receive_transfersize_en
unit.0.0.waveform.posn.80.radix=1
unit.0.0.waveform.posn.80.type=bus
unit.0.0.waveform.posn.81.channel=2147483646
unit.0.0.waveform.posn.81.name=s_receive_transfersize_en
unit.0.0.waveform.posn.81.radix=1
unit.0.0.waveform.posn.81.type=bus
unit.0.0.waveform.posn.82.channel=2147483646
unit.0.0.waveform.posn.82.name=s_receive_transfersize_en
unit.0.0.waveform.posn.82.radix=1
unit.0.0.waveform.posn.82.type=bus
unit.0.0.waveform.posn.83.channel=2147483646
unit.0.0.waveform.posn.83.name=s_receive_transfersize_en
unit.0.0.waveform.posn.83.radix=1
unit.0.0.waveform.posn.83.type=bus
unit.0.0.waveform.posn.84.channel=2147483646
unit.0.0.waveform.posn.84.name=s_receive_transfersize_en
unit.0.0.waveform.posn.84.radix=1
unit.0.0.waveform.posn.84.type=bus
unit.0.0.waveform.posn.85.channel=2147483646
unit.0.0.waveform.posn.85.name=s_receive_transfersize_en
unit.0.0.waveform.posn.85.radix=1
unit.0.0.waveform.posn.85.type=bus
unit.0.0.waveform.posn.86.channel=2147483646
unit.0.0.waveform.posn.86.name=s_receive_transfersize_en
unit.0.0.waveform.posn.86.radix=1
unit.0.0.waveform.posn.86.type=bus
unit.0.0.waveform.posn.87.channel=2147483646
unit.0.0.waveform.posn.87.name=s_receive_transfersize_en
unit.0.0.waveform.posn.87.radix=1
unit.0.0.waveform.posn.87.type=bus
unit.0.0.waveform.posn.88.channel=2147483646
unit.0.0.waveform.posn.88.name=s_receive_transfersize_en
unit.0.0.waveform.posn.88.radix=1
unit.0.0.waveform.posn.88.type=bus
unit.0.0.waveform.posn.89.channel=2147483646
unit.0.0.waveform.posn.89.name=s_receive_transfersize_en
unit.0.0.waveform.posn.89.radix=1
unit.0.0.waveform.posn.89.type=bus
unit.0.0.waveform.posn.9.channel=9
unit.0.0.waveform.posn.9.name=/GECKO3COM_simple_1/o_send_finished
unit.0.0.waveform.posn.9.type=signal
unit.0.0.waveform.posn.90.channel=145
unit.0.0.waveform.posn.90.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.90.type=signal
unit.0.0.waveform.posn.91.channel=145
unit.0.0.waveform.posn.91.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.91.type=signal
unit.0.0.waveform.posn.92.channel=145
unit.0.0.waveform.posn.92.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.92.type=signal
unit.0.0.waveform.posn.93.channel=145
unit.0.0.waveform.posn.93.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.93.type=signal
unit.0.0.waveform.posn.94.channel=145
unit.0.0.waveform.posn.94.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.94.type=signal
unit.0.0.waveform.posn.95.channel=145
unit.0.0.waveform.posn.95.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.95.type=signal
unit.0.0.waveform.posn.96.channel=145
unit.0.0.waveform.posn.96.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.96.type=signal
unit.0.0.waveform.posn.97.channel=145
unit.0.0.waveform.posn.97.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.97.type=signal
unit.0.0.waveform.posn.98.channel=145
unit.0.0.waveform.posn.98.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.98.type=signal
unit.0.0.waveform.posn.99.channel=145
unit.0.0.waveform.posn.99.name=/GECKO3COM_simple_1/GECKO3COM_simple_datapath_1/s_nbtag<7>
unit.0.0.waveform.posn.99.type=signal
unit.0.0.waveform.posn.90.channel=2147483646
unit.0.0.waveform.posn.90.name=s_receive_transfersize_en
unit.0.0.waveform.posn.90.radix=1
unit.0.0.waveform.posn.90.type=bus
unit.0.0.waveform.posn.91.channel=2147483646
unit.0.0.waveform.posn.91.name=s_receive_transfersize_en
unit.0.0.waveform.posn.91.radix=1
unit.0.0.waveform.posn.91.type=bus
unit.0.0.waveform.posn.92.channel=2147483646
unit.0.0.waveform.posn.92.name=s_receive_transfersize_en
unit.0.0.waveform.posn.92.radix=1
unit.0.0.waveform.posn.92.type=bus
unit.0.0.waveform.posn.93.channel=2147483646
unit.0.0.waveform.posn.93.name=s_receive_transfersize_en
unit.0.0.waveform.posn.93.radix=1
unit.0.0.waveform.posn.93.type=bus
unit.0.0.waveform.posn.94.channel=2147483646
unit.0.0.waveform.posn.94.name=s_receive_transfersize_en
unit.0.0.waveform.posn.94.radix=1
unit.0.0.waveform.posn.94.type=bus
unit.0.0.waveform.posn.95.channel=2147483646
unit.0.0.waveform.posn.95.name=s_receive_transfersize_en
unit.0.0.waveform.posn.95.radix=1
unit.0.0.waveform.posn.95.type=bus
unit.0.0.waveform.posn.96.channel=2147483646
unit.0.0.waveform.posn.96.name=s_receive_transfersize_en
unit.0.0.waveform.posn.96.radix=1
unit.0.0.waveform.posn.96.type=bus
unit.0.0.waveform.posn.97.channel=2147483646
unit.0.0.waveform.posn.97.name=s_receive_transfersize_en
unit.0.0.waveform.posn.97.radix=1
unit.0.0.waveform.posn.97.type=bus
unit.0.0.waveform.posn.98.channel=2147483646
unit.0.0.waveform.posn.98.name=s_receive_transfersize_en
unit.0.0.waveform.posn.98.radix=1
unit.0.0.waveform.posn.98.type=bus
unit.0.0.waveform.posn.99.channel=2147483646
unit.0.0.waveform.posn.99.name=s_receive_transfersize_en
unit.0.0.waveform.posn.99.radix=1
unit.0.0.waveform.posn.99.type=bus
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/coregenerator/coregenerator_fifo_dualclock.gise
31,19 → 31,19
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409107" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6478375917181639309" xil_pn:start_ts="1266409107">
<transform xil_pn:end_ts="1266873172" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6478375917181639309" xil_pn:start_ts="1266873172">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409107" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="4335293426568460978" xil_pn:start_ts="1266409107">
<transform xil_pn:end_ts="1266873172" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="4335293426568460978" xil_pn:start_ts="1266873172">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409107" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1266409107">
<transform xil_pn:end_ts="1266873172" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1266873172">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409107" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5917217509834150101" xil_pn:start_ts="1266409107">
<transform xil_pn:end_ts="1266873172" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5917217509834150101" xil_pn:start_ts="1266873172">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/coregenerator/coregenerator_fifo_receive.gise
31,19 → 31,19
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409105" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6478375917181639309" xil_pn:start_ts="1266409105">
<transform xil_pn:end_ts="1266873170" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6478375917181639309" xil_pn:start_ts="1266873170">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409105" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="4335293426568460978" xil_pn:start_ts="1266409105">
<transform xil_pn:end_ts="1266873170" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="4335293426568460978" xil_pn:start_ts="1266873170">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409105" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1266409105">
<transform xil_pn:end_ts="1266873170" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1266873170">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409106" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5917217509834150101" xil_pn:start_ts="1266409105">
<transform xil_pn:end_ts="1266873170" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5917217509834150101" xil_pn:start_ts="1266873170">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/coregenerator/coregenerator_fifo_send.gise
31,19 → 31,19
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409106" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6478375917181639309" xil_pn:start_ts="1266409106">
<transform xil_pn:end_ts="1266873171" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="6478375917181639309" xil_pn:start_ts="1266873171">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409106" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="4335293426568460978" xil_pn:start_ts="1266409106">
<transform xil_pn:end_ts="1266873171" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="4335293426568460978" xil_pn:start_ts="1266873171">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409106" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1266409106">
<transform xil_pn:end_ts="1266873171" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1266873171">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1266409106" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5917217509834150101" xil_pn:start_ts="1266409106">
<transform xil_pn:end_ts="1266873171" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5917217509834150101" xil_pn:start_ts="1266873171">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test.vhd
257,8 → 257,12
send_data_mux: process (s_mode, s_prng_data, s_message_rom_data)
begin -- process send_data_mux
case s_mode is
when "00" => s_send_fifo_data <= s_message_rom_data;
when "01" => s_send_fifo_data <= s_prng_data;
-- we have to change here the "16bit word order" else the data is
-- transmitted in the wrong order
when "00" => s_send_fifo_data <= s_message_rom_data(15 downto 0) &
s_message_rom_data(31 downto 16);
when "01" => s_send_fifo_data <= s_prng_data(15 downto 0) &
s_prng_data(31 downto 16);
when others => s_send_fifo_data <= (others => 'X');
end case;
end process send_data_mux;
459,7 → 463,9
end if;
when st2_get_data =>
s_receive_fifo_rd_en <= '1';
if s_receive_fifo_empty = '0' then
s_receive_fifo_rd_en <= '1';
end if;
 
if s_receive_fifo_empty = '1' then
next_state <= st1_idle;
478,10 → 484,12
next_state <= st5_send_data;
when st5_send_data =>
s_send_fifo_wr_en <= '1';
s_send_counter_en <= '1';
if s_mode = "01" then
s_prng_en <= '1';
if s_send_fifo_full = '0' then
s_send_fifo_wr_en <= '1';
s_send_counter_en <= '1';
if s_mode = "01" then
s_prng_en <= '1';
end if;
end if;
 
if s_send_counter_equals_transfer_size = '1' and
/gecko3/trunk/GECKO3COM/gecko3com-ip/core/gpif_com_test.vhd
168,7 → 168,7
v_rx_throtle_count := (others => '0');
s_RD_EN <= '0';
elsif i_SYSCLK = '1' and i_SYSCLK'event then
if v_rx_throtle_count >= 0 then
if v_rx_throtle_count >= 0 and s_EMPTY = '0' then
s_RD_EN <= '1';
v_rx_throtle_count := (others => '0');
else

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.