OpenCores
URL https://opencores.org/ocsvn/interface_vga80x40/interface_vga80x40/trunk

Subversion Repositories interface_vga80x40

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /interface_vga80x40
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/web_uploads/temp.sh File deleted
web_uploads/oc_cvs_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: web_uploads/svn_checkin.sh =================================================================== --- web_uploads/svn_checkin.sh (revision 5) +++ web_uploads/svn_checkin.sh (nonexistent) @@ -1,2834 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -# Encapsulate the checkins inside this loop we can -# break out of in the event of a problem checking -# one of them in - -# Function to check the return value of each SVN checkin -function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi -} -ALL_DONE="0" -while [ $ALL_DONE = 0 ]; do - pushd "100baset" - popd - pushd "1394ohci" - popd - pushd "2dcoprocessor" - popd - pushd "395_vgs" - popd - pushd "3des_vhdl" - popd - pushd "4bitprocesor" - popd - pushd "6502vhdl" - popd - pushd "68hc05" - popd - pushd "68hc08" - popd - pushd "8051_serial" - popd - pushd "8051_to_ahb_interface" - popd - pushd "8b10b_encdec" - svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" - check_svn_return_value - svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" - check_svn_return_value - svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" - check_svn_return_value - svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" - check_svn_return_value - svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" - check_svn_return_value - popd - pushd "8bituartvhdl" - popd - pushd "aacencode" - popd - pushd "acxbrd" - svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" - check_svn_return_value - popd - pushd "adaptivefilter" - popd - pushd "adaptive_lms_equalizer" - popd - pushd "adder" - svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" - check_svn_return_value - popd - pushd "ae18" - popd - pushd "aemb" - popd - pushd "aes128" - popd - pushd "aes_128_192_256" - svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" - check_svn_return_value - svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" - check_svn_return_value - svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" - check_svn_return_value - popd - pushd "aes_core" - popd - pushd "aes_crypto_core" - popd - pushd "aes_fekete256" - svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" - check_svn_return_value - popd - pushd "ahb2wishbone" - popd - pushd "ahbahb" - popd - pushd "ahb_arbiter" - popd - pushd "ahb_system_generator" - popd - pushd "all_digital_fm_receiver" - svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" - check_svn_return_value - svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" - check_svn_return_value - svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" - check_svn_return_value - popd - pushd "alternascope" - svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" - check_svn_return_value - svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" - check_svn_return_value - svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" - check_svn_return_value - popd - pushd "alu_with_selectable_inputs_and_outputs" - popd - pushd "amba_compliant_fifo_core" - popd - pushd "ambasdram" - popd - pushd "aquarius" - svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" - check_svn_return_value - svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" - check_svn_return_value - svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" - check_svn_return_value - svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" - check_svn_return_value - popd - pushd "aspida" - svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" - check_svn_return_value - svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" - check_svn_return_value - popd - pushd "asynchronous_clocks" - popd - pushd "ata" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" - check_svn_return_value - popd - pushd "auto_baud" - svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" - check_svn_return_value - svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" - check_svn_return_value - popd - pushd "a_vhd_16550_uart" - svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" - check_svn_return_value - svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" - check_svn_return_value - popd - pushd "a_vhdl_can_controller" - svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" - check_svn_return_value - popd - pushd "avr_core" - svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" - check_svn_return_value - popd - pushd "ax8" - popd - pushd "basicdes" - popd - pushd "basicrsa" - popd - pushd "baudgen" - svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" - check_svn_return_value - popd - pushd "baud_select_uart" - popd - pushd "bc6502" - popd - pushd "big_counter" - popd - pushd "binary_to_bcd" - svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" - check_svn_return_value - svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" - check_svn_return_value - popd - pushd "bips" - popd - pushd "biquad" - svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" - check_svn_return_value - svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" - check_svn_return_value - svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" - check_svn_return_value - svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" - check_svn_return_value - svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" - check_svn_return_value - svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" - check_svn_return_value - svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" - check_svn_return_value - popd - pushd "bluespec-80211atransmitter" - popd - pushd "bluespec-bsp" - popd - pushd "bluespec-convolutional-codec" - popd - pushd "bluespec-fft" - popd - pushd "bluespec-galoisfield" - popd - pushd "bluespec-h264" - svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" - check_svn_return_value - svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" - check_svn_return_value - popd - pushd "bluespec-ofdm" - popd - pushd "bluespec-reedsolomon" - popd - pushd "bluetooth" - svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" - check_svn_return_value - svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" - check_svn_return_value - popd - pushd "bluetooth_ver" - popd - pushd "board" - svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" - check_svn_return_value - svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" - check_svn_return_value - svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" - check_svn_return_value - svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" - check_svn_return_value - svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" - check_svn_return_value - popd - pushd "boundaries" - popd - pushd "brisc" - popd - pushd "butterfly" - popd - pushd "c16" - popd - pushd "cable" - popd - pushd "cachemodel" - popd - pushd "cam" - popd - pushd "camellia" - svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" - check_svn_return_value - svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" - check_svn_return_value - svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" - check_svn_return_value - popd - pushd "camellia-vhdl" - popd - pushd "can" - svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" - check_svn_return_value - popd - pushd "cas" - popd - pushd "cdma" - popd - pushd "cereon" - svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" - check_svn_return_value - svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" - check_svn_return_value - svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" - check_svn_return_value - popd - pushd "cf_cordic" - svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" - check_svn_return_value - popd - pushd "cf_fft" - svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" - check_svn_return_value - svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" - check_svn_return_value - popd - pushd "cf_fir" - svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" - check_svn_return_value - popd - pushd "cf_fp_mul" - svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" - check_svn_return_value - popd - pushd "cfft" - popd - pushd "cfinterface" - popd - pushd "cf_interleaver" - svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" - check_svn_return_value - popd - pushd "cf_ldpc" - svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" - check_svn_return_value - popd - pushd "cf_rca" - svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" - check_svn_return_value - svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" - check_svn_return_value - popd - pushd "cf_ssp" - svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" - check_svn_return_value - svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" - check_svn_return_value - svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" - check_svn_return_value - popd - pushd "cia" - popd - pushd "claw" - popd - pushd "clocklessalu" - popd - pushd "cmpct" - popd - pushd "c-nit_soc" - popd - pushd "color_converter" - popd - pushd "constellation_vga" - popd - pushd "const_encoder" - svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" - check_svn_return_value - svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" - check_svn_return_value - popd - pushd "cordic" - svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" - check_svn_return_value - popd - pushd "core_arm" - popd - pushd "cowgirl" - popd - pushd "cpu6502_true_cycle" - popd - pushd "cpu65c02_true_cycle" - popd - pushd "cpu8080" - popd - pushd "cpugen" - svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" - check_svn_return_value - popd - pushd "cryptopan_core" - popd - pushd "cryptosorter" - svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" - check_svn_return_value - popd - pushd "csa" - popd - pushd "dallas_one-wire" - popd - pushd "dct" - svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" - check_svn_return_value - svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" - check_svn_return_value - svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" - check_svn_return_value - svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" - check_svn_return_value - popd - pushd "ddr_sdr" - svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" - check_svn_return_value - svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" - check_svn_return_value - svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" - check_svn_return_value - svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" - check_svn_return_value - svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" - check_svn_return_value - popd - pushd "ddsgen" - popd - pushd "decoder" - svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" - check_svn_return_value - popd - pushd "deflatecore" - popd - pushd "des" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" - check_svn_return_value - popd - pushd "design_dsp320tmsc10_with_vhdl" - popd - pushd "dfp" - svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" - check_svn_return_value - svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" - check_svn_return_value - svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" - check_svn_return_value - popd - pushd "digifilter" - popd - pushd "diogenes" - svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" - check_svn_return_value - popd - pushd "dirac" - popd - pushd "djpeg" - popd - pushd "dmacontroller" - popd - pushd "dmt_tx" - popd - pushd "dram" - svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" - check_svn_return_value - svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" - check_svn_return_value - popd - pushd "dualspartainc6713cpci" - svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" - check_svn_return_value - svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" - check_svn_return_value - popd - pushd "dwt2d" - svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" - check_svn_return_value - popd - pushd "e123mux" - svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" - check_svn_return_value - popd - pushd "e1framer" - popd - pushd "e1framerdeframer" - svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" - check_svn_return_value - svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" - check_svn_return_value - popd - pushd "edatools" - popd - pushd "elevator" - popd - pushd "elphel_353" - popd - pushd "embedded_risc" - svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" - check_svn_return_value - popd - pushd "embed_z8" - popd - pushd "epp" - svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" - check_svn_return_value - popd - pushd "epp-interface-v" - popd - pushd "epp-to-wishbone" - popd - pushd "erp" - svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" - check_svn_return_value - svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" - check_svn_return_value - popd - pushd "ethdev" - popd - pushd "ethernet_tri_mode" - svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" - check_svn_return_value - popd - pushd "ethmac10g" - popd - pushd "ethmacvhdl" - popd - pushd "ethswitch" - popd - pushd "eus100lx" - svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" - check_svn_return_value - popd - pushd "eusfs" - svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" - check_svn_return_value - svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" - check_svn_return_value - popd - pushd "evision" - popd - pushd "extension_pack" - popd - pushd "fac2222m" - svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" - check_svn_return_value - svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" - check_svn_return_value - popd - pushd "fast-crc" - svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" - check_svn_return_value - svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" - check_svn_return_value - svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" - check_svn_return_value - svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" - check_svn_return_value - popd - pushd "fbas_encoder" - svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" - check_svn_return_value - svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" - check_svn_return_value - svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" - check_svn_return_value - svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" - check_svn_return_value - popd - pushd "fcpu" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" - check_svn_return_value - popd - pushd "ffr16" - svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" - check_svn_return_value - popd - pushd "fft_32" - popd - pushd "fftprocessor" - popd - pushd "fht" - svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" - check_svn_return_value - svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" - check_svn_return_value - popd - pushd "fifouart" - svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" - check_svn_return_value - popd - pushd "filter" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" - check_svn_return_value - popd - pushd "firewire" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" - check_svn_return_value - popd - pushd "fir_filter_generator" - svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" - check_svn_return_value - popd - pushd "flha" - popd - pushd "floatingcore" - popd - pushd "floating_point_adder_subtractor" - svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" - check_svn_return_value - svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" - check_svn_return_value - svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" - check_svn_return_value - popd - pushd "floppyif" - popd - pushd "fmtransmitter" - popd - pushd "fpga" - svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" - check_svn_return_value - svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" - check_svn_return_value - svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" - check_svn_return_value - svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" - check_svn_return_value - svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" - check_svn_return_value - svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" - check_svn_return_value - svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" - check_svn_return_value - svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" - check_svn_return_value - svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" - check_svn_return_value - popd - pushd "fpgabsp" - popd - pushd "fpgaconfig" - svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" - check_svn_return_value - popd - pushd "fpgaproto" - popd - pushd "fpipelines" - popd - pushd "fpu" - svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" - check_svn_return_value - popd - pushd "fpu100" - svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" - check_svn_return_value - svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" - check_svn_return_value - svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" - check_svn_return_value - popd - pushd "fpu32bit" - popd - pushd "fpuvhdl" - popd - pushd "freetools" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" - check_svn_return_value - popd - pushd "froop" - popd - pushd "fsl2serial" - popd - pushd "gamepads" - svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" - check_svn_return_value - svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" - check_svn_return_value - svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" - check_svn_return_value - popd - pushd "gcpu" - popd - pushd "generic_fifos" - popd - pushd "generic_fifovhd" - popd - pushd "gh_vhdl_library" - svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" - check_svn_return_value - svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" - check_svn_return_value - popd - pushd "gig_ethernet_mac_core" - popd - pushd "gix96" - popd - pushd "gpio" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" - check_svn_return_value - popd - pushd "graphicallcd" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" - check_svn_return_value - popd - pushd "graphiti" - svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" - check_svn_return_value - svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" - check_svn_return_value - popd - pushd "gsc" - svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" - check_svn_return_value - svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" - check_svn_return_value - popd - pushd "gup" - svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" - check_svn_return_value - popd - pushd "gzip" - popd - pushd "hamming" - popd - pushd "hamming_gen" - svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" - check_svn_return_value - popd - pushd "hangyu" - popd - pushd "hasm" - popd - pushd "hdb3" - popd - pushd "hdbn" - popd - pushd "hdlc" - svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" - check_svn_return_value - svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" - check_svn_return_value - popd - pushd "help" - svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" - check_svn_return_value - svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" - check_svn_return_value - popd - pushd "hicovec" - popd - pushd "hierarch_unit" - popd - pushd "hmta" - popd - pushd "houmway" - popd - pushd "hpc-16" - popd - pushd "hpcmemory" - popd - pushd "hssdrc" - popd - pushd "ht_tunnel" - popd - pushd "hwlu" - popd - pushd "i2c" - svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" - check_svn_return_value - svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" - check_svn_return_value - svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" - check_svn_return_value - popd - pushd "i2clog" - svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" - check_svn_return_value - svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" - check_svn_return_value - svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" - check_svn_return_value - popd - pushd "i2c_master_slave_core" - popd - pushd "i2c_slave" - svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" - check_svn_return_value - popd - pushd "i2c_vhdl" - popd - pushd "i2s" - svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" - check_svn_return_value - svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" - check_svn_return_value - popd - pushd "i2s_interface" - svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" - check_svn_return_value - popd - pushd "i2sparalell" - popd - pushd "ic6821" - svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" - check_svn_return_value - popd - pushd "icu" - popd - pushd "ide" - popd - pushd "idea" - svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" - check_svn_return_value - svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" - check_svn_return_value - svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" - check_svn_return_value - popd - pushd "iiepci" - svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" - check_svn_return_value - popd - pushd "ima-adpcm" - popd - pushd "interface_vga80x40" - svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" - check_svn_return_value - svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" - check_svn_return_value - svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" - check_svn_return_value - popd - pushd "ipchip" - popd - pushd "irda" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" - check_svn_return_value - popd - pushd "iso7816-3" - svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" - check_svn_return_value - popd - pushd "isp" - popd - pushd "jop" - popd - pushd "jpeg" - svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" - check_svn_return_value - svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" - check_svn_return_value - svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" - check_svn_return_value - svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" - check_svn_return_value - popd - pushd "jpegcompression" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" - check_svn_return_value - popd - pushd "jtag" - svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" - check_svn_return_value - popd - pushd "k68" - popd - pushd "k7_viterbi_decoder" - popd - pushd "kad" - popd - pushd "kcpsm3_interrupt_handling" - popd - pushd "keyboardcontroller" - popd - pushd "keypad_scanner" - svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" - check_svn_return_value - popd - pushd "kiss-board" - popd - pushd "ksystem" - popd - pushd "l8051" - svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" - check_svn_return_value - popd - pushd "lcd" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" - check_svn_return_value - svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" - check_svn_return_value - svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" - check_svn_return_value - svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" - check_svn_return_value - svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" - check_svn_return_value - svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" - check_svn_return_value - svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" - check_svn_return_value - svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" - check_svn_return_value - svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" - check_svn_return_value - svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" - check_svn_return_value - svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" - check_svn_return_value - popd - pushd "lcd1" - popd - pushd "lcd_controller" - svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" - check_svn_return_value - svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" - check_svn_return_value - svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" - check_svn_return_value - svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" - check_svn_return_value - svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" - check_svn_return_value - popd - pushd "ldpc_decoder_802_3an" - svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" - check_svn_return_value - popd - pushd "ldpc_encoder_802_3an" - svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" - check_svn_return_value - popd - pushd "lem1_9min" - svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" - check_svn_return_value - svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" - check_svn_return_value - svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" - check_svn_return_value - svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" - check_svn_return_value - popd - pushd "light8080" - popd - pushd "lin-a" - popd - pushd "line_codes" - popd - pushd "linuxvcap" - popd - pushd "llc1394" - popd - pushd "log_anal" - popd - pushd "lowpowerfir" - svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" - check_svn_return_value - svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" - check_svn_return_value - popd - pushd "lpc" - popd - pushd "lpu" - svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" - check_svn_return_value - svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" - check_svn_return_value - popd - pushd "lq057q3dc02" - popd - pushd "lwmips" - popd - pushd "lwrisc" - svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" - check_svn_return_value - svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" - check_svn_return_value - svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" - check_svn_return_value - popd - pushd "m1_core" - popd - pushd "mac" - popd - pushd "macroblock_motion_detection" - popd - pushd "maf" - popd - pushd "mafa-pc-board" - popd - pushd "man2uart" - svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" - check_svn_return_value - popd - pushd "manchesterencoderdecoder" - svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" - check_svn_return_value - popd - pushd "marca" - popd - pushd "matrix3x3" - popd - pushd "maxii-evalboard" - svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" - check_svn_return_value - svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" - check_svn_return_value - popd - pushd "mb-jpeg" - svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" - check_svn_return_value - popd - pushd "mcbsp" - popd - pushd "mcpu" - svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" - check_svn_return_value - svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" - check_svn_return_value - popd - pushd "mcu8" - popd - pushd "md5" - popd - pushd "mdct" - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" - check_svn_return_value - popd - pushd "membist" - popd - pushd "mem_ctrl" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" - check_svn_return_value - popd - pushd "memorycontroller" - popd - pushd "memory_cores" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" - check_svn_return_value - popd - pushd "memory_sizer" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" - check_svn_return_value - svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" - check_svn_return_value - popd - pushd "mfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" - check_svn_return_value - svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" - check_svn_return_value - svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" - check_svn_return_value - svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" - check_svn_return_value - svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" - check_svn_return_value - popd - pushd "micore" - popd - pushd "microprocessor" - popd - pushd "milsa" - popd - pushd "milstd1553bbusprotocol" - popd - pushd "mini-acex1k" - popd - pushd "mini_aes" - popd - pushd "minimips" - svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" - check_svn_return_value - popd - pushd "minirisc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" - check_svn_return_value - popd - pushd "mips789" - svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" - check_svn_return_value - svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" - check_svn_return_value - svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" - check_svn_return_value - svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" - check_svn_return_value - popd - pushd "mipss" - svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" - check_svn_return_value - svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" - check_svn_return_value - popd - pushd "mmcfpgaconfig" - popd - pushd "moonshadow" - popd - pushd "most" - svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" - check_svn_return_value - svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" - check_svn_return_value - popd - pushd "most_core" - popd - pushd "motion_controller" - popd - pushd "motionestimator" - popd - pushd "motor" - popd - pushd "mp3decoder" - popd - pushd "mpdma" - svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" - check_svn_return_value - svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" - check_svn_return_value - svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" - check_svn_return_value - popd - pushd "mpeg2decoder" - popd - pushd "mpeg4_video_coding" - popd - pushd "mpegencoderdecoder" - popd - pushd "mup" - popd - pushd "ncore" - svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" - check_svn_return_value - svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" - check_svn_return_value - svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" - check_svn_return_value - svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" - check_svn_return_value - svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" - check_svn_return_value - svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" - check_svn_return_value - popd - pushd "nemo_emotion" - popd - pushd "neot" - popd - pushd "neptune-core" - svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" - check_svn_return_value - popd - pushd "nnARM" - svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" - check_svn_return_value - svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" - check_svn_return_value - svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" - check_svn_return_value - svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" - check_svn_return_value - svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" - check_svn_return_value - svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" - check_svn_return_value - svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" - check_svn_return_value - svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" - check_svn_return_value - svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" - check_svn_return_value - svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" - check_svn_return_value - svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" - check_svn_return_value - svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" - check_svn_return_value - svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" - check_svn_return_value - svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" - check_svn_return_value - svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" - check_svn_return_value - svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" - check_svn_return_value - svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" - check_svn_return_value - svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" - check_svn_return_value - svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" - check_svn_return_value - svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" - check_svn_return_value - svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" - check_svn_return_value - svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" - check_svn_return_value - popd - pushd "nocem" - popd - pushd "noise_reduction" - popd - pushd "nonrestoringsquareroot" - popd - pushd "nova" - popd - pushd "npigrctrl" - svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" - check_svn_return_value - svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" - check_svn_return_value - svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" - check_svn_return_value - popd - pushd "oab1" - svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" - check_svn_return_value - svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" - check_svn_return_value - svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" - check_svn_return_value - popd - pushd "oberon" - popd - pushd "ocmips" - svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" - check_svn_return_value - svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" - check_svn_return_value - svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" - check_svn_return_value - popd - pushd "ocp_wb_wrapper" - popd - pushd "ocrp-1" - svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" - check_svn_return_value - svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" - check_svn_return_value - svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" - check_svn_return_value - svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" - check_svn_return_value - svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" - check_svn_return_value - svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" - check_svn_return_value - popd - pushd "ofdm" - popd - pushd "ofdm-baseband-receiver" - popd - pushd "ofdm_modulator" - popd - pushd "oks8" - popd - pushd "omega" - popd - pushd "opb_i2c" - popd - pushd "opb_isa" - popd - pushd "opb_onewire" - popd - pushd "opb_ps2_keyboard_controller" - popd - pushd "opb_psram_controller" - popd - pushd "opb_udp_transceiver" - popd - pushd "opb_vga_char_display_nodac" - popd - pushd "opb_wb_wrapper" - popd - pushd "open_1394_intellectual_property" - popd - pushd "open8_urisc" - popd - pushd "openarm" - popd - pushd "opencores" - svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" - check_svn_return_value - svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" - check_svn_return_value - svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" - check_svn_return_value - svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" - check_svn_return_value - svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" - check_svn_return_value - svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" - check_svn_return_value - svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" - check_svn_return_value - svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" - check_svn_return_value - svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" - check_svn_return_value - popd - pushd "opencpu678085" - popd - pushd "openfire" - popd - pushd "openfire2" - svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" - check_svn_return_value - svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" - check_svn_return_value - popd - pushd "openfire_core" - popd - pushd "openh263" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" - check_svn_return_value - popd - pushd "openriscdevboard" - svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" - check_svn_return_value - svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" - check_svn_return_value - svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" - check_svn_return_value - svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" - check_svn_return_value - popd - pushd "open_tcpip" - popd - pushd "opentech" - svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" - check_svn_return_value - svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" - check_svn_return_value - svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" - check_svn_return_value - svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" - check_svn_return_value - svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" - check_svn_return_value - svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" - check_svn_return_value - svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" - check_svn_return_value - svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" - check_svn_return_value - svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" - check_svn_return_value - svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" - check_svn_return_value - svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" - check_svn_return_value - popd - pushd "openverifla" - svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" - check_svn_return_value - popd - pushd "or1200gct" - popd - pushd "or1k-cf" - popd - pushd "or1k-new" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" - check_svn_return_value - popd - pushd "ovcodec" - svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" - check_svn_return_value - popd - pushd "pap" - popd - pushd "pavr" - svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" - check_svn_return_value - svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" - check_svn_return_value - svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" - check_svn_return_value - popd - pushd "pci" - svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" - check_svn_return_value - svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" - check_svn_return_value - svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" - check_svn_return_value - svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" - check_svn_return_value - svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" - check_svn_return_value - svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" - check_svn_return_value - svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" - check_svn_return_value - svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" - check_svn_return_value - svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" - check_svn_return_value - svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" - check_svn_return_value - svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" - check_svn_return_value - svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" - check_svn_return_value - svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" - check_svn_return_value - popd - pushd "pci32tlite_oc" - popd - pushd "pci-board" - svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" - check_svn_return_value - svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" - check_svn_return_value - svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" - check_svn_return_value - popd - pushd "pci_controller" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" - check_svn_return_value - popd - pushd "pcie_vera_tb" - popd - pushd "pci_express" - popd - pushd "pci_express_crc" - popd - pushd "pci_ide_controller" - popd - pushd "pci_mini" - svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" - check_svn_return_value - svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" - check_svn_return_value - popd - pushd "pcix" - popd - pushd "pcmcia" - popd - pushd "performance_counter" - svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" - check_svn_return_value - popd - pushd "perlilog" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" - check_svn_return_value - svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" - check_svn_return_value - popd - pushd "phoenix_controller" - popd - pushd "pic8259" - popd - pushd "picoblaze_interrupt_controller" - svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" - check_svn_return_value - popd - pushd "pif2wb" - popd - pushd "pipelined_aes" - popd - pushd "pipelined_dct" - popd - pushd "piranha" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" - check_svn_return_value - popd - pushd "power_inverter" - popd - pushd "ppcnorthbridge" - popd - pushd "ppx16" - popd - pushd "product_code_iterative_decoder" - popd - pushd "profibus_dp" - svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" - check_svn_return_value - popd - pushd "programmabledct" - popd - pushd "project" - svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" - check_svn_return_value - svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" - check_svn_return_value - svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" - check_svn_return_value - svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" - check_svn_return_value - svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" - check_svn_return_value - svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" - check_svn_return_value - popd - pushd "ps2" - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" - check_svn_return_value - popd - pushd "ps2core" - popd - pushd "ptc" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" - check_svn_return_value - popd - pushd "pyramid_unit" - popd - pushd "quadraturecount" - popd - pushd "r2000" - popd - pushd "radixrsa" - svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" - check_svn_return_value - svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" - check_svn_return_value - svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" - check_svn_return_value - svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" - check_svn_return_value - svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" - check_svn_return_value - popd - pushd "raggedstone" - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" - check_svn_return_value - popd - pushd "rc5-72" - popd - pushd "rc5_decoder" - popd - pushd "rfid" - svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" - check_svn_return_value - svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" - check_svn_return_value - popd - pushd "rijndael" - svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" - check_svn_return_value - svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" - check_svn_return_value - svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" - check_svn_return_value - svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" - check_svn_return_value - popd - pushd "risc16f84" - svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" - check_svn_return_value - svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "risc36" - popd - pushd "risc5x" - svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" - check_svn_return_value - svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" - check_svn_return_value - popd - pushd "risc_core_i" - svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" - check_svn_return_value - svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" - check_svn_return_value - svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" - check_svn_return_value - svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" - check_svn_return_value - popd - pushd "riscmcu" - svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" - check_svn_return_value - popd - pushd "risc_processor_with_os" - popd - pushd "rise" - popd - pushd "rng_lib" - svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" - check_svn_return_value - popd - pushd "robot_control_library" - svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" - check_svn_return_value - svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" - check_svn_return_value - popd - pushd "rosetta" - popd - pushd "rs232_syscon" - svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" - check_svn_return_value - svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" - check_svn_return_value - svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" - check_svn_return_value - svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" - check_svn_return_value - svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" - check_svn_return_value - svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" - check_svn_return_value - svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" - check_svn_return_value - svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" - check_svn_return_value - popd - pushd "rs_5_3_gf256" - svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" - check_svn_return_value - popd - pushd "rsa" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" - check_svn_return_value - svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" - check_svn_return_value - svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" - check_svn_return_value - popd - pushd "rs_decoder_31_19_6" - popd - pushd "rsencoder" - svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" - check_svn_return_value - svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" - check_svn_return_value - svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" - check_svn_return_value - popd - pushd "s1_core" - popd - pushd "sardmips" - popd - pushd "sasc" - popd - pushd "sata1a" - popd - pushd "sayeh_processor" - popd - pushd "sbd_sqrt_fp" - popd - pushd "sc2v" - popd - pushd "scarm" - svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" - check_svn_return_value - svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" - check_svn_return_value - svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" - check_svn_return_value - svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" - check_svn_return_value - svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" - check_svn_return_value - svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" - check_svn_return_value - svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" - check_svn_return_value - popd - pushd "scsi_interface" - popd - pushd "sdram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" - check_svn_return_value - svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" - check_svn_return_value - svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" - check_svn_return_value - svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" - check_svn_return_value - popd - pushd "sdram_ctrl" - popd - pushd "sdr_sdram_ctrl" - popd - pushd "serial_div_uu" - svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" - check_svn_return_value - svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" - check_svn_return_value - popd - pushd "serpent_core" - popd - pushd "sfpga" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" - check_svn_return_value - svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" - check_svn_return_value - svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" - check_svn_return_value - popd - pushd "sha1" - svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" - check_svn_return_value - svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" - check_svn_return_value - popd - pushd "sha_core" - popd - pushd "simpcon" - popd - pushd "simplearm" - popd - pushd "simple-cpu" - popd - pushd "simple_fm_receiver" - popd - pushd "simple_gpio" - popd - pushd "simple_pic" - popd - pushd "simple_spi" - popd - pushd "simple_uart" - svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" - check_svn_return_value - popd - pushd "single_clock_divider" - popd - pushd "single_port" - svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" - check_svn_return_value - popd - pushd "slave_vme_bridge" - popd - pushd "smallarm" - popd - pushd "smbus_if" - svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" - check_svn_return_value - popd - pushd "socbuilder" - popd - pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" - popd - pushd "sonet" - svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" - check_svn_return_value - svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" - check_svn_return_value - popd - pushd "spacewire" - svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" - check_svn_return_value - svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" - check_svn_return_value - popd - pushd "spacewire_if" - popd - pushd "spates" - popd - pushd "spdif_interface" - popd - pushd "spi" - popd - pushd "spi_boot" - popd - pushd "spicc" - popd - pushd "spiflashcontroller" - popd - pushd "spimaster" - svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" - check_svn_return_value - popd - pushd "spi_slave" - popd - pushd "spi-slave" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" - check_svn_return_value - popd - pushd "srl_fifo" - popd - pushd "srtdivision" - popd - pushd "ss_pcm" - popd - pushd "ssram" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" - check_svn_return_value - popd - pushd "steppermotordrive" - popd - pushd "sts1" - svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" - check_svn_return_value - popd - pushd "svmac" - popd - pushd "sxp" - svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" - check_svn_return_value - popd - pushd "system05" - popd - pushd "system09" - svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" - check_svn_return_value - svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" - check_svn_return_value - svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" - check_svn_return_value - popd - pushd "system11" - svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" - check_svn_return_value - popd - pushd "system68" - svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" - check_svn_return_value - popd - pushd "system6801" - svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" - check_svn_return_value - svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" - check_svn_return_value - popd - pushd "systemcaes" - popd - pushd "systemc_cordic" - popd - pushd "systemcdes" - popd - pushd "systemcmd5" - popd - pushd "systemc_rng" - popd - pushd "t400" - popd - pushd "t48" - popd - pushd "t51" - popd - pushd "t65" - popd - pushd "t80" - popd - pushd "t8000" - popd - pushd "tdm" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" - check_svn_return_value - svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" - check_svn_return_value - svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" - check_svn_return_value - popd - pushd "tdm_switch" - svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" - check_svn_return_value - svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" - check_svn_return_value - svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" - check_svn_return_value - svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" - check_svn_return_value - svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" - check_svn_return_value - svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" - check_svn_return_value - svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" - check_svn_return_value - popd - pushd "template" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" - check_svn_return_value - popd - pushd "test" - svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" - check_svn_return_value - svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" - check_svn_return_value - svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" - check_svn_return_value - svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" - check_svn_return_value - popd - pushd "test1" - svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" - check_svn_return_value - svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" - check_svn_return_value - popd - pushd "test2" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" - check_svn_return_value - popd - pushd "test3" - popd - pushd "test_project" - popd - pushd "test-project" - svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" - check_svn_return_value - popd - pushd "tg68" - popd - pushd "tiny64" - popd - pushd "tiny8" - popd - pushd "tlc2" - popd - pushd "toe" - popd - pushd "tone_generator" - popd - pushd "totalcpu" - popd - pushd "trinitor" - popd - pushd "truescalar" - popd - pushd "ts7300_opencore" - svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" - check_svn_return_value - svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" - check_svn_return_value - popd - pushd "turbocodes" - svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" - check_svn_return_value - popd - pushd "tv80" - svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" - check_svn_return_value - popd - pushd "twofish" - popd - pushd "twofish_team" - svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" - check_svn_return_value - svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" - check_svn_return_value - svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" - check_svn_return_value - svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" - check_svn_return_value - svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" - check_svn_return_value - svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" - check_svn_return_value - svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" - check_svn_return_value - svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" - check_svn_return_value - popd - pushd "ualpha" - popd - pushd "uart16550" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" - check_svn_return_value - popd - pushd "uart8bit" - popd - pushd "uart_fifo" - popd - pushd "uart_serial" - popd - pushd "ucore" - svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" - check_svn_return_value - popd - pushd "ultimate_crc" - svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" - check_svn_return_value - popd - pushd "ultramegasquirt" - popd - pushd "ultravec" - popd - pushd "upcable" - svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" - check_svn_return_value - svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" - check_svn_return_value - svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" - check_svn_return_value - popd - pushd "usb11" - popd - pushd "usb1_funct" - popd - pushd "usb_dongle_fpga" - svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" - check_svn_return_value - svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" - check_svn_return_value - svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" - check_svn_return_value - svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" - check_svn_return_value - popd - pushd "usbhost" - svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" - check_svn_return_value - svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" - check_svn_return_value - svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" - check_svn_return_value - popd - pushd "usbhostslave" - svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" - check_svn_return_value - svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" - check_svn_return_value - svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" - check_svn_return_value - svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" - check_svn_return_value - svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" - check_svn_return_value - popd - pushd "usb_phy" - popd - pushd "usucc" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" - check_svn_return_value - popd - pushd "utop_lvl_1" - popd - pushd "verilator" - popd - pushd "vgafb" - popd - pushd "vga_lcd" - svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" - check_svn_return_value - svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" - check_svn_return_value - popd - pushd "vhcg" - svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" - check_svn_return_value - svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" - check_svn_return_value - popd - pushd "vhdl_cpu_emulator" - svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" - check_svn_return_value - popd - pushd "vhdlmd5" - popd - pushd "vhld_tb" - popd - pushd "video_starter_kit" - svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" - check_svn_return_value - popd - pushd "vip_regs" - popd - pushd "viterbi_decoder" - popd - pushd "viterbi_decoder_k_7_r_1_2" - popd - pushd "vmebus" - popd - pushd "vmm" - popd - pushd "warp" - popd - pushd "wb2hpi" - svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" - check_svn_return_value - svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" - check_svn_return_value - svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" - check_svn_return_value - svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" - check_svn_return_value - svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" - check_svn_return_value - popd - pushd "wb2npi" - popd - pushd "wb_builder" - svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" - check_svn_return_value - popd - pushd "wb_conbus" - popd - pushd "wb_conmax" - svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" - check_svn_return_value - popd - pushd "wbc_parallel_master" - svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" - check_svn_return_value - popd - pushd "wb_ddr" - popd - pushd "wb_dma" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" - check_svn_return_value - popd - pushd "wb_flash" - popd - pushd "wbif_68k" - popd - pushd "wb_lpc" - popd - pushd "wb_mcs51" - popd - pushd "wb_rtc" - svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" - check_svn_return_value - svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" - check_svn_return_value - svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" - check_svn_return_value - popd - pushd "wb_tk" - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" - check_svn_return_value - svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" - check_svn_return_value - popd - pushd "wb_vga" - svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" - check_svn_return_value - svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" - check_svn_return_value - svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" - check_svn_return_value - svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" - check_svn_return_value - svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" - check_svn_return_value - popd - pushd "wb_z80" - popd - pushd "wb_zbt" - popd - pushd "wisbone_2_ahb" - popd - pushd "wishbone" - svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" - check_svn_return_value - svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" - check_svn_return_value - svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" - check_svn_return_value - svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" - check_svn_return_value - svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" - check_svn_return_value - popd - pushd "wishbone2ahb" - popd - pushd "wishbone_bfm" - popd - pushd "wishbone_checker" - popd - pushd "wishbone_out_port" - popd - pushd "wishbone_to_ahb" - popd - pushd "wlanmac" - popd - pushd "wlan_modem" - popd - pushd "wpf" - popd - pushd "x25_protocol_interface_project" - popd - pushd "x86soc" - popd - pushd "xge_mac" - popd - pushd "xmatchpro" - svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" - check_svn_return_value - popd - pushd "xtea" - popd - pushd "yacc" - popd - pushd "yellowstar" - svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" - check_svn_return_value - svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" - check_svn_return_value - svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" - check_svn_return_value - svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" - check_svn_return_value - popd - pushd "yoda" - svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" - check_svn_return_value - popd - pushd "z80soc" - svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" - check_svn_return_value - svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" - check_svn_return_value - popd - pushd "zpu" - svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" - check_svn_return_value - svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" - check_svn_return_value - popd - ALL_DONE="1" - echo "All checkins done" -done
web_uploads/svn_checkin.sh Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: web_uploads/oc_checkin.sh =================================================================== --- web_uploads/oc_checkin.sh (revision 5) +++ web_uploads/oc_checkin.sh (nonexistent) @@ -1,225 +0,0 @@ -#!/bin/bash -# AUTOMATICALLY GENERATED SCRIPT -# Scans the cores directory, excludes the projects and subdirectories -# listed below, and generates a script which checks in all of the -# remaining files to the SVN repository -# This should be run and the output piped to a new file something like: -# ./oc_cvs_checkin.sh > checkin_script.sh -# and then probably the execute permission enabled on checkin_script.sh -8b10b_encdec -acxbrd -adder -ae68 -aes_128_192_256 -aes_fekete256 -all_digital_fm_receiver -alternascope -aquarius -aspida -ata -auto_baud -a_vhd_16550_uart -a_vhdl_can_controller -avr_core -baudgen -binary_to_bcd -biquad -bluespec-h264 -bluetooth -board -camellia -can -cereon -cf_cordic -cf_fft -cf_fir -cf_fp_mul -cf_interleaver -cf_ldpc -cf_rca -cf_ssp -const_encoder -cordic -cpugen -cryptosorter -dct -ddr_sdr -decoder -des -dfp -diogenes -dram -dualspartainc6713cpci -dwt2d -e123mux -e1framerdeframer -embedded_risc -epp -erp -ethernet_tri_mode -eus100lx -eusfs -fac2222m -fast-crc -fbas_encoder -fcpu -ffr16 -fht -fifouart -filter -firewire -fir_filter_generator -floating_point_adder_subtractor -fpga -fpgaconfig -fpu -fpu100 -freetools -gamepads -gh_vhdl_library -gpio -graphicallcd -graphiti -gsc -gup -hamming_gen -hdlc -help -i2c -i2clog -i2c_slave -i2s -i2s_interface -ic6821 -idea -iiepci -interface_vga80x40 -irda -iso7816-3 -jpeg -jpegcompression -jtag -keypad_scanner -l8051 -lcd -lcd_controller -ldpc_decoder_802_3an -ldpc_encoder_802_3an -lem1_9min -lowpowerfir -lpu -lwrisc -man2uart -manchesterencoderdecoder -maxii-evalboard -mb-jpeg -mcpu -mdct -mem_ctrl -memory_cores -memory_sizer -mfpga -minimips -minirisc -mips789 -mipss -most -mpdma -ncore -neptune-core -nnARM -npigrctrl -oab1 -ocmips -ocrp-1 -opencores -openfire2 -openh263 -openriscdevboard -opentech -openverifla -or1k-new -ovcodec -pavr -pci -pci-board -pci_controller -pci_mini -performance_counter -perlilog -picoblaze_interrupt_controller -piranha -profibus_dp -project -ps2 -ptc -radixrsa -raggedstone -rfid -rijndael -risc16f84 -risc5x -risc_core_i -riscmcu -rng_lib -robot_control_library -rs232_syscon -rs_5_3_gf256 -rsa -rsencoder -scarm -sdram -serial_div_uu -sfpga -sha1 -simple_uart -single_port -smbus_if -sonet -spacewire -spimaster -spi-slave -ssram -sts1 -sxp -system09 -system11 -system68 -system6801 -tdm -tdm_switch -template -test -test1 -test2 -test-project -ts7300_opencore -turbocodes -tv80 -twofish_team -uart16550 -ucore -ultimate_crc -upcable -usb_dongle_fpga -usbhost -usbhostslave -usucc -vga_lcd -vhcg -vhdl_cpu_emulator -video_starter_kit -wb2hpi -wb_builder -wb_conmax -wbc_parallel_master -wb_dma -wb_rtc -wb_tk -wb_vga -wishbone -xmatchpro -yellowstar -yoda -z80soc -zpu Index: web_uploads/if_vga80x40.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: web_uploads/if_vga80x40.zip =================================================================== --- web_uploads/if_vga80x40.zip (nonexistent) +++ web_uploads/if_vga80x40.zip (revision 6)
web_uploads/if_vga80x40.zip Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: web_uploads/VGA80x40_documentation.pdf =================================================================== --- web_uploads/VGA80x40_documentation.pdf (nonexistent) +++ web_uploads/VGA80x40_documentation.pdf (revision 6) @@ -0,0 +1,1050 @@ +%PDF-1.4 +% +30 0 obj <> +endobj + +xref +30 33 +0000000016 00000 n +0000001277 00000 n +0000001357 00000 n +0000001542 00000 n +0000001720 00000 n +0000002148 00000 n +0000002875 00000 n +0000003098 00000 n +0000003520 00000 n +0000003554 00000 n +0000003781 00000 n +0000004002 00000 n +0000004078 00000 n +0000005117 00000 n +0000005533 00000 n +0000005976 00000 n +0000006098 00000 n +0000006973 00000 n +0000007625 00000 n +0000007891 00000 n +0000008908 00000 n +0000009348 00000 n +0000009582 00000 n +0000010534 00000 n +0000011504 00000 n +0000012183 00000 n +0000014852 00000 n +0000047908 00000 n +0000054501 00000 n +0000054740 00000 n +0000054933 00000 n +0000055172 00000 n +0000000956 00000 n +trailer +<]>> +startxref +0 +%%EOF + +62 0 obj<>stream +xb```e``§@(qa5 SU000s4bF1 95;C73w,mN +5Lhт`1P&cb5Q&0v1me9ȰA-cLfO,1`Ƽ˻| |!Haxn$ e7p| A +endstream +endobj +31 0 obj<> +endobj +32 0 obj<> +endobj +33 0 obj<>/Font<>/ProcSet[/PDF/Text]/ExtGState<>>> +endobj +34 0 obj<> +endobj +35 0 obj<> +endobj +36 0 obj<> +endobj +37 0 obj<> +endobj +38 0 obj[/ICCBased 55 0 R] +endobj +39 0 obj<> +endobj +40 0 obj<> +endobj +41 0 obj<> +endobj +42 0 obj<>stream +HUMo6W̑*"DJJOI $)j c+i;C+jvHμCIh!Pd2y[fL69DWogFWi^\F\C)Ȋ{n6 !SB:Ocq@\.emֹy+DZ*Jg<Pե)\y3S2^ /WA$nxIVUU)GҸ@VPT=v†BUCV Տ};7xQJ(g%r.Q`aI2\v\=mz w;[g7Dz3^dςR//#p0[Jf ^2i$;em+r6z_,ȴr|Ƭ)}1.`c۠ +endstream +endobj +43 0 obj<>stream +HTMK@WqsȺG'*xP=R!RLԿ6iRnN}0Bh[lY%86x"ı; \6ʆ4zC:R4gMhbx2|EDGr!B3t<I՝TIȫծ28YF^F_RGT ĨOjX^Q{50Z|>stream +HTN07:C]T dkQJ$RS +{ή[TT <$˳O,b2~f|l<}odme.MF+}&Թ"@ ?DDZ$n =F*׈ %&#r8iB2"nE]k`Ӧ+sa܆>Er]\WAT554=|OSvDzn0RAɖ[f/ic #IEY8А8]=6B2K;;9k_AwsflGva'm< Ef@Ң*;XxsKXL0"%+y[:(tWv~ 7vPVI~ WS:TȎ +endstream +endobj +45 0 obj<> +endobj +46 0 obj<>stream +HTMo0 W6X4qh)qo׏"Lby9$H>>R)NgJ, cP21W&N/Y.MkPP𫜉3wQ:a\I&JNLPE~ +p7Bo .8 +0RT&h\..r䇽i +E^izL 4NvGxdZ(8>2(V2 ++Y^cߣ;,{FIw{lX]7.W`;"aċKցfr-OqZ;+M\9UXZ%:D +RT-gZ=^L XKfk۪Hddߛnji9vq bâp gEE)<{8>Fbd,YWLfk1a)Q9/j2Qol%3 QEownQWCC77Tx^\zuv^q4|vD]Z+ϋN![:';'ÛZ`sG ep]eK>)vj[6prpgG;lIu,[b 3AhXh=#@&Ӱ7Gܸi=s^>#sCGb,a+HokGkriۆáadC6v2%jؿe45n9^3clGjC-L)-梔h:]vlh7cۖ +y +endstream +endobj +47 0 obj<> +endobj +48 0 obj<> +endobj +49 0 obj<>stream +HV͎8 )^*Vm{)0XN]$Ԗw}}%E%39,9H?Io>/42J| +ş¬?rdJHL$.*ͲMkv|A/3SnNC˄q6`t>K_7ƨs +,VDCzFx 'q'-88-&Zև" G`I +?Ѱw8_62 HC$ RYELi]odiٲf59ۘdɠ6LqVD1n ״"Ϣe]@WK~ScÚG +uHo@4)QӅx* C" j1# {OSYۗ+~ikG/o"ZėI +A[j`f`!}ʵⶱJviX.JƊIpǡ糿$dceh`=Q^1qFL s!VJÀU>x;|9 3<~э(|?q^˯8/UmyeR"8\Dh;.a\Q;[oF+S%D?4䱨V{ȍ&* >s54MYtL^gkb'M9Nд̹Telz_8 .eSyaBi +/g.%N#81RUmysEQ>iK\gO)HߥiX14J=컨rSU7–7!ADnY1jSI,WO^ +endstream +endobj +50 0 obj<> +endobj +51 0 obj<> +endobj +52 0 obj<>stream +HUm6_1e6-=+}p%Qq`;o z<r[TDjΔD#htCTAk>3y&0_3 + va 9A%AUeVsX! +[\C-`VC]c؆S_d$vHJB jS%Y0=y\8s=N0ۀB,QWQ`';~s>P?&Ö0P +|0ߓ J䴘SQ-$"mVۤ0XlwA܈Jf^P!JUU~ +1Lnp)JWe-G}OÑPe,[?O\,7P0~࿈tu% @.'MDmfԃT/8xS1.*j~OV"o~ +)rNz1ÿE)U(^n]\Vd+mMD~V,%!=c-v hJ^;bO-!6/nwm7ǖ[.9|! +endstream +endobj +53 0 obj<>stream +HUmo6 _rQ+zlCmt: .aٗ6ȯ%\aH>$I42ɥ׉B$)Śify TM.ʁ6YRR;= +'!J[t́d#&.X%A_H3 ,}f97I1FRF@oZ:d)B1ӓFGbMQz/lZص$-H|[HSWwIc[Ryi.Y3 Sn$=ŘP%Uhj̹`Ep:E:'0^hxݫz1sj̺:~覑 Э%%)ȕti `'F':* +3>Fg~~ן;7plUeK~\I+wpS޺k8FT7};>jֱ'9-P+x5M3yr7}״Cb9,(!2F w ցeW%`%732.)V +ܶ#/o?\.ea {TlH:b?gIJW~ +dxpq!TB7TP4yaZQ`p5Bi$6:E)ˍ*m<4rqӨNwg:TwSWb~E\sܮ&~8xЋLw<D(>_+t?;6$mrpPŊ@> +1 +endstream +endobj +54 0 obj<>stream +HTn0+x Z.zKРO +@(NXFHC-=,;3Lӥ7l_B}zf F2HE  +% $Toq}XX + 9Dva. 0!8(]e;*gKCEW>^D5hugD `ə(ncF'1XYs6,"La?+)mڡ1 iEܠ#;HVe&SK%1ݛ30ۉTE,Dz} dQ2 i2s^el&{=Xrd^U+z (k@o-h߉:PuA6rF2ii/ +p!ÎNZOfbՠ\VlZ}voFrҽM.P{3R,v}a7//,RK%sXkU`<6k p:flis֋xiܩ-,/9~OYqZ?o+?la˗) +ؗƨ:ƈg<0e7 +endstream +endobj +55 0 obj<>stream +HyTSwoɞc +[5laQIBHADED2mtFOE.c}08׎8GNg9w߽'0 ֠Jb  + 2y.-;!KZ ^i"L0- +@8(r;q7Ly&Qq4j|9 +V)gB0iW8#8wթ8_٥ʨQQj@&A)/g>'Kt;\ +ӥ$պFZUn(4T%)뫔0C&Zi8bxEB;Pӓ̹A om?W= +x-[0}y)7ta>jT7@tܛ`q2ʀ&6ZLĄ?_yxg)˔zçLU*uSkSeO4?׸c. R +߁-25 S>ӣVd`rn~Y&+`;A4 A9=-tl`;~p Gp| [`L`< "A YA+Cb(R,*T2B- +ꇆnQt}MA0alSx k&^>0|>_',G!"F$H:R!zFQd?r 9\A&G rQ hE]a4zBgE#H *B=0HIpp0MxJ$D1D, VĭKĻYdE"EI2EBGt4MzNr!YK ?%_&#(0J:EAiQ(()ӔWT6U@P+!~mD eԴ!hӦh/']B/ҏӿ?a0nhF!X8܌kc&5S6lIa2cKMA!E#ƒdV(kel +}}Cq9 +N')].uJr + wG xR^[oƜchg`>b$*~ :Eb~,m,-ݖ,Y¬*6X[ݱF=3뭷Y~dó ti zf6~`{v.Ng#{}}jc1X6fm;'_9 r:8q:˜O:ϸ8uJqnv=MmR 4 +n3ܣkGݯz=[==<=GTB(/S,]6*-W:#7*e^YDY}UjAyT`#D="b{ų+ʯ:!kJ4Gmt}uC%K7YVfFY .=b?SƕƩȺy +چ k5%4m7lqlioZlG+Zz͹mzy]?uuw|"űNwW&e֥ﺱ*|j5kyݭǯg^ykEklD_p߶7Dmo꿻1ml{Mś +nLl<9O[$h՛BdҞ@iءG&vVǥ8nRĩ7u\ЭD-u`ֲK³8%yhYѹJº;.! +zpg_XQKFAǿ=ȼ:ɹ8ʷ6˶5̵5͵6ζ7ϸ9к<Ѿ?DINU\dlvۀ܊ݖޢ)߯6DScs +2F[p(@Xr4Pm8Ww)Km + +endstream +endobj +56 0 obj<>stream +HV{.>zvPꔺ싲pږNIj2z_<)ΤdD]ےaУp_.dy`GEvԦygB>9ഢZel[9ݵ?C`l Fz$5K*JЊ7Q,7ժݿR_Pmm;{)& +^ +!s4/Ic*ʙ>\C9Qq\Np(r]B8]>{g|4O?W7v Ga!\e! b., -[&ُb~X[+6E$ X„>4DaKHh">O8N @ZE~Rw/{=qpl#B_Ei0<=Mޢ B2|[V +$="ܔt^L(LJM;GRM ֗p4iV=̊ꇗKf9WoP\/=\$'JQVM3j +oD'Rm͉i%纱!{[$_䥄?'AG4;\y CUNzm4T^l)05=- n3<AgyPZ|E~,y_~P&xiJUtEo:s[: +Rk'#P0WT,%p@f>Ph ݾ3-gEF^w +hXY[QȵMFg  +-{t5ۮzyo塘nqW;^gYy30Nh'v'2|k͜GQS^h~_-AfQT%{{On&jzA2t(z4vز\<͸N<:JsD^MCA]E +G@&\]ϏQmu~$w荊 +rה=ZabrT_Т{~dl"A3Qoo-7B~e19蜢+-f1:Bo|z +qA_Vk(K핶nK Sn}RkG4kчSd'V "3$FY qz-R.a4'5vQAm#S{Tuͨw'Wۮд -Ҹ}HFBQyT?r +F1?* +So+t;r._ؿC2a3 +ى\ .Ur~_^TrLr6/|v?g + dzuC‚{|9"wy ):3/Gqv}fg|BJ'!] ӊr2e"lUkO͗4) Z-JK'(B¤nJ'SX +'펌_q#t.򤛨Dm9f;g*}LNץ^&(k5l=ۡ\I,3L,)> W\R>槗Lm]ۅ!:ua*v|s<&<6({qFJz]3gY M*8w[#pTs1s-CBG +E Jb (hZ4؊Tq" +\Ɣj *TE% (NJk X06#{$t  zq'wc`z0rչj9Q!aFc8'ڶV,z̈%XJݱhym&4$3sw8H_ZҨ܋,t'4YDĺa"90}@Y>T6}ī?n[Ύߗsabɘo腾v.\W3M1M1}DfuKoפj-S9amM&s/{o2w@3$xk//9VB?|w~|l+Ź2ƺc_wa@2Wz9>PejX"ua{9'?NdpX -Vm-W4 O3YlH;D$QW<@}0ZəfaIH{,TMtk+m+@87lǝ6R+f}sO@q%>6?ZР(GА z[ۖ_|#jj_ѓ4{_ֻBZ,/*|smǟf#Ec)Ӊ;S?q_g3Vu{~3JA]pKe ` +N y\c޿$nUn[Z Ag ƧCrD +%:*Ij\V\ bޣ/[,T8p:|ϯkoffbg,WK=8p(YMj^қHPb e2GޑByW~blM>T7rO)Ҭ0ZŪ.4IR bBijWhPUtCctW>e)+ڊYìVu:c]!εه񎡎 U;gNsssQ¸wX)ynd\4v ej/qP<=l)ꉞ"AiC= `LPm+Ha-~]=kY@]DzK*] 'HIN˺OuZA/S/#QUVEZ\--8CDf5G WA2@=TCiJ|Y%ߟ\y&g`%@bۗF8R'gXVa#9tBL@ԤZ[EcՒZ8>V\|*aU_Y`yLY"x/cWsMэF`M.*TXKe_L$9ws>J0a{T_c3+ĵd +M Ff$cJav#L5̎⩱SI u;WGaޏb"Y`#h aɷ[D{_?UnRt>;T-&Dk&QyiS{栅]EbϡC{t[ׄ.;uߞ\/DGEh2W͛5}IpF +ԯWNZ5k8 NryóV;55؝?;uT/},뗞?z&S깺[LvyO&]2b`LNKvmڡ4Mvy%-d%v5IccQXfMZ`w~w= +IԝNfaf1^Iz= wOo( xI^W^u4(qGW/1YQr9#zFuy!?첬-0YS{7ccv]Y 6 +F[§ʩ陙湴Kgۃ371*xAgE +3A03h* ,s8PR+ԡ%JS%h\HzITܓu7EkŸ +}-|^al3hzj]C{ X1Zeh/r0"lF;Mڣ(1;///q_Y^P|.PBЏyΞ~ e¶Omwl " kY򬶧zP +a:AW!E 4k4=+l\E{ wu}@12Σ}1p3Is^Ua 32O2f77cvP92hPkʠeLՈC9*mr>rN8ԱSE;>w:Hn=͠;O΅}>;HgɹZp)1 |Y$S!E{+zxΤPmSF/zC +++L=~O^A^ +Y-i6V]ZK%uq!#E!G1ww,I=(@CeN?ȼ +[9mJa +|?@ns5Sz3#>4#>c7;.3ڒ)ƌZc'yAϦǨ_>9jsE=暹jw=gKv]׭MLeEN-e?8]GC,ujGQ:Z _h~]m3ոJQa%"yrH4U;w mRYS;(SB@c,|6ATS#ƐwiO 㬜{urR*V9&~~c7`.wk*R}Br^Tm9]e1۞| +37hɵպ*z +E2wF^6>G0bkVKjݒ~jZ)sdWMchATyE\FM˒S +y,>w[{8Yo؞w#(AESu0vT UZCqJ.~Sp~)~Am +_=C6QK% ;9@؉1Aߤ/i:%~#P@ $POuʏKܢ.r~&GP7/Ʀ mup/mw{vy84%.!7hr:r8OA^ 96[yͦѮ=y:|ܧAOϠrt%τNFÍ:vh +jF-TB>x݇{mz?{].}h# {|Ppr}n6\},c(筋y͸KU :w<+ly6} z*Neh =P-u3wڏ6QMһy2*e_WklTs..!ƌzKlw C)+e) M1iK i@;Qm]LG%JU[U*?*Z{Yjo9ڹ3sΈ68s~* :% .A,FpcXoP/ug/*pm>/iuggA}?gmMX`>@Krmr5wOE\@7(oP~`\5Cw`AajwBNC-T-Izx>dfCg׀hc}"ׁU=cN#TA;#b}oҏ9?o`1yqr)E-rYg?x=!yՏ^E,WB̩w^јr;n[-ގ:ֹŝzm"%Q.M;w}w81'#?AL +[Av-Tbcb8v1^^z_0>^ iy>޳,5s7Q{7~GϛFhFLYI%@^Y Iz/p7 vkd7weu6e~5`?)~4퉱\$Dsպe -]@7t/tyz]O,_6ࢇ%saf ]W\afNϖeVũBb$vPUv +.[% +Fu({75%?8!?'v-Y6{ԧu'e71%LR(A@%'hzx!9P  dg{!zPjSط ^cl}&e$gO> *tm~,,ЧZ|lKҿm-6gq_t@ P:a,ahor0xg\Mf^@~C3X&,}V +DT::Y#4N#4bUJn[$ > `XXwaC-V]hc!fum3 86ģF{Km3r-w5${E-һ%,[Y9)F |Pp5n"gr@eb~=Ivf֬6;Ԓr¤4'~6DP"t|\wp׺0Y1+c5,ɑ>ӹd!X-Yi=}~#iڀ69rj +ZWk5wT6x<{=x O=m$>hTq \׈a)@h> V)<j?(<, (th:4! LHWb7:m!Ks +iBjDʢac6bXAC ;Ԛ@MC-  +:@qCCmT}ZUW7WR|YT<;(ל.F*=;16,ʀz@Uz +k7ݤ $|&}$=~?e.YXĕSiCH{U]9݆Ⴋ\_)b@ WZr +@ϐhzᷖURXLdtB)tW!Jy@2)JVJە\㰔y'5Q0HѕiRjBҿI!#3O?'ՕL)=Bҗr\_RGuzbtLYRf I?->)GOԌB$31#+@f_fHoS-3N +UX AVIsdKvmB_ mĨ011C7`=d^4C;@-fPLpǣSVHP3F|=H5^nDr1@>fY^R+f@]$9lAr:-eC/ڙ +M5O?_[O>'WBIj|w{~9s!Þ*]mn<%Wq·W9ϜgTyPeghMEGFOv 9S`|4< + H+ټ/;_=TT!N( !LmgE%g"$93SPHBd~'RKq0~y",X`gnxn-Ee&-`0*3mÑ6[Ēzyl,nÑ@6Nc߉uacMPgak6sgifY)V +z +o9*zH6fZ8Z܋2-2@juRT'5@zĽb5]o4Э!qѝO*N Ҝ7Eke7n3hFLF.GzE  Ngl: +lG (?͟԰3i&<-bAC_)H i!ʣ!8b8P +EWW/dGK9øWt8;y9=/6e;/^Pca]~O@}2^+9O6B)Qư +=D&8@ݼG8F0 $迥|=،keT_"1\>X7P?Pr8~j+lKɓ/ooU*5&kյӚh;մ5KS^N֝fͿqy=`ny;2Pc,Ȫ N +Yu=Ih+m0^T-}S)P+NY灾$Y^^^Z^D֖7kKW7f â^^'/ߨKxKhک^BVe^6j{)7檪X[J.b[;F3舘5%׶c+]*]2]42H^/"6ڱRk0j:V =JJkи"]qIm[L zq#eW +MXYXA5FT$d6 +QS +A`{F8)@S2ϩT(b {1LyT`%jl]qɖ|Ō.%aqA5KҳȗCkYZު%y^_Խb{$+ B, =8_3&y.mLҰP5)?I={GhF\ňJqO)|[xZyFI6 @A9+iQv^A~ d-TzE%b?~%M0:B`ذ<u$ s3oɇy< P-`G؆ڜʾSi?˜gw +geY=yKFDt&3;NSKK4U8.*ZN5ѵحIZ\p{Nly;`"/pM*#/s*'WQ^AVvUˍXW4; bXF?* +I$${45UnT|M mg dO6'OGW^QXco]k"oz[|;wo2w7{|o<5}SFÌIQ'rIn'rd:HIk U%A<|q o;z?Lkp}i]JkKZْw-KA$8U y:&\S mL2V\gL^إI BI`Hđhi`nRLS>@lpux|)T"%LXf>ǟ/^[:[Ps*QR&35EP]x&og,hS>'3Ly> +FqoAQ6"p*p}ެoֻuNσHu +2&5&bLbLJfMɛ8Q6 +O㙙nZlcMN5ud: d=/KtM"LSdž{m'w ~;ӧ;v% +fG$V=ԧT.8,vIӐK&iނTS'*TgcC$';'& +3 6sPnE ++jqubNK-W +aw+ +NFk2%dFf.|"ۇveŶz[43y2G~ %esW6nŞCwmOxho\[r^ra5O 7ѭ#X]'0J*sBUXD+pKa8YYAWR(EDAv0e +(9L. +2w _uI K<|NX +-ȫ1U*hCB2h ~ٙg{FԦ:3O0}5I畽UU։#N}H#'~C=~b>}zHWDs=vgdn6 =щ |\Q)lĨ4lư6c 'C7Rvd4Ah%K%1;~nu:.F7fٌR@UmD-ϻ$D~)JPiJ$VXnU8cP5[r櫌bC (vW\3'=Bq׊#ý/o龫w\πeKSyjglUּΞΞ! +Ý맖mD5Ξ@_0ܭ!d5F1 iC%=2F +Kxh%E,K8Vz:&\l<<;sːQÒQ4rws9^'2=tO &3Ͻ敶[^Wf'd' 㫅1N;uݓ +b_].iq!o{ &ƤnReD|s<`'M +/./;/U|"A!A)Sue63V2+aye3 Mԓ#Ĝ~ϊYG_;v5 D|bnHL̛/q0r0_? wg9$N{9`vr!nh@R xXl ?+#(jQ+u4j6L +n cUj7QYGԬ*E{sU{ϯNֽSBen䳕c +\LQV=ۙNelA,(Ktאsy2'sA*gJ +3 ɋ5h +[qjVD 0"&ڭ(e-A}l>2aU`*y(˩93WYK?~ͮWV]; +p^l]n]szClSs}[\:=͙ٛG::㊆'6čA'3gbGn3 CGB9P;e⸀U`?cT팡 3vU~H5-e+dSrih)Dwp}V=y," +N(40z0?Y8H l]4nl6W08upW<6ClƄ#8DK +=b#"x[fl[-oZ!Әiq!Foq@!"V]>B.X2#%IGn< ƢDDZwI'wq/]Ҳ.VIe%4;Jye YϪYm#ƓF<;)J)2gFB+3H\jcȈ/ !(- F30k:Y{GtӎC[g[[=b0PXWXC{7?^iRJ |y̤|2،+H7!0G=ݎڕrT;Yt;Wf|ݧ?D^ѮzF9.*| ?yL&3GVzW"״U`rRg̑N?Yو<"o|w~ ?io} (1O7Nq)ڑȉP-mdi4w +~sOVvGċ1m 9u-u'EijI% k "\h%Cls$cH`a) K2Fԫ j)iD>0 mx,ey =]M++Ik\^Q{,Q*Uҩ +- +,_37 +=&QW{[6&Y z +˖ ^ +F<ǍaՔʄcn ^#&Uk44Vj4.4.*pڔԐiHv_M/ۋz{m4. +1s86XA^u)VlmNб)'pg[eÏZGWkd^8qT[>_ytpP HBn-ƽx6vyG?9y]Kd E5D +0ѐ)gFd˒SId'Ny;dO<~f m<َGlݽqbp f+PAБ$e[uUk45B:hehK2iP:5t]7Xuky_'1MS޽?}c&bs$Dy󭃇f2 o fєg.=fO%Ɇ$o{5_Rg88QFw$mM4-LaQsgfXΩf\Wice[ XUJ  +lJ[f+` #G>..郕=9i޷s/ǾO>yep"%#~p:@uhO4h\p2Nj]Jbu;WoU +,:&)Ip_ܚ}PTQ7'Di$현P%P%˜H$ IMGNay 9xL][#mTMAr|>)ܰ9ð{<&RFjKOq?4Ith!pdI +<Y/CY[6?gv)96AF|g4ޛCMPlrbQI6Buֵvu!ϫ}m0b h9. z5%~-5= O]Fߎ"I1JdGޟ|89˺Вh:&z)rUB]};v%y9u-fz]J(vMtNmlK:u{! +Ȯض:|}ھ^}8Évx+4M%Kڨt6j(iEEb F2fmH0m +U H(M$C*}ι^}=aq'~ $6(tz5;m*v!]T0fT 8 +|=;vk9UOM]mR +x"C%TtK,ǍIhZJ^$>H@IiF2 +a;̤['6TN'kgR&X"1)L(,]:M@^:'/~˧Q.?UաN'hg\ l^>pj{*FOQLcñCa_dG!1}<{(0"9.5#oAZfOܬfk&&(`>%*ǼGX\"\p D0zLKs+ǝsS,(*1` @vxc8Q +Cv!yZ-<.2#Q+T4ʭ +R׸tfD %J`/J^'԰t6Cd{<Z?}2wzq s p&?ؕa0*,7YLT7j}'G̊7;Y|hTo`-˛m?ѡCESd?0 m 0 X +cn$)I @5؛Y4May0>4ROt=Qv}_!H|rl!1O8[y,` ڼͻ=s dHcZ: +ㅇN}=iC8r"Qd^PΪ +U4Ơ\\{TirWsK$nd\??3y_q|)OwuᰡY΄C_D'E>Jj&An95O{|@7 wܷW'cejܓGRp]D[${%qHhEpEF,D@NuL}Rs *>K츣(j;^s8!Fηq X(߅p>Ԉ, +,ݪZSX-jaK"T? G99wUx|C_uEDfGmK*WkaVE,׈[ʄl&ɭZk+ ˪[}6Cq^CqfHQ\Q +$Q8Ijc$ \,ᤔā4\)I4XޙI^`ȚDvO>_lHĨM8$8L;IG%h%(pI/a{Zh$ޒp*;!!6W)S9_8O M dU# +YY;z2bJDA,a3~gp=Uon0gh7{GɫAygiG]Υ䅼8 | \v, +tBwguCl$]ŲQרuFLX/][0R-ݻ1ش ȈܨIЩ2܂lބ +΃9Y F7P᪨YWUNvE$I<,9Y4DX8!.xZ(4&ɐ6KdIE Kt&K#Ա\ lZ*PRAS/SJ8~^HH ΡVTᎁ)aB +,sH"YV!RʼngJC9b_+-ҳ!Yٗil +]|5AL +Ax[ž+o'yqYXշ ; oO +Զ?ԋU ruGSLRA ۟cQ"ccC*)HI<' hUr;fREn/R\Y.WDDy";O}YE6P#X1+D +Wi\7l}`ZRtssԷr\H;9:N{o?n~ӄө?&㖷川)O +5 %H@Bx*$pCHYƉ2'/ +V>?{ t7$flTpG\Kz6ϰҶWڕ5Ԁ04,y|s rS˒Zh=*b4} -l%ZVcQO,]˪C&5{۪g" ˀ1U $?O +D-ݬoMNL1Ж U7ñF#oSxv{诿ٙj +Ϲ\+w] +C> <(^7tǴq{3>ܝ +l!GXjJ $ kϺI8HɦlZhIZNIi&m4܄l"hf]UZآ=kS~ܽew' EtC,'?mBߩHl[ksߝ<|^0ji4WԷRo[z.9ݘъ_2eB v+it-;佊t(F1UϯsUG%(`¹ +5%̵r,,p:FҸJ,B9[ԥ dxD_A)ߒb f;@tuIwAhs49Iɕ$TQ_"B"%i2gsZSEn{#KpZh 6S12+bcX΄O+y0a0bbh/;qi^L_#c2.ѽDW|b)+bb]eoY yIrS;9WkMv&q}XP Wcp56ecza."'!wVެG(yys9p>L37XЉO7!)3~? E-0;3>?JZUb{{vz8~(2I;#%ymD9hNdD lmo-̳=ցdsΌ6 򠉏P,Ρ؝f b4-s nZ?%H@@w C̳,)(2}ti TҩhO_uzswsGХ@s /xvH9Txa$5sӝV׻RBwz떝w6;t1kTt;]'loFU{k=Zq{ϼ>wʱH8sv_뵁3. A5akؚT5ת/T((&x` +Om U#:"F*&A0*_} +zx\xhIT~qUؐR_~1E6aJkaݍ Çz&^yݬz6@\6x };ZQTO.2sDF=FV{kZbMCAKCevP1Z< +Bd +6yДިJl=wf_m~VWP4"'#NMn'P#ds#i 4K=>SEM&BЊvpw*FbdsP. +|'V8 qӥ0>Tjp{wޤhW͓Ƃqdm0<f +6;@sR$3u$tOe|f +U +X_jSOt,!=h>SMtxBI9ki,)".+ZfNE?ӟ*dJ%jI-G]~g؛j + Gk`GYXﭥ#㚆ut';::;N8A @`gA gȗw>)TQ&Bqk,o)yhl +m m~͋-0h4aUD9O>A;EO|bmK.(-"41z#L~\a LDu>*Y-smm +D\ 1*/Zr҈)eWr}Y$]T./j+BΗO(' ʂvu=KΗrwُMWZVƤETvzXt$+;rPm,>-۟Qiww +fWZ5m+qV)ؠ!QyZ>5bm5vgTNdN}S$7 Eض3C(HSEDʒMvtD[щ4v.1Z%^X. + .FQ$k2ooE=t}!S`W};cWmY"me(Ά$EG:]$Pm? .BkK(}PAR %'(a>Yu# 4<ꌕSdB(L#f4@K1 +x-6ʋdu9 ? x?]5>T@6 산&BQU/hDKuRabr"S +F7[ K[U_%Q_|b5qa,o@jNp a+s\`ۿ&9Fi=uTA:? rA4IHPC'$_{ߏ8"$(C0PAHz~o6gTb1p)B槓r%Kpɋd ᲲMt\՜ؒ<َCzu,$"KD䓻RTE<[]C?=1]Qk4+6E,feS9+s + +K$C3+LޜJGl(l*7vOVlhJwﰙ~6WB,;Ao%7c8\4W $FYb +dEz8Uuk\u<ٱDteG1Qq4

wi/@q Sɏy#ĻwSӵ`d|hg0377 G`pŪטWhX|c7̎WM/8O$u/&\G,Lgl.Zb uXݤ-[OK޳1ľ>=ikہܧpqtlzuyvlil5+X#VbFoA'86sҵHl<;/xdU4B]&#fV2k/3.-LM&&ADmLLPZ +V`-P1I1 Iʡ0Ը Ylp=cq/`7a +Y=SvnQq탅~$,~{|EtL}%lZ$`/xgbSoNͷģ;:7*<;W"g:>z+~xXC +XΓ+]$)*Y- D%JSbFB 1$?uBBcxИ!su:cy|@<u0-kzc,>n=<d3p] +g /Wa 5H]7tN:Wg&bq@٬eCikCRW[x*͟xāZ00# 0ɒl)+暹ayaC`*n7[H:-Hn8El1̃/X5}*Q{y_80,=>p5}sSĬ4K|~>K O$`eN9!ʾOLA t4DFJ̻Jiqzqzw?>+R.{JU[: DWc"hĿ#b~Oݡ$QQ Qd״L)v^T6 XG7roZ-Wi.H^c0I~gfMM{ʪg=p!E^U۶u%%OIIIۢH$ʉ$4ԊA~uKdR, CdȂ3!v +c[V?;?μaIyp,pK9ۺIsxyI{wӍG]⇺> )EӻiLtL+oKSyʇZ9HV΁y,EG"bz,'SRV~*zsOo=LR{ +nJK+\AKBHvdcHňY +5x~횓V ߭nsYڡ|^HBOVLVސT$,8f_L!0?@[[UէI΂ENH +[kRH:fq䄋)2:ebS8`G^.WyBNWYv->ِE_LzBY6w;}̉ sF8fO_=y7Soe[YuVX^n3~qFifR_3iwtL6u7Ms׼P4Ĕʙ91vcїK9aF +5SYuʢtZpkYi ,! +y#ܲD>a}agxhf12,]'e[riuți7 b5Mwȱ 簈2>,DP<EI!1*b"2 D'-!X<|:0(AOuLtk}CTjDr%8tR 񺹈%d!B<ે,XeA8]*K IC9pչcœ.&L8@[.RwֻWWV'U]"n0emMM$wzĸ<KѧRIC*Hlm:p.vi^bIx7\WKx8&J]RMD(T^ŔJLG < O6o뚝i{4{Fq+ݏ6>57ey3bߞj 4iU*GAfױׯp LBaGy+nZ݊T,U\II !w5Ru86!S3ɇ|U7^6{ސРl/ mӓo 4cݪ7굆Te,7 3fq}F|0Q +3\MkGk`20ņn4xƶkt׸ZM]ZOLCŽ}pU!HW* xW38 81ӱNp5.5~_Ga^LEj9lyTõE52BpRtb_'NklAz 4`-WJJI]mE +U`İR3ќzb|yevsv :w S/ojH`y +4\+(D)5ъIh +5#^}3'Pޙ}oq .t@LV4l2Μ@?9AO/ Kʁ:$IMX_JXwӾ Mf3>.@H'`t ! m!QUR\Y1L*Q;TJ.@S܃ Bf{Xx`kq/7*z*8];}^U&m9zpXҶX8. Um//UlX<'OU\8e]N!dhA02{Kn]F.Ok7=(T#zDDa,*h_@?8k#k/>cTYmq!E56n9=1uN$?68ehVEhEybwX,UGEhN,h8*qqiҿK(F?(N  EPZzd[ȹ_~?mVam2Za;H6 + qㅾf/y1zebY\LJ/ cvzal.^+/ &ffywe"1}99}NO~y( oךk Cj6F"[ỲE+wRպGQ +JUVUYoˏSKQů(٣+Me!V(WFUa>V,n( p.c}O59An#@kP5@S ç;?ʁH|ͺ{!ĺ|`ԘB8MOY +&^7~{ ZfYbcY8SX-o?4l|f+޲3RW,q+pd/žGQ^qJo9Yϻ2|ҧڑtAˑ:r+)_td.z!͓]d+p$lq>stream +HW PSW>yLЭKEypKZ Hm&By{AⓊڪ(G񱝭Kǎ(UWQm +Bl;g? +`RxHsR)9~鴆 +~QWO4{嫮k.ʩ:?6k$thC@) +x_3Z9xJ$w0 :aQ0Xts,-7#<,DP +@W]4z~#D8Ŝ[\I.ZRu0 6?p6 2 &]20 &R_0V= +(47_rnH}UpZlD#)T6XrmW_4[V^2<0-0MVqL'Bcd8CgĭDOX,TS:dͅjQ`2PeQh,,O5o@\(D0"_\.H"1!Q*"ol3gpl:JfY8%wD2=ܽ[ݧv;E=}蟯W=u?NS{jݘEΚuOϑ!;W{Yey+dE9.,|oԮY\89eլ-gO5H1Y(,+{;'>)>SU_^X|]QT޴fﭥ] ЮWIGL '#]:m؇BҾuY˜rE}}|/nk'&\:rl|X{mEUA3^2 wi<);(>;o'\"Vj~KQ)Cwa粪 +My%*x܊맹jvn3nByo~#]Sfrb%eQb;mK:JD/ջ͇o*ٖlqs\J= $e53>6}vKSv4QGOGU_v U_[N$K;|>hf]q rɉɧjٺ_&nI)҈m,m-i_Phe$Qv_ϔٓE 04b! նP `Fl"rY_JŢ%<%i12*F#9oIH~Bq2$`Dl#MQ!Pkv1A#y-N$ѹ+d5QhA< :Q0 ( ̦leYaA\3S-`޴*MՑFTz*F-;fy]Ȭyfi\ʏv_Emo)gәѼ*΃$5\._98[US_Z}v\zV[v1Q)^I'Mm([>Y|'RAs܉A^ӡ5s=\\TY>qÿ#]=nfe_|4;a\P!ovrÜs}yUfbӯl6ɩ*h.+zWBc\΍B!99UL F/{8&//a,K)@F!܉;ãM@YR:HQv2."_F'`ՠ1Hh )dQ4 lIW!@Κ +M I#!I9L\#)RFstd8tn5QR01 !$ +1Hd4 +l86OV[hpO9ECgc*1(Fꐲ)lVJXiMDJ(^Zp")HMR"2h~Hԓl1+TΛ*Sd\IZ*IP4i8jbNSffP^qu;<4 +DF5o@ufd\5JڄUp*}W L +d)56qg`hQaUPv\Р|".HAPmFD5HMS F#RV6*Z5ܻ_mw̙3g9sYu DG.~%!W:+"j5?h8!,P R%w2*0VGA!a hc`* W+=EbCT*>,nGv3V^aƄ_}#',E{UR?.T\6tTN:ң۵>v2`JŬ?/)3ׯŮbzN`l7Š%kfr +aT_ =%sJ>bYȇ];i-m2١K61jPFlP,@( +%; +q*3b/ӥ/gb,ܴl5a&yGMm[yuL:m-?\uxԞM6tm=vkvn9Uߓe]y:imnCËUwM[y۲qo毦k/^SY'}asCٶF5]M/n-no-j%* +Gg*;:]{e_w{<gUYvvKe~w]ib|*sʋ@:.XQWbQ{%yP\ɺ6iiqhZ t8 !:0ȭſrgό? ԗRCy̨<"g擷&}'[e?m{,"rCG+lR5ڽ.^X&QTٛ͜pk1哦.|ّG?6?vӺ4ܛܖX2=(Lq^˿rF807oDФArdD zZ?'HɱF#r@#Ed!~[ O2

Ih v͇{* %Q*w0: +hG;},0+pXrO܀-*{D8E5%d+FLncтq +DS B]a,9C'mm.$a(ƍa3L!S쀈fYK+Hvc.%g9p.)'\nswg%hχeQS$U5pBK.<4 +qj;uR= +RP,Ex?\>Ainj72R|NMQtN +@BFq$zŰr.:T1; Zx\~CSJOôS"d,rXgp6U."l-F֩zOiAe.kA, +P)|Kr]^(xi -s<29/LYߌ~E2Ruj5We< uw 'x_[PCT]p6D0*rQbfL튚dsJS.',v2;Man氇8֍AEl#z|h!qX@iɖh-ʙ_+%ʉS`Sq,RNB9۔+ZRbCim~ +u?RBG:|M? w;A|_ZZf_e5 +0f5Ʊ܋0nIkPO< +4t@isc/g`x9OX0̙/9,,nĮc:![͊\dk`#RvJcn̵(^aO .AE\.rY +.\tsr.V..f.=;.xrX y}10o:U./sy<ϥK#\ڹ\ʸ -5 +~ ~*X VS^S~([Ny b91{^̦씘 1{B>&fb6$~N_JJ$T'H{$[l$Y%dpj{ASp[j1 +_`;Vt ڞ GZ[3ӿ< +B1/8dk$3睆TñU񾟋; oVf7{Aj F";4!5-ӟd %Np]5U0t{xs y<],7 fyF̃.Š]J7cJ.X /YTV4 $j޸iI ֖ծzW+cgLAeW֞\e-{L5?%W*h&Z[jβdY߭ +?ɎJniȰ@ +{ٰE2RgHv ~3EöPٰG +[雾|:919'_[;:<&clj|N3MrԔ<IJfl"}*oZXVyd~&!N%Sӳtε};p?YPbT4i# x> +endobj +59 0 obj<>/DW 1000/Type/Font>> +endobj +60 0 obj<> +endobj +61 0 obj<> +endobj +1 0 obj<> +endobj +2 0 obj<>/ColorSpace<>/Font<>/ProcSet[/PDF/Text/ImageC]/ExtGState<>>> +endobj +3 0 obj<>stream +HWY۸~ׯ#h"\U3c{Z45Ø"e>h$U<>4dk* +Tw=GOO[DTifzv=Z,lqdIF#m!T- v& fs-~^5mg:vQ?m⹆sWԣHu:,$өM$98R/P +]چ-iޱ~ihTU7*&@g҄( +9eES#9-Ƌ_ +fO⹂PeMր0&d.R991Rt ʣEr߳1 ;<)!)84eSCJE_@ۦ`XMƲe[xu&*և =W + +T,6F+ +r޵g' έmSݳ'f7^j`ɢ;" +^b h%Z1T WM]5evA>,Xint qL +89 +~ +Ĥhs W[/3gVqǑ#فK .W'|̘90R1 ב]/Y.r]ФaWez5au)iG]6Clu"^ig lsp4t +Sn~d i B?̈́J +p:1lǹL5[mgo9jF2!u~{OrK1y@!OO6TQI)šH>dT6nw]܊{TaP{GaG~XbC%m|Lc 㦦&spCW<ԯ' +>]37F[0?ʹk- + { ئehudpcF>%GDZb̽K?F[yGڊ1HΘ~ӧc NՊ1HΘٰčAAWfi3ZL,3IH$j1W`Nܹ!&6n}1~ܞ;) Ɓ-cPa 96OSp 'B@|Ue9:f1t[0X|a7 >&ru%sjËBآ tK]2%8lI14dֿ.zDǶ`sir+oK +=S5]tjhsl9p;]nOp +Oܙ{cSyɪHO_<6Svrl3 +Q s +} +X3~qͅjVU}6a'DœeCKod<сSV #ɢ}|CѾƙL;,o֧zP<|D@h (_Z ctw@# Z\US۝E}ު<=<)S9sFvˌ[L84ǩI;'MQtG Cjaà( n`!:8 +yK1P$ +վ5ke00;? zNC~8 + + }@dz!9)K{HH[Ƙ 9J)9$cU5*؂m_}aQCV~#hW; Vb ^&4 bBZ^VXD?_r^Qa&;HsӜXsB0} 7?v@f1 XA3BAĈh]puC:,/GѰEgͦ8ݖ@ ܄1^x)Wjyx[$ +v(Y42;xWw,.Et/~,UCmY߲MlG0Ï%4/Clד_dtq%mOCH)gnR7w=wv:9&dk@Q؃k[]$lسw~ϣY& 3~ +x>rO_`UV=<ᦁUnvWUw^ j /@{-%`CYoٽLF#M%q)YZ&m1$iM2o|i-d N8pROzk3K 'G+A/e@@'O0$8 oHjmK>i.PS%A:+-V &%Y MX4!iDOX$q[Enѵu'w+ˈIKx.PfUJDD%:xv#p!NYi-jhuKDRN_/6$-m:QX d4aѡN4!iDOX2VUE3."b)' +'#%a%Hg]Jd  +t +Qx$!"v(3K?i։R(6`% "b7F2'BK5-uܒD $MQCn0visk:ENr^T>ۚX| Dص쳍ID ';ōu1[,@$ Υ˶6 R#xD 7V}~W9@#RN"fY}~)ID9p&q񤙧풞D$f~"60NXl+ +|E,EYȦ;Q +Xd4a!lB +I#xA6nún:"e)Gi[Wj2` d _)6,i!^G +%& +NGmA2"+] w!~)xಖ]0҉4': L5__w_/v3LsY>l`!qCˀmdٶMب'cZ>tjЃiڰ"u~YW8ؾqSu?39.2@B,?<>|~3v:T/3NknXZސ8$؇aqΛ3+'.NLp)Y||ڣUyg㛷WՏ7OoW3DO1 +Pax + i + +endstream +endobj +4 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;; + + +%% ## ((%%((22022;;;;;;;;;;@"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?HZ 'pvRhvgBY':6hDd)A%"9Ё!"hIK`FRO +B;%.8gdHgO4"{$DL% =R +>DLvЎTb8 +wЉ䂢~aIMDHJ絰ILh7nb<IJt$Hr23(S!7)- |Ht!L Gpͭ?EAQ-1r}4"ʭ> +)zm(i [M4Rl:$>MUgqqSujgSh" Aj'DHPl͢:yW;D1V)S:bGSKN-uZ9k)CAH|8<$tQ p 2'& Ԏ衢p%5.PKD$(zT XV;9g[NЁPgHЫ&q?ɏI\q\I"s>^jWZ2 $~A,p حQvƂ"}$ol1fx}[q #@9%*H蘴ڍwdFN=U+6\7I2b8Tr-xz;h.ę4H cy%y˫#fU<>`e6? 8az/q:[̍'ul<>Ș{l߸O(427qq 6Hq:Io-,2(ˊG*ݶt,I7 +ϼ +=p|[3Vs8 +;wʍ^Kˍ.#>Ï%U[ +zt}4D$ 7.ۺ5F}+!Z܀Te +Zh5 P,'8VZoaЍ ,ctWgiveO^΍};$Y KTt0#ZKvۜd@BX +E9 6H졕"˲M22^q>ى!쀄*8yR'nKhw +mr3g|J*~Ix/b[W7_}scG *uN-A.'_]h +`a +=W9 g(pݾ %\Shm|lot<7˨D.;?7q>Q +fvlen_DH +]8R5;D8d˒DOƷa ^=(Yz{,ec%CKLJ]]bxԟHn8[f +d9\bDx%XrW9e#o@dΩ+$.Ih\D5ޱ1*]!`p RHgpfH)5YK`-x+7Ds*v%/C08 | +U!)O)vtAРr sS40 +4O ' +dJS%9q;w10)$YMMDVhB#H'"3A%ooȭt;ؙV:{}L GtǏȹq^ñqBGSCu@4ǒJR|S$,p8t2IQJ)2I"%"%):dRd@L@t2tJ:IRI +zN# eWtT:.5te,e#^fA)Fk:juPQ4Н  +2s<]S;x'#`AJ1 HLuw)#TNpJ4=dsJj8>Hh:xF[@V5o Y- {!Ԡ:D'D +"4Yo.tq$7HqGW#ppT:1LJ@ƤI,I#JRdJY$H)?)$)PIҥZ$)$A*J|$ +ͳo:tuehiYu0`~M{B A2 f91:|F  EM0S?QpGJL[cGpJ5ka(mpi^ HtWFA\ <Edpt~>eDMqp'⮓ۨ1ȔqViT`=8C>#7P +rd?ʺ3De?ʦǺlpJPIJI$JY<$@)PI#JT$QRQ %JRI$I%JRI$IxM)z#DwNJv"XcQVśT p{s̡ wuP)sӞi 0u 撕4KP|JjMSRYɡΊo{v7J`Jͬ [$bX@X1+'䄙ABhNT$(AKBb$R% AK$pKiASGJOmSףL\!T͎/5!ϜQ{)BPHkĈ_ +Sv%. 1$|Se`uIMPS&TL+'N0Z 5$I E٣=H*ӚƗlcRBV^ bZ +2HЏuNF8A?'?kApQ}0HY ;*_wl> ~EևM>,-#RcN +(NCO "KB*Y Rɡ:H- $ +XJ$RBrYs*$6)]ѷ菂>Gb;($تy6 x'q3&<'RHVgYHc⟄8)b?u"; +OIM$JiNN %5rϫet + ;4r0mӀFJ8s~Kh{5 +sl:;G(nkv(BŒ֘x$7JߏVP5_ӱ21VMnƲKpЦL!~< #!#R€|Qt +}*:6K&53t"xDQ)Z#珈N@1=R~ >GNOh|礔&R:J+纭sŏmM:8KBMq0' +9f}߀P}m qv$P*7Uw:댼D^)mDGee!=xVΥ +Q8`x83.< J]8w +ۭk5v 4-дa?ßڗVQ0^Iy5;{8b;^??ޗS:^߸ 5"Q%C+7қ-gVpN\}OoK3K%ݮZF@@c旹.~Ŀ-%Yh=s$HE^=*f@> {=걶2NswΫֵuN-i54BwYÒ +~wOͭ%sloASXuʉK]{)iǀI3;p͏oͣsh5Wx&Jk? lq5" +}q`Mؠ[Ժv:KI@ >ߊu/jaedhxs~!W- ',dj'lٟ L+Ub +Ν&_?ϻ~}оϏǣY\l?ަ߬ i S<( Ewpel?[?Tv)5 nަ~?ޥ(X@_E۽Z疲>k;ݴu mm"GJj6 Lĕoh(@utk :?K촁# saNOu +v@WZ8#VKNAQ$r8x<ŀ53-˚iu!<+U &gR$K25 +3f3Zehaq3S{ +, 6ĻA0DFSh[/ƿ]Um5/qnʛt%/Qė:S{HN:Pȭp5"4gC>ZxS>% +wC&֝G_E0HcB`8GůӺ;xl^ +|2ݞ#Dk# /Fs*4eF#qd[ +4F/uVWwGȥ@#}S" +Yog`x?rF"$iߌn:oZv"[Q̄Z` _q&?Y1ReDi^tOZ %}}{% q{am:w~Ym^ > +AFdS8!@PQMnu 7Y=S''@?yUP'uǹFi@8N4$h#tlde$Sܦ?$ۼZ<aJ 5syHӄ)J2}t l'B`D%Op+ l'h : 5sߔqKTp{Ya5' +B#5\:ҷ[ΐSŧ|I^Oq4{Wca ív؞T|JqI:,&`$en|BGWcLَRW,<)G">!%hc-op\4 {p ,|1:+Z͖ +=F, a#:U›7a|հA)1*M 5\pq0x%))>stream +Adobed    +  $$''$$53335;;;;;;;;;; + + +%% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?9 E[$v \WpJ|nq4-SQU +k%N `j ++u"{&2< +vQl +8"AD=Aԃ +" 2Nf{jPu)L!3tLGԤ<IZ#x:3!0:t@5R/8D@A`5.IHPRKD& j +`h)R%(itm@?8``)S-?#B$|k$<J]h4 @=" $Z4<%/`bA :A5HO#BTp!4K`Oq#Q⒔ |H&pyHI%(m,%Lh S$@L~k8vx%-d܏yfV H6wLcA}A<ǒ s@  +$FBAK}=|GLZ4fU)$Clt $$T.h @LgsH.:IKߘ&'|tn/0HSToƟvxINb40{mUO*j߹Mªs>_%k{AȮ>RkCH-$#@jd>fu]$S%j$w![;=P9T  ^ߊ"t';CpIg%/oTh @fL'k0@ĥF"IO%/oT}Ry dFĔ-l#RkBvӴAU>_ry/oT N5wX # {gnv0t w4VLyLLK[^kiPI&{%ȐmacSVt?T~)&A|NP2uQiõx yx1[PH%/J3>){e\ФB +D +7KZD:^U +%Liߕe 8RURnNCHeW+$IReNcbDmoYik)pp{`tm6H +"b?y[rYfeh/`A-lS~ձ0 R +qlAǚ˨ ׀|'N"GT%.d)t$ RJ@H)I(_DQShRK!JaFuJtILjDDxRR5H(I, 5CvEikA+,UߙN=V +X~%&!9m7&#BgK9{Elז47A5Z=kgCf8N DGp۱Fz_7uQvC^}`} TlQ~2{kܛ+e,`~w:ZGws͸K[Dq +.v s. +6 q釵]#{}V:Wu5&o:2ɫ?c21z5g7eYkhuޛIk@2tFx4}etKuR߱]kקm،`_k_Tr_eSH4ӂ{UE5mT_{C +u(Z>!G{Kt~oH -?$5*cn{ڣI~EtYuu,cIk wL(C*>FUUV.6ղVA"gПFA$ۋ̇`VCC ?j*_i*>20e㜆!CkkwrHJ)?>dYhהb/د?} +M7[iIՉ  P_VF>8{H6H!FOTQВ" z@msw{6pqeY=-ul=}Z +޽1Kק#~#g4;u7k?C3Lh|΍M+s[Sl8ۢtkTP9З]Wkj:oo;ed2( +v8m<5l--_Kn+\}QW- w[bGolN?WѺʽԱ8n ;4yO##R۲_!**6IEH3aO|_D1p&|8DT}^eՎUvs +SWұcvFĴu:V+u7[[Hl'R(EJ?>`کç&[5iuqGmijqEVs3fϭԷ!/s*K'F:VΣkeNZ}0jByhrNKƩާP8ڮt4'AuN˯euVkOߑwEљÃRr_AtuתʮPX:;gVĪ3cx%8X_Hx\Z5C;PuLlҝ^EwF́ƩPnHHsigTb@fAu^j`OOu&uMߴ[UT;x +Aih˱>b=W LTm@ ƱhdY{3UL +254'݈֟Pv_FYXYf 84ܣ}/c,ϡw*0cT7Jã6o")l + q:LQCl"Yixl\Z<Ҫbc+ +ɽ{)9!1lWnq&λ:\k 5k,ӹ=v#u*2*gt k#atPcя` +Ӹ]&5:^-Gcq +v *kc +0wpŽ?_kk֛ _A|eW*8fƮvvh[cͦiˠiUq-8M]We-2Xkwf4oTls1~IȴeSLF#Ur=># +1߸4*_V`֮'+p!:`K^ +0#Zwmf}mm7'ה[ͪl{#hSgQ鹶3 +ȵ̰<44,lH.# [0v7:͙sȇYֽxc3 +Z$O׃x-N}֎sqظi[ld5.0ԟXZ5X"6%RԺ6{wLk=2Iq h}]bkd@n* Dtul,}dz-$~\:}=@ݾLv\'VϠ:ut\鵟w@t9N0?Pَx V&t1AR +!H(t*}=XkZ +IF: VW_'v9D cE$84'x+WKj*eѠ$j4\_ծ:P4:Z]!i7g-꾭: +y"ِuּZZH"#) V: +]C!hճ!#תKpn#B +wPÿ*ڎeG4Ɔ ~a0{tWšxN=3+Qρ5 ߛӟuyt~Km694 +Y.>2\(cُCe[C>Ag,lL UWdT1tLЖ Fsom9YNf}O/ +I{^ps'M4T1ֱrz>@em=qqhpB7Sqe98n5^X=:G#rv]oPbΦ- !~%msw8Ͱ!Eѱ("l5 +}A<% +|ك)19΄ǪQ>wк'YΦ{-pP\vT0zwX/}zeۢG`uS}]slf[ln;eoW/&: #=gZ׾ZA;O$G y_F9%)prKAwn_z{m/mL+[7'"!s:~̫@`$@p:<3.m׺ktk_xd3x6dZC.#+1┌gK}M, N?Iøt1206WOS13jt֨V ղ;uE`Ii y)gFzǨ\lƽ-h~0Gd>G(s2׎C[jv= +`۷?8`GKmwY76*C{=\[ +yhtzSrsX2~[cˋa=g'|oOsNE~WXh|TӬn] +|iumY;sѺf>f]G+\斄oyX5>:t(ݒC79?WeXfsf + Lk2-k~wllHcy/>dz^/$48~ +WfuWddkn.@ߠutsV +nqu2i߯'onS6-;Xj.n(W>6]t>aǿ*k +m89MWl0ۉo.ȹYmA%AoplXʝm}^%X|:o־u7eS[^4$6B%ty^f ^CGIS.v\۽6d2IL}.g7MyY}Foϱ;5cAjg}nZ60{\Hp3' +iug2ÿo=)p.pj!_/誏1sȝ:/Rՠc?"M]H$Cӟ٪sn1䍉ҋfzB +Ǫݭv1Gb*O;e'`tz}f=0ǀut9WY}ϲFf9K"Zxו~4._6 +А%W֮}%~Uح!û Gm b<<\&R鿃_{omIȈDY}BnShpk[6Ub>|1'yt{Zs}u.m|4 \IrbǐT%[}dY2֊l6֍`OT:U}CQqldHDG1U[Y^0]2eÝyY$$S$ 8DDi$c.D`ZNkM=Q\DOЬdQ,PF2w>{(YkK]΀|&l/>jqCˁ3 ~D,jF}:\2N,S$hDl8KqO Fh=8*S5 +J2F׾G-0Vg^֪DnvrI0)ՊbRI$nSI%($&IJI:nRIBT'I$tIJI$Bߕ:I%IRI$I H(2 7AYw=g`S!I$T$I!dFI$4&N RI%HRI$I:dIBT%M$% R %I%*PV|CkڈQ $aI$vTh:IR鑤*)$SJiK% $BIF% *R(JJ$IJ҄)K% IKBh)J +*A TpUU^ O⊎(:a +Jو$NRN*BNU(I$@RN%JY%(L"ք(JkD J$JkpJk(Jla$d+BI!JuQSkZUf:*O\>*Bm-!3x%h +I<$E +J:@)bV)'(Fj<'Q1J$ a(RJ5FlG BP*ք<'V%Jh FR'!> +endobj +7 0 obj<>/ColorSpace<>/Font<>/ProcSet[/PDF/Text/ImageC/ImageI]/ExtGState<>>> +endobj +8 0 obj<>stream +HWo~f~bH49-Ł( +EHʮ6+-<(̅4,( $ )'Qfw:uuʎ۳b˳e,g. +\'nuXQ/bﳋȇ 4ȏX>pb¯ %_~q9)y\xoz(Bf6.|'3Ps|oq>=s +Y7ڂK^\d|*{x +g5!E`cRt!:eẃh0BȻZeldPb0"=lec 3"--];\"HouoHed^ZdD|;7dTd>,."ׯ ϸ'~>'3?e``r`u Ԡw 0C +  x^!aj5]Qc6ȉJL6Z]ԵCL~WʮsF$1g2DL/6zފNHdpKnkޢ+\cw37/oA~.$ +@C)Գ'p=fZAq"WwR1T?X=o@bGM ~ +d| eH+<556 On\<>Ou[}a*wbn5"hv24!F/,"y-_9f Zڑf_^Ag<Vӽn~.T <`QsMwg0I HhA;\b~JP((Ɖ/okl|M&7l݆y}Y (Y@$Nz)~-T)ܵ0W g9}&FxͩX;<9ʛIO&<2է&۵i$G[ {D@ʬ+bfQl)usxO@7Cx"NaV9xr.<*U4#Poi]䐽pB@N5= #TÑ dX3!^*Wqv`iz8.R\bz p녭KL$/1aDY[d @Jt\!+#ȃRޒ"KtSX΍MG84,ycr\Ni }.c +ZMU.7LP 9!`F}~;K_a7?̮Wٟ{(0hCD>>.5ř`r6aMЊ# +W1@'щ72[z;?miirݷ$Httv+ + +endstream +endobj +9 0 obj<>stream +3 + +endstream +endobj +10 0 obj<>stream +H엋 ۶@F*p(Ju hSyo #6fΜ,>Fhq`ƌB!F˷ +/mU=Nm |{ +|DNQ<]'>]mK-u# ގ>B|z\Bq!|)HbQ>a|)\ +fUx~ ãwѵ!|[57om)XGQK~]m%X +;׎P8n |[ +)/6mn |[ 9ֻ +7Ma!um%˶ֺ)+9=|K +m-Hķpyg')ZSn=:GY(giMqgS[oAl+ꝃSTuAoIY=|od-W'S7Fo*IkZe*·f|%x7)qM +oN/bx +jC$8b_aX]|+%T$;"#/ C-8/muQOnǷ3:YT׸^ًk*yQ5P[`-sKou` +|mT-D|c·5&Mv>|ۺ$A F[ wl%0>w*N!N\Φ)Q]])+MR  +|!,E?÷`s5֛*cME9(ו}7?ӂNT83nZ|c7;7@{x lC}]nr.6BPWov|k0xa{4{!|m +!/ 9)of>?BɨO{l @ + ʁD~A9U-( +Ɓ)@c~@K2Tr7r|ignfI>[@P|%=Z͈@o=P'^ }+ ܍PBcSNhBbhF +M.7)Ǿ}X9?ۡ;[ȷi"JwBH+K*};ݓ;i8j-{Fz÷Ai-GHn۰F핓MgD^o5jo]~:po׏L隮 Tͣl;Ѷo>1lߕ + "j_:=ھE[]O%*pWRVئ;7|qo5?-v U#HXMfou2.p }(s䮽oRKПHȷFi  e\>\MpW9(_8mXn[%:ʍKͅ?[KJrߚ|"d+ﻳopwVv={E}͉SuWBjbMӁRד:7oNX`;`fr#|lH\IߚNtxO +g|- +oC +0r}+`du o)hkkA{a>o>6ɣ ]v+o?o䒅?N +ߊou0'm2·^ I@~ ߺw0'zMkZo;X g$vϖM`dG +a=Qn,jPg]h\"Kط&(7ޱNER*x'f4$mwӷ)⊒I@tf"1% x?kQ~d۫_؇o;^3ߨG,{\BQvUiϾ0όV+\NY$|@-Wn2QUѾ[Xw$ܫTQͷ4H*StU?L֕Y[o[cB|sߪ5Dշoav+gՂ[7^YJo]:8YcL g +cYoºrTZ}[M8k;8OOc\qJM]cWvpFR}۶^|,]IKUF}~ҬQ!xߗIF'F$[m + |w7p'姰;nGo&7 ]] +-CzߠmO;oNu(|wfE_н}#|4"^gˏ&07f޸w䒅?Nc[-AV275}V-|36M%:j38k_{_; + WDjd6;"ٯ·Ԯ}xiu/&ue7~;0U+!-kȻ6RfIJƌ=1)` -8wbӼ-Z>Q6Gm +÷7!֥̱jAbm/HAv+`({:0BmñC4NSGK?|{/P{gSirqj|xG_ +op,̳1ޙ&SpEz]M}q2XgӲooqA|:o=&&b_ߦ>݀]~Kږ6v[k>mrYB$5kOԲ| +=1Ri}Yi9+8է|[ܻ)_)b-?.A׾uR/ +70fo4k4斪x2dUԥ\UoĎq`7hͰ4~v9@r?GoSٟ·]_5fK۷A +{;Iv6<v^S&:/|+oahJno=J}3R294җ*cD ^cfo9Rx&F9Dߦ5L?e )^m5l^GK[˷?8eD)/q/#jr|?N3Rk-,n "F7ME&6ym1*|Y7qq9|{og\~~a*z÷oT`-[Mg>,ޮDCΧ*` |TWrR!tCrbkMm02f1nml6ZSȑMg`fLIUОIp`m:2|3@T!X\e[A.dt Մ7Hu ݄7o`&|o.zb#(kߟ1h |3o`& +̤ٷ~ +0}VFoV UJGG[wڿX:rVPi$ؓz5>TBK&{ŚgO1ɾL}3_ "~mĮƋ'qx[{a㛉M<0% `t|s}g7"WR|7vHΧ +W|ZžXԉcz+xfجOEұM,(a=׾;g|k9'=9y^t}|52|QhǑ]}37{7̟ot/J[p- 91H`%Lɻ*}7olU|I +-2)o7rN߀&|[W/,7JhJjs uE/} +3Ru[(lo_ಊ66|/Duo`" +70WKJip`m*|3]74rM=ː-y3Fٷ-||E/:X R70L4 +70f߶޼󍿜gt';H1 FßiKl53:c_Ӛ[J-pjSooʱ4fch{+V,Zu%ղ5)KEjCd*YoSp~S&޼oڸ¾)9RKHioJ|$'Wv\:+?0-OfD[-m +nd©]|GΉo6oV&ӳg% +mBHobb{.lZ9[ )IMñ4Ёiߦ9b?9ozCLh|3QbZƇoȖ6))fou܍GhoĎq{TߚG;[4`G|+Ԩ|ۘ^#n7vfoͺ[\z7&>-V)thq9bmmg}ME6vF7- |CHI +ER5 +Lv%bAY +mIsZ-mO:p=O.4X3vx v8ce;"m̃joFo ^6d;BM^&eSMn]l@k @)5Y6HG!;N~`GbQ +' +ffW70~s*|qdk_'ߖMbjOC|9O||dz ̄| 31̩狛7qqBa6g};&bN0!)9Tf& +>Ω&=@-c``N + +endstream +endobj +11 0 obj<> +endobj +12 0 obj<> +endobj +13 0 obj<>/ColorSpace<>/Font<>/ProcSet[/PDF/Text/ImageC/ImageI]/ExtGState<>>> +endobj +14 0 obj<>stream +HW͒F)| 2iLmx8e{bgIp-eeh6y=$gAFPj< "Wi\y8Mr54w٣74 K{ ]9=^- +b5+pBe?˂X-:u3?D-L-v۶ٹ^r38dRiu54.F5wBع^us(|+7CGLN mYYjЪ~!ui5hJ= +=W㵰4rπ?fO34YJS?UhUhl~y~yrjz|f-׺riyrɏM]-ML]wMkksu?u.toX{=)~l@މ]nw ѫ<|M9F3/91R +(s^5=* cQ'"Y(kz_ԽWˣ=N=v9y +ًkW[kekr#yI=\ r(+#$Umu +>Ntj +fx )#~sgog ׋yK:.vBUk^3 q.<^>KfX=L (mnEp@֭MAXYŽUiTR{U{#O׏N'rw +Nв)X;%=S=-' #(5@$Zia2n!L[y uqF Mݢcdm k=1kl}pJTh |rkX)MY|3*א,kyCycex(F@Bh6XAt#d<)Z'T:x$ݻ! +O-&v+%28%;lyNX`JT`bW D#g~rS@AְINuMA=AiJS0PzsWxEtc5R] $\ +":lHF*`K'5&\,nybC!xp#=bL%6>۵Mz/T(ôHv|V#yuLnFS}JzÆP/VRT_ۺ 3s^] >[a P >qO׃db9mdK*Xe0PqVY[&:)kD̝a=GԜJ; +[k)/5#:-- қ[f(M{1 5@ǟ?@Nu9< +L;Ho.`vz}ȝ+qcE8K5Qv {ߖ}XpNEF U ]Q![ y"<ԫb +K2nCzPAv}P3˭"a~5CZ|dB՘H V+a^aHG&%Oyl/#gVi(Nt6!$KCxiaSlk>eMn_ܞw?IqB_lP/pVԤA +[]#5ED}h.̋f~;BNJ߅CAC5a˻, +( +!})Ӛ'!rls17 C􇒇fPoKJ9-1uըK)9C/0--i[[AVN(oɛk(KڊZ%.6ȩJȻE@FxzΓQ +?I2H0!L%o4E kWqfF Vѳ.jYnۆ{TgD$$٥xcmP2%sLIrs&P=y.]QӣEì̋Onyo_5rKnחX44tN*w%I+N5U$Ds>eV֏ _nM24]nR4t!5󉠚w! Kp'|$wg]dhwsy `jmFJ&^}{4/>0 + +S#=/T9?5_OcGb1Xb!O4ღfd$NRlihOCos7h *Ӷ(xWMGIĒU-P5H;Di%nhȢ:Iѩ\굪Y?KKLWՄv&=gVcJZEÙZBgZ 4.D{4wleOm~pڇk} X3uq2 6"鎑ކ'ew[.hlBFTZsrER {oԨlǪ*1AfI +GI4<[86GuͨͯC>Ջ.Ճ +n£Htjj--e..AKC@m\}<ؤ nݑ,c7nta=VWeH:rEX%,(izd<,U,+1 # FE-n TGc?s.jƵI]G:3[إ6]/}a;Ȧ"u90] + Iޢ.谑dH+(@JJ)^>eYy%0`$e!ނPxDv?D4W@Zqx JHKzC0[h'z>stream +H? _HHd`XLpD\dThtLxxlrxlJLlDTBztBZtbFlrV\rfjj|J*'e$gdgdW֕חUVVԔֶ׵w7T44W5u״Tն7wOioi0kΩSf]tҥKW\um۶=yrߗf`` d7qjN}ÂKCQX/@,h + +endstream +endobj +16 0 obj<>stream +H[S@aUQt0> +endobj +18 0 obj<>/DW 1000/Type/Font>> +endobj +19 0 obj<>stream +HTPN0 91$SX:'ZsۋDM=Ii1ؖ-KK>p&<99,l8zJ6ݖd"L99 +}_GuӘ'n' +B_M|3eWzp8Gc +5GAr:؛aqlJ?7"oxᕫFœ=noFOxN ? Ikb + +endstream +endobj +20 0 obj<> +endobj +21 0 obj<>stream +HVy\S)BVY̍(j 1@HPJ"BDBU-dbIA}^0G +F'LAPqDԔ(4g@Cؖ + ɍ码"V<rI\6 +DH6c>Kݽ}kХLe4Ԅmjoԛlo 1:~+%a +K~@.X n[#1i4 K1diU{w{_2$wR^}o:v8زxP0vO5'~4a*^4A՝ + 5 ++p4[pq-+m3.oqK q>rImSۢJ6W7\֧PJYOk;2zwc +חr_X6:cvfxTwTFϹQ_gRxyiFރ+*r)}ͬ7%t}3qQtkB͆JK@ȥįEcge*M rl@)F]FF'ddIOTc%DB('EW/I?Q3Y1"sfdnb2GنYIJPa΅(JH e#hZ~6R W?/o}FRPH׊k;I^B r u/թV?vp,t[Wm9rBa9Mi٥{FnWIwbBl!j)P@N[.cg?}H|YIQbxEr%B=x%3ƚ,Å3۸Х+<{4aUM}16+""&w|cRzz'o& |}I +Wsر/gvnpbnXhkTW5%h<ӂaoavF +LqId_/x?Nc/#Y2ǙA1elCH)d\1Ic*J )e"7JYꊸM.eD& -ns|9~0W*@F]v1~GvKd? }omեm(, +aF6&B.$(ƥC ?@R= 0;8wu8릾l.ww: +ĔsI6FA:8)pY-SJAGiE 121u7OʻSQd`z> EVJ|g4TVd)J3 +r^^ +zi9^/r +1#Z@L +Y7%rYgfѪlCKo| CEC\ R 1_P@ +]@ 8x̬vͺeq9\ Ƴ}Fgs  ,z*u5[owm_TDqk!̶ygxT-~W߇ ;DFqv3\[)42Dx/YHa@CH?"`@4}C!@厰 !~ύyM~I1$VDlitNwD$7cOSz$ +徂ͨk薕)׉Q{MĶcdI5 8#.NL8L]>G\4?U|SeDIӎGc*vZy&zk06!kk"{~&v/RkIUM.4G5.Ha[$[qY]3rl:?tq{=~ +5NÇZKijţRR!M~fJ@]gxn{+Bor˯ ;RUd01g ݯ=WpCثʤa +E-耆/|UّQe-sϸtdl̄( +hK-ʛwL&+ D +/X+Mf?5=1lv•}^s}C7#H3v7u1ZU4WT%$W6 oӪ!(=k]ǕJ,OX&V2oAW*k]us|kϹ<" +>f@;AN?+>w6LZ| MYUbQV? oqұS͉d? +P"'Aʹ2RCfha5YXJA\2>d +eu + +g.⍠Fk9:aR-([)T9lje-tLZ4*Г7fOV> {-!ɂ$H s@0$z;n_KjUjГ- ?y_6UUZuox:Į.Ó ^WXLW}nȱ.UWwCf5JH5۫ t[-O)s_URǛ 39.mva?_HyNbcdHDk"zd4)0Y3 Ϲx+nW"$i<J*"ޏPz$B˔jM5V+^p̠)jvϗyos9gg9|%mOZd|ź7-}g*n8m}۸kw , +ؿ;0Trhb9ʄջiS!n^O0ٟ1T_sPn +4un7'k! +JGK[7vgrq<2?ⱴ!wTaZ E HYs!pW+D#Y?XM/xXzY +(*q +@9ê/bH9&jDzD& +uZWu3uzd,c'R"{3ҙ[㋧y&_Wof6#=|. +Ȳ5XBlg%Q| E͊!SzK"MÈ2ȒMUtrtl۷umPGt7!^'U:unj*>j}rTҭ8 rmw@s:y +'RJ)4=\ _H9D-OjT,I&t29J +8NU+-mY;N8+T+jjrV#۾h6h_hu|6n5mj5du?P.+.rchZP 0cq~o'VʔI-"a4`c}Xn:Vx=[o7hvSs +bɹV +wGcO< +\&ahFa"Yf:P(+{L>g?QSpz.!K ~Z=BS`34]3o)UIֹPUdP BB=M홇v k +Mvܩc\ržզudajִɋ!ƍ6_uj +Y-^Or"<))1Ǟ,zDSɿ3n_z&sy&_O1n;qA%y2ܾ{;-`/ +uhqIK]Lj%zD,Z|.OnzHP.o|B:$kI^$U3po8Aޤaa1>I|3O/ Q$>g ;z6(rEW.,QyeJ鬌7s#y6Յ^5Q\Gx݅`|g6)}i1w`"mBO-iDBmpֆ6QPZQZU%mJJ[" ߼ZhUy3yfM\g6772c +Sd6lsӭ1",I \LaҤe[}C*1#`. +E\ĤHMeEw1Nt5-KUaEHӿOb4Ks1 + +N:D[ M=5ۄ7>xdeJ +zo9K҉36Ͳ2Nw=n>IbK0 +^?GO!+U~ ׻?L1*_Hfь[ΚnG6ODLOqw|~dm5hjn#D*M#8[?7K[ZZd Xz0jD%Qj̯>G§ʥ镙浴Kgۃ5Fo|T F֧l%f3#y#NpX+M(d2jDreս{Sc +s֩=>3jX"-5ͽaewK{lKO,N.6,,d Ox|@[j5)~Z/lב 4[2/E}k,3da+;0^lpWJ2R#_j U1jtpS3tti/SO.>*bEsMu/uB+sh*cCʴy7[LeO=sYWYyS6mn? +4ȇeA3|V["?%/x>/Glb<`~|섾7sfzI)MuzV*~gvOQ/ܷ{ F-j{yڠnbl +(p_)8@HI_"$U%x̓SEa]#o:N̄ں+A]AzE9 0Ew*bfxboGܯ{k3pǀ]ikнlDL&@G0l<{@^0A~<@x`0}_~< |`?~9ù!$sq#b9fij(*dg +e+}Z98g +} +>'T5 +Aέ ZʹT +3A᜵cPCk¡?\F@.;ԉE tK m&P";0~ +tsH +{'{*p\od  +"yzC!1URtǺl8L +e1ed[qQg1>dY PnSt)E9 -ɺ BkWi]4v*~.#:{^"A7(;%I|q{YW!&CְM)ĽgHc|MTϬzt}l?F|SSL Sa7̽VC]lu?qi%VoiV-+tz)I?OE>,T;n|?Bm%:»W>뮖GϩK#GYyhGizOAuj9jD]P@M!eҐ4]92߽.%uGmsL5(4 m7`-w9iSsk^To5]dJ]O[TrFXooŷ}f>?^וviKd]6n{8,/VuJ9HQ*p0S@3jZyUOW<>v`3'\ Ϡtt'τNA[8í:v~5|CQ{ %O]νCσ\26sƇ.z 6iu2hrѺ ڦ\Dތ_ >߉sOGʳB*Y̖g ^g2A:^:l.1\g;{/h7 9Xr/ZJ% 1 + +jHdfgׁc};" Cׁo~~'GrTQ=#dwҏ9?[wsڈm}g'8S&b(E&FXg'>qF<[:->xyǸQykg2H] qP{Fw7Є3uNb(/l/8IE{I 6[Cпݣ'cי4znE~.C̙[I!ֻwOW"!➫ T/r1y! tAwCw'{IJeH\d#/?xN8J'C8 ׌s()1 ]*$ ^N`W?]e0V"@TSSI# +?$rg[/wM6jo |A$&ItJz!~`PI in@%nHU:XَnBhTmBV2 u_dw!ɧ]p 1 +&=2t?0簬E&]L΄?(JQ:; @R +]tH1wԘxlz +Sڈo5b +WӐӀ4`V.K$  `]waC}MMhyc>Fum58ٖH0|=gӳ4i&EfݜpN͉#j[4m$_lI.PF#gOIMJkRljQ)^bARL/GB-u;8Ǚ,rFN[kb͌qV¬Ř-9kؗ,EVhK\qWekZ֯ +hlHhZVZ6٢ؕZWky\ٮ"WUq;mc-9͞bx1L3HAs#΍87nXݰHjzӫXuD4X0CӡtD)2X5uȗx>m˧-4 +GY^7cyvW˫kW|Uv5 yvW/+lWyoW++/U^TcuM.a% 1gѥJ7' +\ᐅ@T+]vE`C.@r''J¯gxNcɂh9 +0 N=/Uf|sHW#|~5$ ĀzF!9Pt*-[tߩt@D?S !IOԣLq +yTR̍_*砠(̉^:Z{]"&$OKsssWs9rDvu%]Je)˥qqMz1ף:=A;)rBθKy~@J5B<ItEAw +А::/Ti{GЏh*M~x $DSFh~rHJˤUz|##pCF%#l<:n!HIShy;xE2# jI74G"j7|!O613_ruddۋV3 M6N?_'Zft_e+$}rt{IIӘ8bzw.ūn +}5?t +\ ;k^xW:r eEIZsb(Eh,gPP|^YH+}}}}}}}=۞eaOwxGccCqGzr?R@kA**EH+ԡۉOfJŪV%₊}EqGם{ŕIJV}qJ ܰ"޻TlȎZ瘰&nL#S O {xyCD) Mˊm8³w(GJMSR< +V?B.% +"ҭhXb,(#A6KQp5?hCZu`@lEڢuQS)Ē;whҷ3;r:;]}#_1nG>]S[ªPJ%&[ia55d~4P`M +dicTN%kln㌄&'5cub +5҄f4<f0H<o1hU)'M72<0,7kؿ +⏈N1&xyj:Q QDQ!l +=vq%>@ +R@lZ{Dlg_LM ?Tp28W,B)Ws^G"9uE=Pvqߕ̎C{`w/ +xCؑvܡ;q'| qWBo9A鉧^ia6,~qFXBƕ.!W4t mlK]AN,\HHֶ3\iY׭E~2_?WŅxSD" 1 !_V".Ⱥ;)?Dz!$NJҋ~_RO,f iB%)H^wu 7Jt̬nc?Z.GqL|03ñ 2a?;\zgk b@ G .W ~o%pq#D¤< +Džλ~"~eɗ[w%Gȿݺ{kGvFz /e``56lذaÆφgÆ +6lذaÆ +6lذa"{8"`uKK'tK^7-X-}'ZQKw~PuGc=.B`T(" \@ ŢQu֫h/rE"A-w2 +Y,Be8J3ğ>趴ES8,`YSOGa +9Q%n0<ЦbYusc2C[ W?2V +k*bV*8fÊz$O!e YlQu^'Ԋ64-h4SZ.hRyTVbi,zhR5 `4[Z0N/#}z"*]0t:ZyMKM⒦kJKd6{P;7PPnzꜶ-E-.S'tR5h2tb~sT[Ե H4.8jO X;1OMc|ָ:p4 +_]ħp*cVu F,a +̚*alx} + +. onWHx{›KӒaz~e]z&[]yp/~KZ+ + +endstream +endobj +22 0 obj[/Indexed 38 0 R 3 9 0 R] +endobj +23 0 obj<> +endobj +24 0 obj[/Indexed 38 0 R 255 15 0 R] +endobj +25 0 obj<> +endobj +26 0 obj<> +endobj +27 0 obj<> +endobj +28 0 obj<>stream + + + + + Acrobat Distiller 7.0 (Windows) + + + PScript5.dll Version 5.2 + 2008-02-06T16:19:37+01:00 + 2008-02-06T16:19:37+01:00 + + + application/pdf + + + http://hklab.net/wiki/Interface_VGA80x40 + + + + + javg + + + + + uuid:4cae0f10-089a-401f-a2c7-51077598ac49 + uuid:67845115-c7f6-476e-b754-d1761436556d + + + + + + + + + + + + + + + + + + + + + + + + + +endstream +endobj +29 0 obj<> +endobj +xref +0 30 +0000000000 65535 f +0000055405 00000 n +0000055537 00000 n +0000055732 00000 n +0000059912 00000 n +0000068466 00000 n +0000077326 00000 n +0000077458 00000 n +0000077685 00000 n +0000081186 00000 n +0000081247 00000 n +0000087231 00000 n +0000087486 00000 n +0000087621 00000 n +0000087825 00000 n +0000091752 00000 n +0000092107 00000 n +0000092553 00000 n +0000092692 00000 n +0000092885 00000 n +0000093171 00000 n +0000093436 00000 n +0000106919 00000 n +0000106960 00000 n +0000107125 00000 n +0000107169 00000 n +0000107204 00000 n +0000107228 00000 n +0000107299 00000 n +0000110922 00000 n +trailer +<> +startxref +116 +%%EOF Index: web_uploads/FPGA_VGA_Electrical_Interface.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: web_uploads/FPGA_VGA_Electrical_Interface.png =================================================================== --- web_uploads/FPGA_VGA_Electrical_Interface.png (nonexistent) +++ web_uploads/FPGA_VGA_Electrical_Interface.png (revision 6)

web_uploads/FPGA_VGA_Electrical_Interface.png Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.