OpenCores
URL https://opencores.org/ocsvn/lateq/lateq/trunk

Subversion Repositories lateq

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /lateq
    from Rev 2 to Rev 3
    Reverse comparison

Rev 2 → Rev 3

/trunk/hdl_various_types/src/latreadgen.py
34,7 → 34,7
package ${package_name} is
 
function ${function_name} (
constant desc : in string;
constant leq_id : in string;
constant n : in integer)
return integer;
 
44,11 → 44,11
package body ${package_name} is
 
function ${function_name} (
constant desc : in string;
constant leq_id : in string;
constant n : in integer)
return integer is
begin -- function ${function_name}
if desc = "" then
if leq_id = "" then
return 0;
${generated_clauses}
else
109,8 → 109,8
# delay=0
try:
with open(report_file,"r") as fin:
# The delay report contains lines containing the desc, the input number and the delay value.
# Each series of values is finished with line containing the desc and the "end" string.
# The delay report contains lines containing the leq_id, the input number and the delay value.
# Each series of values is finished with line containing the leq_id and the "end" string.
# We read lines from the file. For each new identifier found we create an object which
# will accumulate delay data for that identifier.
# Then we will feed that object with lines. After the line with "end" is received, we calculate
120,7 → 120,7
t=line.strip().split(",")
if len(t) < 2:
break
#desc is in t[0]
#leq_id is in t[0]
if not t[0] in ids:
#add the object
ids[t[0]] = del_checker()
133,7 → 133,7
#Iterate over all identifiers
res=""
for ni in ids.keys():
res += " elsif desc=\""+ni+"\" then\n"
res += " elsif leq_id=\""+ni+"\" then\n"
res += " case n is\n"
for k,v in ids[ni].dels.items():
res += " when "+str(k)+" => return "+str(v)+";\n"
/trunk/hdl_single_type/src/latreadgen.py
34,7 → 34,7
package ${package_name} is
 
function ${function_name} (
constant desc : in string;
constant leq_id : in string;
constant n : in integer)
return integer;
 
44,11 → 44,11
package body ${package_name} is
 
function ${function_name} (
constant desc : in string;
constant leq_id : in string;
constant n : in integer)
return integer is
begin -- function ${function_name}
if desc = "" then
if leq_id = "" then
return 0;
${generated_clauses}
else
109,8 → 109,8
# delay=0
try:
with open(report_file,"r") as fin:
# The delay report contains lines containing the desc, the input number and the delay value.
# Each series of values is finished with line containing the desc and the "end" string.
# The delay report contains lines containing the leq_id, the input number and the delay value.
# Each series of values is finished with line containing the leq_id and the "end" string.
# We read lines from the file. For each new identifier found we create an object which
# will accumulate delay data for that identifier.
# Then we will feed that object with lines. After the line with "end" is received, we calculate
120,7 → 120,7
t=line.strip().split(",")
if len(t) < 2:
break
#desc is in t[0]
#leq_id is in t[0]
if not t[0] in ids:
#add the object
ids[t[0]] = del_checker()
133,7 → 133,7
#Iterate over all identifiers
res=""
for ni in ids.keys():
res += " elsif desc=\""+ni+"\" then\n"
res += " elsif leq_id=\""+ni+"\" then\n"
res += " case n is\n"
for k,v in ids[ni].dels.items():
res += " when "+str(k)+" => return "+str(v)+";\n"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.