OpenCores
URL https://opencores.org/ocsvn/miniuart2/miniuart2/trunk

Subversion Repositories miniuart2

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 13 to Rev 14
    Reverse comparison

Rev 13 → Rev 14

/trunk/impl/Xilinx/log/map.rtf
0,0 → 1,143
{\rtf1\ansi\ansicpg1252\deff0\deflang1036{\fonttbl {\f0\fnil\fcharset0 Courier New;}}
{\colortbl ;\red255\green0\blue0;}
\uc1\pard\ulnone\f0\fs20 Release 3.1i - Par D.19\par
\par
Sat May 04 18:33:07 2002\par
\par
par -w -ol 2 -d 0 map.ncd uartimpl.ncd uartimpl.pcf\par
\par
\par
Constraints file: uartimpl.pcf\par
\par
Loading device database for application par from file "map.ncd".\par
"uartimpl" is an NCD, version 2.32, device xcs10, package tq144, speed -3\par
Loading device for application par from file '4005e.nph' in environment\par
C:/Fndtn.\par
Device speed data version: x1_0.14.2.2 1.7 PRELIMINARY.\par
\par
\par
\cf1 Device utilization summary:\par
\par
Number of External IOBs 28 out of 112 25%\par
Flops: 0\par
Latches: 0\par
Number of IOBs driving Global Buffers 2 out of 8 25%\par
\par
Number of CLBs 44 out of 196 22%\par
Total CLB Flops: 63 out of 392 16%\par
4 input LUTs: 63 out of 392 16%\par
3 input LUTs: 20 out of 196 10%\par
\par
Number of PRI-CLKs 2 out of 4 50%\cf0\par
\par
\par
\par
Overall effort level (-ol): 2 (set by user)\par
Placer effort level (-pl): 2 (set by user)\par
Placer cost table entry (-t): 1\par
Router effort level (-rl): 2 (set by user)\par
\par
Starting initial Placement phase. REAL time: 0 secs \par
Finished initial Placement phase. REAL time: 0 secs \par
\par
Starting Constructive Placer. REAL time: 0 secs \par
Placer score = 68880\par
Placer score = 44100\par
Placer score = 35940\par
Placer score = 32580\par
Placer score = 28320\par
Placer score = 27540\par
Placer score = 26760\par
Placer score = 25740\par
Placer score = 25080\par
Placer score = 25020\par
Placer score = 24840\par
Placer score = 24600\par
Placer score = 24180\par
Placer score = 24060\par
Finished Constructive Placer. REAL time: 2 secs \par
\par
Writing design to file "uartimpl.ncd".\par
\par
Starting Optimizing Placer. REAL time: 2 secs \par
Optimizing \par
Swapped 26 comps.\par
Xilinx Placer [1] 22320 REAL time: 2 secs \par
\par
Finished Optimizing Placer. REAL time: 2 secs \par
\par
Writing design to file "uartimpl.ncd".\par
\par
Total REAL time to Placer completion: 2 secs \par
Total CPU time to Placer completion: 2 secs \par
\par
0 connection(s) routed; 315 unrouted active, 3 unrouted PWR/GND.\par
Starting router resource preassignment\par
Completed router resource preassignment. REAL time: 2 secs \par
Starting iterative routing. \par
Routing active signals.\par
End of iteration 1 \par
315 successful; 0 unrouted active,\par
3 unrouted PWR/GND; (0) REAL time: 3 secs \par
End of iteration 2 \par
315 successful; 0 unrouted active,\par
3 unrouted PWR/GND; (0) REAL time: 3 secs \par
Constraints are met. \par
Routing PWR/GND nets.\par
Power and ground nets completely routed. \par
Writing design to file "uartimpl.ncd".\par
Starting cleanup \par
Improving routing.\par
End of cleanup iteration 1 \par
318 successful; 0 unrouted; (0) REAL time: 4 secs \par
Writing design to file "uartimpl.ncd".\par
Total REAL time: 4 secs \par
Total CPU time: 4 secs \par
End of route. 318 routed (100.00%); 0 unrouted.\par
No errors found. \par
Completely routed. \par
\par
This design was run without timing constraints. It is likely that much better\par
circuit performance can be obtained by trying either or both of the following:\par
\par
- Enabling the Delay Based Cleanup router pass, if not already enabled\par
- Supplying timing constraints in the input design\par
\par
\par
Total REAL time to Router completion: 4 secs \par
Total CPU time to Router completion: 4 secs \par
\par
Generating PAR statistics.\par
\par
The Delay Summary Report\par
\par
The Score for this design is: 306\par
\par
\par
The Number of signals not completely routed for this design is: 0\par
\par
The Average Connection Delay for this design is: 2.041 ns\par
The Maximum Pin Delay is: 9.391 ns\par
The Average Connection Delay on the 10 Worst Nets is: 5.097 ns\par
\par
Listing Pin Delays by value: (ns)\par
\par
d < 2.00 < d < 4.00 < d < 6.00 < d < 8.00 < d < 10.00 d >= 10.00\par
--------- --------- --------- --------- --------- ---------\par
202 89 25 0 2 0\par
\par
Writing design to file "uartimpl.ncd".\par
\par
\par
All signals are completely routed.\par
\par
Total REAL time to PAR completion: 4 secs \par
Total CPU time to PAR completion: 5 secs \par
\par
Placement: Completed - No errors found.\par
Routing: Completed - No errors found.\par
\par
PAR done.\par
\par
}
/trunk/impl/Xilinx/log/par.rtf
0,0 → 1,250
{\rtf1\ansi\ansicpg1252\deff0\deflang1036{\fonttbl {\f0\fnil\fcharset0 Courier New;}}
{\colortbl ;\red255\green0\blue0;}
\uc1\pard\ulnone\f0\fs20\par
Xilinx Mapping Report File for Design 'uartimpl'\par
Copyright (c) 1995-2000 Xilinx, Inc. All rights reserved.\par
\par
Design Information\par
------------------\par
Command Line : m1map -p xcs10-3-tq144 -o map.ncd uartimpl.ngd uartimpl.pcf \par
Target Device : xs10\par
Target Package : tq144\par
Target Speed : -3\par
Mapper Version : spartan -- D.19\par
\par
\cf1 Design Summary\par
--------------\par
Number of errors: 0\par
Number of warnings: 1\par
Number of CLBs: 44 out of 196 22%\par
CLB Flip Flops: 63\par
4 input LUTs: 63\par
3 input LUTs: 20 (17 used as route-throughs)\par
Number of bonded IOBs: 28 out of 111 25%\par
IOB Flops: 0\par
IOB Latches: 0\par
Number of clock IOB pads: 2 out of 8 25%\par
Number of primary CLKs: 2 out of 4 50%\par
Total equivalent gate count for design: 769\par
Additional JTAG gate count for IOBs: 1344\cf0\par
\par
Table of Contents\par
-----------------\par
Section 1 - Errors\par
Section 2 - Warnings\par
Section 3 - Design Attributes\par
Section 4 - Removed Logic Summary\par
Section 5 - Removed Logic\par
Section 6 - Added Logic\par
Section 7 - Expanded Logic\par
Section 8 - Signal Cross-Reference\par
Section 9 - Symbol Cross-Reference\par
Section 10 - IOB Properties\par
Section 11 - RPMs\par
Section 12 - Guide Report\par
Section 13 - Area Group Summary\par
\par
Section 1 - Errors\par
------------------\par
\par
Section 2 - Warnings\par
--------------------\par
WARNING:OldMap:78 - All of the external outputs in this design are using\par
slew-rate-limited output drivers. The delay on speed critical outputs can be\par
dramatically reduced by designating them as fast outputs in the original\par
design. Please see your vendor interface documentation for specific\par
information on how to do this within your design-entry tool.\par
Note: You should be careful not to designate too many outputs which switch\par
together as fast, because this can cause excessive ground bounce. For more\par
information on this subject, please refer to the IOB switching characteristic\par
guidelines for the device you are using in the Programmable Logic Data Book.\par
\par
Section 3 - Design Attributes\par
-----------------------------\par
\par
Section 4 - Removed Logic Summary\par
---------------------------------\par
58 block(s) removed\par
13 block(s) optimized away\par
58 signal(s) removed\par
\par
Section 5 - Removed Logic\par
-------------------------\par
\par
The trimmed logic reported below is either:\par
1. part of a cycle\par
2. part of disabled logic\par
3. a side-effect of other trimmed logic\par
\par
The signal "U1/N255" is unused and has been removed.\par
Unused block "U1/C576" (GND) removed.\par
The signal "U1/N256" is unused and has been removed.\par
Unused block "U1/C577" (GND) removed.\par
The signal "U1/N257" is unused and has been removed.\par
Unused block "U1/C578" (GND) removed.\par
The signal "U1/N258" is unused and has been removed.\par
Unused block "U1/C579" (GND) removed.\par
The signal "U1/N259" is unused and has been removed.\par
Unused block "U1/C580" (GND) removed.\par
The signal "U1/N260" is unused and has been removed.\par
Unused block "U1/C581" (GND) removed.\par
The signal "U1/N261" is unused and has been removed.\par
Unused block "U1/C582" (GND) removed.\par
The signal "U1/N262" is unused and has been removed.\par
Unused block "U1/C583" (GND) removed.\par
The signal "U1/N263" is unused and has been removed.\par
Unused block "U1/C584" (GND) removed.\par
The signal "U1/N264" is unused and has been removed.\par
Unused block "U1/C585" (GND) removed.\par
The signal "U1/N265" is unused and has been removed.\par
Unused block "U1/C586" (GND) removed.\par
The signal "U1/N266" is unused and has been removed.\par
Unused block "U1/C587" (GND) removed.\par
The signal "U1/N267" is unused and has been removed.\par
Unused block "U1/C588" (GND) removed.\par
The signal "U1/N268" is unused and has been removed.\par
Unused block "U1/C589" (GND) removed.\par
The signal "U1/N269" is unused and has been removed.\par
Unused block "U1/C590" (GND) removed.\par
The signal "U1/N270" is unused and has been removed.\par
Unused block "U1/C591" (GND) removed.\par
The signal "U1/N271" is unused and has been removed.\par
Unused block "U1/C592" (GND) removed.\par
The signal "U1/N272" is unused and has been removed.\par
Unused block "U1/C593" (GND) removed.\par
The signal "U1/N273" is unused and has been removed.\par
Unused block "U1/C594" (GND) removed.\par
The signal "U1/N274" is unused and has been removed.\par
Unused block "U1/C595" (GND) removed.\par
The signal "U1/N275" is unused and has been removed.\par
Unused block "U1/C596" (GND) removed.\par
The signal "U1/N276" is unused and has been removed.\par
Unused block "U1/C597" (GND) removed.\par
The signal "U1/N277" is unused and has been removed.\par
Unused block "U1/C598" (GND) removed.\par
The signal "U1/N278" is unused and has been removed.\par
Unused block "U1/C599" (GND) removed.\par
The signal "U1/N279" is unused and has been removed.\par
Unused block "U1/C600" (GND) removed.\par
The signal "U1/N280" is unused and has been removed.\par
Unused block "U1/C601" (GND) removed.\par
The signal "U1/N281" is unused and has been removed.\par
Unused block "U1/C602" (GND) removed.\par
The signal "U1/N282" is unused and has been removed.\par
Unused block "U1/C603" (GND) removed.\par
The signal "U1/N283" is unused and has been removed.\par
Unused block "U1/C604" (GND) removed.\par
The signal "U1/N284" is unused and has been removed.\par
Unused block "U1/C605" (GND) removed.\par
The signal "U1/N285" is unused and has been removed.\par
Unused block "U1/C606" (GND) removed.\par
The signal "U1/N286" is unused and has been removed.\par
Unused block "U1/C607" (GND) removed.\par
The signal "U1/N287" is unused and has been removed.\par
Unused block "U1/C608" (GND) removed.\par
The signal "U1/N288" is unused and has been removed.\par
Unused block "U1/C609" (GND) removed.\par
The signal "U1/N289" is unused and has been removed.\par
Unused block "U1/C610" (GND) removed.\par
The signal "U1/N290" is unused and has been removed.\par
Unused block "U1/C611" (GND) removed.\par
The signal "U1/N291" is unused and has been removed.\par
Unused block "U1/C612" (GND) removed.\par
The signal "U1/N292" is unused and has been removed.\par
Unused block "U1/C613" (GND) removed.\par
The signal "U1/N293" is unused and has been removed.\par
Unused block "U1/C614" (GND) removed.\par
The signal "U1/N294" is unused and has been removed.\par
Unused block "U1/C615" (GND) removed.\par
The signal "U1/N295" is unused and has been removed.\par
Unused block "U1/C616" (GND) removed.\par
The signal "U1/N296" is unused and has been removed.\par
Unused block "U1/C617" (GND) removed.\par
The signal "U1/N297" is unused and has been removed.\par
Unused block "U1/C618" (GND) removed.\par
The signal "U1/N298" is unused and has been removed.\par
Unused block "U1/C619" (GND) removed.\par
The signal "U1/N299" is unused and has been removed.\par
Unused block "U1/C620" (GND) removed.\par
The signal "U1/N300" is unused and has been removed.\par
Unused block "U1/C621" (GND) removed.\par
The signal "U1/N301" is unused and has been removed.\par
Unused block "U1/C622" (GND) removed.\par
The signal "U1/N302" is unused and has been removed.\par
Unused block "U1/C623" (GND) removed.\par
The signal "U1/N303" is unused and has been removed.\par
Unused block "U1/C624" (GND) removed.\par
The signal "U1/N304" is unused and has been removed.\par
Unused block "U1/C625" (GND) removed.\par
The signal "U1/N305" is unused and has been removed.\par
Unused block "U1/C626" (GND) removed.\par
The signal "U1/N306" is unused and has been removed.\par
Unused block "U1/C627" (GND) removed.\par
The signal "U1/N307" is unused and has been removed.\par
Unused block "U1/C628" (GND) removed.\par
The signal "U1/N308" is unused and has been removed.\par
Unused block "U1/C629" (GND) removed.\par
The signal "U1/N309" is unused and has been removed.\par
Unused block "U1/C630" (GND) removed.\par
The signal "U1/N310" is unused and has been removed.\par
Unused block "U1/C631" (GND) removed.\par
The signal "U1/N311" is unused and has been removed.\par
Unused block "U1/C632" (GND) removed.\par
The signal "U1/N312" is unused and has been removed.\par
Unused block "U1/C633" (GND) removed.\par
\par
Optimized Block(s):\par
TYPE \tab\tab BLOCK\par
vcc \tab\tab U1/LoadA_reg/$1I37\par
vcc \tab\tab U1/ReadA_reg/$1I37\par
vcc \tab\tab U1/Uart_Rxrate/Cnt_reg/$1I37\par
vcc \tab\tab U1/Uart_Rxrate/O_reg/$1I37\par
vcc \tab\tab U1/Uart_RxUnit/RxAv_reg/$1I37\par
vcc \tab\tab U1/Uart_Txrate/O_reg/$1I37\par
vcc \tab\tab U1/Uart_TxUnit/SyncLoad/C1A_reg/$1I37\par
vcc \tab\tab U1/Uart_TxUnit/SyncLoad/C1S_reg/$1I37\par
vcc \tab\tab U1/Uart_TxUnit/SyncLoad/R_reg/$1I37\par
fdce \tab\tab U1/Uart_Rxrate/Cnt_reg/I$1\par
GND \tab\tab U1/C575\par
INV \tab\tab U1/C515\par
VCC \tab\tab U1/C574\par
\par
Section 6 - Added Logic\par
-----------------------\par
\par
Section 7 - Expanded Logic\par
--------------------------\par
To enable this section, set the detailed map report option and rerun map.\par
\par
Section 8 - Signal Cross-Reference\par
----------------------------------\par
To enable this section, set the detailed map report option and rerun map.\par
\par
Section 9 - Symbol Cross-Reference\par
----------------------------------\par
To enable this section, set the detailed map report option and rerun map.\par
\par
Section 10 - IOB Properties\par
---------------------------\par
"$Net00010_" (IOB) : SLEW=SLOW\par
"$Net00011_" (IOB) : SLEW=SLOW\par
"$Net00012_" (IOB) : SLEW=SLOW\par
"$Net00013_" (IOB) : SLEW=SLOW\par
"&__A__10" (IOB) : SLEW=SLOW\par
"&__A__11" (IOB) : SLEW=SLOW\par
"&__A__12" (IOB) : SLEW=SLOW\par
"&__A__13" (IOB) : SLEW=SLOW\par
"&__A__14" (IOB) : SLEW=SLOW\par
"&__A__15" (IOB) : SLEW=SLOW\par
"&__A__16" (IOB) : SLEW=SLOW\par
"&__A__9" (IOB) : SLEW=SLOW\par
\par
Section 11 - RPMs\par
-----------------\par
\par
Section 12 - Guide Report\par
-------------------------\par
Guide not run on this design.\par
\par
}
/trunk/impl/Xilinx/out/miniuart.edf
0,0 → 1,16524
(edif UART
(edifVersion 2 0 0)
(edifLevel 0)
(keywordMap
(keywordLevel 0)
)
(status
(written
(timeStamp 2002 5 6 19 25 14)
(program "UNKNOWN"
(version "3.4.0.5124")
)
(author "Test")
)
)
(external SPARTAN
(edifLevel 0)
(technology
(numberDefinition)
)
(cell AND2
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port O
(direction OUTPUT)
)
(port I1
(direction INPUT)
)
(port I0
(direction INPUT)
)
)
)
)
(cell AND3
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port O
(direction OUTPUT)
)
(port I2
(direction INPUT)
)
(port I1
(direction INPUT)
)
(port I0
(direction INPUT)
)
)
)
)
(cell AND4
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port O
(direction OUTPUT)
)
(port I3
(direction INPUT)
)
(port I2
(direction INPUT)
)
(port I1
(direction INPUT)
)
(port I0
(direction INPUT)
)
)
)
)
(cell BUF
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port O
(direction OUTPUT)
)
(port I
(direction INPUT)
)
)
)
)
(cell CY4
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port COUT
(direction OUTPUT)
)
(port COUT0
(direction OUTPUT)
)
(port CIN
(direction INPUT)
)
(port A0
(direction INPUT)
)
(port A1
(direction INPUT)
)
(port B0
(direction INPUT)
)
(port B1
(direction INPUT)
)
(port ADD
(direction INPUT)
)
(port C0
(direction INPUT)
)
(port C1
(direction INPUT)
)
(port C2
(direction INPUT)
)
(port C3
(direction INPUT)
)
(port C4
(direction INPUT)
)
(port C5
(direction INPUT)
)
(port C6
(direction INPUT)
)
(port C7
(direction INPUT)
)
)
)
)
(cell CY4_25
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port C7
(direction OUTPUT)
)
(port C6
(direction OUTPUT)
)
(port C5
(direction OUTPUT)
)
(port C4
(direction OUTPUT)
)
(port C3
(direction OUTPUT)
)
(port C2
(direction OUTPUT)
)
(port C1
(direction OUTPUT)
)
(port C0
(direction OUTPUT)
)
)
)
)
(cell CY4_27
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port C7
(direction OUTPUT)
)
(port C6
(direction OUTPUT)
)
(port C5
(direction OUTPUT)
)
(port C4
(direction OUTPUT)
)
(port C3
(direction OUTPUT)
)
(port C2
(direction OUTPUT)
)
(port C1
(direction OUTPUT)
)
(port C0
(direction OUTPUT)
)
)
)
)
(cell CY4_42
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port C7
(direction OUTPUT)
)
(port C6
(direction OUTPUT)
)
(port C5
(direction OUTPUT)
)
(port C4
(direction OUTPUT)
)
(port C3
(direction OUTPUT)
)
(port C2
(direction OUTPUT)
)
(port C1
(direction OUTPUT)
)
(port C0
(direction OUTPUT)
)
)
)
)
(cell FDC
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port Q
(direction OUTPUT)
)
(port D
(direction INPUT)
)
(port C
(direction INPUT)
)
(port CLR
(direction INPUT)
)
)
)
)
(cell FDCE
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port Q
(direction OUTPUT)
)
(port D
(direction INPUT)
)
(port C
(direction INPUT)
)
(port CE
(direction INPUT)
)
(port CLR
(direction INPUT)
)
)
)
)
(cell FDPE
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port Q
(direction OUTPUT)
)
(port D
(direction INPUT)
)
(port C
(direction INPUT)
)
(port CE
(direction INPUT)
)
(port PRE
(direction INPUT)
)
)
)
)
(cell GND
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port G
(direction OUTPUT)
)
)
)
)
(cell INV
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port O
(direction OUTPUT)
)
(port I
(direction INPUT)
)
)
)
)
(cell FMAP
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port I1
(direction INPUT)
)
(port I2
(direction INPUT)
)
(port I3
(direction INPUT)
)
(port I4
(direction INPUT)
)
(port O
(direction INPUT)
)
)
)
)
(cell HMAP
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port I1
(direction INPUT)
)
(port I2
(direction INPUT)
)
(port I3
(direction INPUT)
)
(port O
(direction INPUT)
)
)
)
)
(cell VCC
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port P
(direction OUTPUT)
)
)
)
)
(cell XOR2
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port O
(direction OUTPUT)
)
(port I1
(direction INPUT)
)
(port I0
(direction INPUT)
)
)
)
)
)
(library DESIGNS
(edifLevel 0)
(technology
(numberDefinition)
)
(cell UART
(cellType GENERIC)
(view Netlist_representation
(viewType NETLIST)
(interface
(port WB_CLK_I
(direction INPUT)
)
(port WB_RST_I
(direction INPUT)
)
(port
(rename WB_ADR_I_1 "WB_ADR_I<1>")
(direction INPUT)
)
(port
(rename WB_ADR_I_0 "WB_ADR_I<0>")
(direction INPUT)
)
(port
(rename WB_DAT_I_7 "WB_DAT_I<7>")
(direction INPUT)
)
(port
(rename WB_DAT_I_6 "WB_DAT_I<6>")
(direction INPUT)
)
(port
(rename WB_DAT_I_5 "WB_DAT_I<5>")
(direction INPUT)
)
(port
(rename WB_DAT_I_4 "WB_DAT_I<4>")
(direction INPUT)
)
(port
(rename WB_DAT_I_3 "WB_DAT_I<3>")
(direction INPUT)
)
(port
(rename WB_DAT_I_2 "WB_DAT_I<2>")
(direction INPUT)
)
(port
(rename WB_DAT_I_1 "WB_DAT_I<1>")
(direction INPUT)
)
(port
(rename WB_DAT_I_0 "WB_DAT_I<0>")
(direction INPUT)
)
(port
(rename WB_DAT_O_7 "WB_DAT_O<7>")
(direction OUTPUT)
)
(port
(rename WB_DAT_O_6 "WB_DAT_O<6>")
(direction OUTPUT)
)
(port
(rename WB_DAT_O_5 "WB_DAT_O<5>")
(direction OUTPUT)
)
(port
(rename WB_DAT_O_4 "WB_DAT_O<4>")
(direction OUTPUT)
)
(port
(rename WB_DAT_O_3 "WB_DAT_O<3>")
(direction OUTPUT)
)
(port
(rename WB_DAT_O_2 "WB_DAT_O<2>")
(direction OUTPUT)
)
(port
(rename WB_DAT_O_1 "WB_DAT_O<1>")
(direction OUTPUT)
)
(port
(rename WB_DAT_O_0 "WB_DAT_O<0>")
(direction OUTPUT)
)
(port WB_WE_I
(direction INPUT)
)
(port WB_STB_I
(direction INPUT)
)
(port WB_ACK_O
(direction OUTPUT)
)
(port IntTx_O
(direction OUTPUT)
)
(port IntRx_O
(direction OUTPUT)
)
(port BR_Clk_I
(direction INPUT)
)
(port TxD_PAD_O
(direction OUTPUT)
)
(port RxD_PAD_I
(direction INPUT)
)
)
(contents
(instance
(rename Uart_Rxrate_C126_C0_C1 "Uart_Rxrate/C126/C0/C1")
(viewRef Netlist_representation
(cellRef CY4
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_0")
)
(property RLOC
(string "R0C0")
)
)
(instance
(rename Uart_Rxrate_C126_C0_C2 "Uart_Rxrate/C126/C0/C2")
(viewRef Netlist_representation
(cellRef CY4_27
(libraryRef SPARTAN)
)
)
(property CYMODE
(string "DEC-G-0")
)
)
(instance
(rename Uart_Rxrate_C126_C1_C2 "Uart_Rxrate/C126/C1/C2")
(viewRef Netlist_representation
(cellRef CY4
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_1")
)
(property RLOC
(string "R0C0")
)
)
(instance
(rename Uart_Rxrate_C126_C1_C3 "Uart_Rxrate/C126/C1/C3")
(viewRef Netlist_representation
(cellRef CY4_25
(libraryRef SPARTAN)
)
)
(property CYMODE
(string "DEC-FG-CI")
)
)
(instance
(rename Uart_Rxrate_C126_C2_C2 "Uart_Rxrate/C126/C2/C2")
(viewRef Netlist_representation
(cellRef CY4
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_2")
)
(property RLOC
(string "R0C0")
)
)
(instance
(rename Uart_Rxrate_C126_C2_C3 "Uart_Rxrate/C126/C2/C3")
(viewRef Netlist_representation
(cellRef CY4_25
(libraryRef SPARTAN)
)
)
(property CYMODE
(string "DEC-FG-CI")
)
)
(instance
(rename Uart_Rxrate_C126_C3_C2 "Uart_Rxrate/C126/C3/C2")
(viewRef Netlist_representation
(cellRef CY4
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_3")
)
(property RLOC
(string "R0C0")
)
)
(instance
(rename Uart_Rxrate_C126_C3_C3 "Uart_Rxrate/C126/C3/C3")
(viewRef Netlist_representation
(cellRef CY4_25
(libraryRef SPARTAN)
)
)
(property CYMODE
(string "DEC-FG-CI")
)
)
(instance ReadA_reg
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance LoadA_reg
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename TxData_reg_0 "TxData_reg<0>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename TxData_reg_1 "TxData_reg<1>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename TxData_reg_2 "TxData_reg<2>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename TxData_reg_3 "TxData_reg<3>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename TxData_reg_4 "TxData_reg<4>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename TxData_reg_5 "TxData_reg<5>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename TxData_reg_6 "TxData_reg<6>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename TxData_reg_7 "TxData_reg<7>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Rxrate_Cnt_reg_0 "Uart_Rxrate/Cnt_reg<0>")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_0")
)
(property RLOC
(string "R0C0.FFY")
)
)
(instance
(rename Uart_Rxrate_Cnt_reg_4 "Uart_Rxrate/Cnt_reg<4>")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Rxrate_O_reg "Uart_Rxrate/O_reg")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Rxrate_Cnt_reg_6 "Uart_Rxrate/Cnt_reg<6>")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Rxrate_Cnt_reg_2 "Uart_Rxrate/Cnt_reg<2>")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Rxrate_Cnt_reg_3 "Uart_Rxrate/Cnt_reg<3>")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Rxrate_Cnt_reg_7 "Uart_Rxrate/Cnt_reg<7>")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Rxrate_Cnt_reg_5 "Uart_Rxrate/Cnt_reg<5>")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Rxrate_Cnt_reg_1 "Uart_Rxrate/Cnt_reg<1>")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Txrate_O_reg "Uart_Txrate/O_reg")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Txrate_Cnt_reg_1 "Uart_Txrate/Cnt_reg<1>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_Txrate_Cnt_reg_0 "Uart_Txrate/Cnt_reg<0>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TxD_reg "Uart_TxUnit/TxD_reg")
(viewRef Netlist_representation
(cellRef FDPE
(libraryRef SPARTAN)
)
)
(property INIT
(string "S")
)
)
(instance
(rename Uart_TxUnit_TBufL_reg "Uart_TxUnit/TBufL_reg")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TReg_reg_0 "Uart_TxUnit/TReg_reg<0>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TReg_reg_1 "Uart_TxUnit/TReg_reg<1>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TReg_reg_2 "Uart_TxUnit/TReg_reg<2>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TReg_reg_3 "Uart_TxUnit/TReg_reg<3>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TReg_reg_4 "Uart_TxUnit/TReg_reg<4>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TReg_reg_5 "Uart_TxUnit/TReg_reg<5>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TReg_reg_6 "Uart_TxUnit/TReg_reg<6>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TReg_reg_7 "Uart_TxUnit/TReg_reg<7>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TBuff_reg_0 "Uart_TxUnit/TBuff_reg<0>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TBuff_reg_1 "Uart_TxUnit/TBuff_reg<1>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TBuff_reg_2 "Uart_TxUnit/TBuff_reg<2>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TBuff_reg_3 "Uart_TxUnit/TBuff_reg<3>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TBuff_reg_4 "Uart_TxUnit/TBuff_reg<4>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TBuff_reg_5 "Uart_TxUnit/TBuff_reg<5>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TBuff_reg_6 "Uart_TxUnit/TBuff_reg<6>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_TBuff_reg_7 "Uart_TxUnit/TBuff_reg<7>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_BitPos_reg_3 "Uart_TxUnit/BitPos_reg<3>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_BitPos_reg_2 "Uart_TxUnit/BitPos_reg<2>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_BitPos_reg_1 "Uart_TxUnit/BitPos_reg<1>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_BitPos_reg_0 "Uart_TxUnit/BitPos_reg<0>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_RRegL_reg "Uart_RxUnit/RRegL_reg")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_RxAv_reg "Uart_RxUnit/RxAv_reg")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_RReg_reg_0 "Uart_RxUnit/RReg_reg<0>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_RReg_reg_1 "Uart_RxUnit/RReg_reg<1>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_RReg_reg_2 "Uart_RxUnit/RReg_reg<2>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_RReg_reg_3 "Uart_RxUnit/RReg_reg<3>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_RReg_reg_4 "Uart_RxUnit/RReg_reg<4>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_RReg_reg_5 "Uart_RxUnit/RReg_reg<5>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_RReg_reg_6 "Uart_RxUnit/RReg_reg<6>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_RReg_reg_7 "Uart_RxUnit/RReg_reg<7>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_DataO_reg_0 "Uart_RxUnit/DataO_reg<0>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_DataO_reg_1 "Uart_RxUnit/DataO_reg<1>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_DataO_reg_2 "Uart_RxUnit/DataO_reg<2>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_DataO_reg_3 "Uart_RxUnit/DataO_reg<3>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_DataO_reg_4 "Uart_RxUnit/DataO_reg<4>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_DataO_reg_5 "Uart_RxUnit/DataO_reg<5>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_DataO_reg_6 "Uart_RxUnit/DataO_reg<6>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_DataO_reg_7 "Uart_RxUnit/DataO_reg<7>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_SampleCnt_reg_1 "Uart_RxUnit/SampleCnt_reg<1>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_SampleCnt_reg_0 "Uart_RxUnit/SampleCnt_reg<0>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_BitPos_reg_3 "Uart_RxUnit/BitPos_reg<3>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_BitPos_reg_2 "Uart_RxUnit/BitPos_reg<2>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_BitPos_reg_1 "Uart_RxUnit/BitPos_reg<1>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_RxUnit_BitPos_reg_0 "Uart_RxUnit/BitPos_reg<0>")
(viewRef Netlist_representation
(cellRef FDCE
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_SyncLoad_C1S_reg "Uart_TxUnit/SyncLoad/C1S_reg")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_SyncLoad_R_reg "Uart_TxUnit/SyncLoad/R_reg")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance
(rename Uart_TxUnit_SyncLoad_C1A_reg "Uart_TxUnit/SyncLoad/C1A_reg")
(viewRef Netlist_representation
(cellRef FDC
(libraryRef SPARTAN)
)
)
)
(instance C538
(viewRef Netlist_representation
(cellRef CY4
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "UART_5")
)
(property RLOC
(string "R0C0")
)
)
(instance C539
(viewRef Netlist_representation
(cellRef CY4_42
(libraryRef SPARTAN)
)
)
(property CYMODE
(string "EXAMINE-CI")
)
)
(instance C540
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C541
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C604
(viewRef Netlist_representation
(cellRef VCC
(libraryRef SPARTAN)
)
)
)
(instance C605
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C606
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C607
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C608
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C609
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C610
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C611
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C612
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C613
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C614
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C615
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C616
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C617
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C618
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C619
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C620
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C621
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C622
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C623
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C624
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C625
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C626
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C627
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C628
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C629
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C630
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C631
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C632
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C633
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C634
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C635
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C636
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C637
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C638
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C639
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C640
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C641
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C642
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C643
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C644
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C645
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C646
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C647
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C648
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C649
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C650
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C651
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C652
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C653
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C654
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C655
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C656
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C657
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C658
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C659
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C660
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C661
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C662
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C663
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C664
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C665
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C666
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C667
(viewRef Netlist_representation
(cellRef GND
(libraryRef SPARTAN)
)
)
)
(instance C0
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_0")
)
(property RLOC
(string "R0C0.G")
)
)
(instance C1
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C2
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C3
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C4
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C5
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C6
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C7
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C8
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C9
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C10
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C11
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C12
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C13
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C14
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C15
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_1")
)
(property RLOC
(string "R0C0.F")
)
)
(instance C16
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C17
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C18
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C19
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C20
(viewRef Netlist_representation
(cellRef XOR2
(libraryRef SPARTAN)
)
)
)
(instance C21
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C22
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C23
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C24
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_1")
)
(property RLOC
(string "R0C0.G")
)
)
(instance C25
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C26
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C27
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C28
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C29
(viewRef Netlist_representation
(cellRef XOR2
(libraryRef SPARTAN)
)
)
)
(instance C30
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C31
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C32
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C33
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_2")
)
(property RLOC
(string "R0C0.F")
)
)
(instance C34
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C35
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C36
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C37
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C38
(viewRef Netlist_representation
(cellRef XOR2
(libraryRef SPARTAN)
)
)
)
(instance C39
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C40
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C41
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C42
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_2")
)
(property RLOC
(string "R0C0.G")
)
)
(instance C43
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C44
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C45
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C46
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C47
(viewRef Netlist_representation
(cellRef XOR2
(libraryRef SPARTAN)
)
)
)
(instance C48
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C49
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C50
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C51
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_3")
)
(property RLOC
(string "R0C0.F")
)
)
(instance C52
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C53
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C54
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C55
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C56
(viewRef Netlist_representation
(cellRef XOR2
(libraryRef SPARTAN)
)
)
)
(instance C57
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C58
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C59
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C60
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
(property HU_SET
(string "dec_rpl_8_33_3")
)
(property RLOC
(string "R0C0.G")
)
)
(instance C61
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C62
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C63
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C64
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C65
(viewRef Netlist_representation
(cellRef XOR2
(libraryRef SPARTAN)
)
)
)
(instance C66
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C67
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C68
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C69
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C70
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C71
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C72
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C73
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C74
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C75
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C76
(viewRef Netlist_representation
(cellRef XOR2
(libraryRef SPARTAN)
)
)
)
(instance C77
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C78
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C79
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C80
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C81
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C82
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C83
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C84
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C85
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C86
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C87
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C88
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C89
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C90
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C91
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C92
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C93
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C94
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C95
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C96
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C97
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C98
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C99
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C100
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C101
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C102
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C103
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C104
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C105
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C106
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C107
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C108
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C109
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C110
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C111
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C112
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C113
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C114
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C115
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C116
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C117
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C118
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C119
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C120
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C121
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C122
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C123
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C124
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C125
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C126
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C127
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C128
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C129
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C130
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C131
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C132
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C133
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C134
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C135
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C136
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C137
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C138
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C139
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C140
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C141
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C142
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C143
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C144
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C145
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C146
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C147
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C148
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C149
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C150
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C151
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C152
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C153
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C154
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C155
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C156
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C157
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C158
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C159
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C160
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C161
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C162
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C163
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C164
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C165
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C166
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C167
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C168
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C169
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C170
(viewRef Netlist_representation
(cellRef HMAP
(libraryRef SPARTAN)
)
)
)
(instance C171
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C172
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C173
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C174
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C175
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C176
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C177
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C178
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C179
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C180
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C181
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C182
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C183
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C184
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C185
(viewRef Netlist_representation
(cellRef HMAP
(libraryRef SPARTAN)
)
)
)
(instance C186
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C187
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C188
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C189
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C190
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C191
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C192
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C193
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C194
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C195
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C196
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C197
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C198
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C199
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C200
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C201
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C202
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C206
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C207
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C208
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C209
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C210
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C211
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C212
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C213
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C214
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C215
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C216
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C217
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C218
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C219
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C220
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C221
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C222
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C223
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C224
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C225
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C226
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C227
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C228
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C229
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C230
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C231
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C232
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C233
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C234
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C235
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C236
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C237
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C238
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C239
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C240
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C241
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C242
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C243
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C244
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C245
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C246
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C247
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C248
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C249
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C250
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C251
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C252
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C253
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C254
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C255
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C256
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C257
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C258
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C259
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C260
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C261
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C262
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C263
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C264
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C265
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C266
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C267
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C268
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C269
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C270
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C271
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C272
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C273
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C274
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C275
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C276
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C277
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C278
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C279
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C280
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C281
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C282
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C283
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C284
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C285
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C286
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C287
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C288
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C289
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C290
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C291
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C292
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C293
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C294
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C295
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C296
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C297
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C298
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C299
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C300
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C301
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C302
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C303
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C304
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C305
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C306
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C307
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C308
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C309
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C310
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C311
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C312
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C313
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C314
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C315
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C316
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C317
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C318
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C319
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C320
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C321
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C322
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C323
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C324
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C325
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C326
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C327
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C328
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C329
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C330
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C331
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C332
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C333
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C334
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C335
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C336
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C337
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C338
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C339
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C340
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C341
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C342
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C343
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C344
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C345
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C346
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C347
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C348
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C349
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C350
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C351
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C352
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C353
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C354
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C355
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C356
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C357
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C358
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C359
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C360
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C361
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C362
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C363
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C364
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C365
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C366
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C367
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C368
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C369
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C370
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C371
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C372
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C373
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C374
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C375
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C376
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C377
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C378
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C379
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C380
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C381
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C382
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C383
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C384
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C385
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C386
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C387
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C388
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C389
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C390
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C391
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C392
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C393
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C394
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C395
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C396
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C397
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C398
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C399
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C400
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C401
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C402
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C403
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C404
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C405
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C406
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C407
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C408
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C409
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C410
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C411
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C412
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C413
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C414
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C415
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C416
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C417
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C418
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C419
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C420
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C421
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C422
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C423
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C424
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C425
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C426
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C427
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C428
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C429
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C430
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C431
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C432
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C433
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C434
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C435
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C436
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C437
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C438
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C439
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C440
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C441
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C442
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C443
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C444
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C445
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C446
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C447
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C448
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C449
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C450
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C451
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C452
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C453
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C454
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C455
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C456
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C457
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C458
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C459
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C460
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C461
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C462
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C463
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C464
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C465
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C466
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C467
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C468
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C469
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C470
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C471
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C472
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C473
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C474
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C475
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C476
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C477
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C478
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C479
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C480
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C481
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C482
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C483
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C484
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C485
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C486
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C487
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C488
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C489
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C490
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C491
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C492
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C493
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C494
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C495
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C496
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C497
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C498
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C499
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C500
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C501
(viewRef Netlist_representation
(cellRef HMAP
(libraryRef SPARTAN)
)
)
)
(instance C502
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C503
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C504
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C505
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C506
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C507
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C508
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C509
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C510
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C511
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C512
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C513
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C516
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C521
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C537
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C542
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C543
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C544
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C545
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C546
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C547
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C548
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C549
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C550
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C551
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C552
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C553
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C554
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C555
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C556
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C557
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C558
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C559
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C560
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C561
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C562
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C563
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C564
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C565
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C566
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C567
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C568
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C569
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C570
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C571
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C572
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C573
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C574
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C575
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C576
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C577
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C578
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C579
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C580
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C581
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C582
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C583
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C584
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C585
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C586
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C587
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C588
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C589
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C590
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C591
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C592
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C593
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C594
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C595
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C596
(viewRef Netlist_representation
(cellRef HMAP
(libraryRef SPARTAN)
)
)
)
(instance C597
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C598
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C599
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C600
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C601
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C602
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C603
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C668
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C669
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C670
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C671
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C672
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C673
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C674
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C675
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C676
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C677
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C678
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C679
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C680
(viewRef Netlist_representation
(cellRef AND4
(libraryRef SPARTAN)
)
)
)
(instance C681
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C682
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C683
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C684
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C685
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C686
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C687
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C688
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C689
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C690
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C691
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C692
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C693
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C694
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C695
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C696
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C697
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C698
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C699
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C700
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C701
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C702
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C703
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C704
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C705
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C706
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C707
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C708
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C709
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C710
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C711
(viewRef Netlist_representation
(cellRef AND3
(libraryRef SPARTAN)
)
)
)
(instance C712
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C713
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C714
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C715
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C716
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C717
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C718
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C719
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C720
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C721
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C722
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C723
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C724
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C725
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C726
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C727
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C728
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C729
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C730
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C731
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C732
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C733
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C734
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C735
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C736
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C737
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C738
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C739
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C740
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C741
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(instance C742
(viewRef Netlist_representation
(cellRef FMAP
(libraryRef SPARTAN)
)
)
)
(instance C743
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C744
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C745
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C746
(viewRef Netlist_representation
(cellRef INV
(libraryRef SPARTAN)
)
)
)
(instance C747
(viewRef Netlist_representation
(cellRef BUF
(libraryRef SPARTAN)
)
)
)
(instance C748
(viewRef Netlist_representation
(cellRef AND2
(libraryRef SPARTAN)
)
)
)
(net WB_CLK_I
(joined
(portRef WB_CLK_I)
(portRef C
(instanceRef ReadA_reg)
)
(portRef C
(instanceRef LoadA_reg)
)
(portRef C
(instanceRef TxData_reg_0)
)
(portRef C
(instanceRef TxData_reg_1)
)
(portRef C
(instanceRef TxData_reg_2)
)
(portRef C
(instanceRef TxData_reg_3)
)
(portRef C
(instanceRef TxData_reg_4)
)
(portRef C
(instanceRef TxData_reg_5)
)
(portRef C
(instanceRef TxData_reg_6)
)
(portRef C
(instanceRef TxData_reg_7)
)
)
)
(net WB_RST_I
(joined
(portRef WB_RST_I)
(portRef PRE
(instanceRef Uart_TxUnit_TxD_reg)
)
(portRef CLR
(instanceRef Uart_TxUnit_TBufL_reg)
)
(portRef CLR
(instanceRef Uart_TxUnit_BitPos_reg_3)
)
(portRef CLR
(instanceRef Uart_TxUnit_BitPos_reg_2)
)
(portRef CLR
(instanceRef Uart_TxUnit_BitPos_reg_1)
)
(portRef CLR
(instanceRef Uart_TxUnit_BitPos_reg_0)
)
(portRef CLR
(instanceRef Uart_RxUnit_RRegL_reg)
)
(portRef CLR
(instanceRef Uart_RxUnit_BitPos_reg_3)
)
(portRef CLR
(instanceRef Uart_RxUnit_BitPos_reg_2)
)
(portRef CLR
(instanceRef Uart_RxUnit_BitPos_reg_1)
)
(portRef CLR
(instanceRef Uart_RxUnit_BitPos_reg_0)
)
(portRef I1
(instanceRef C302)
)
(portRef I
(instanceRef C305)
)
(portRef I1
(instanceRef C334)
)
(portRef I
(instanceRef C339)
)
(portRef I2
(instanceRef C408)
)
(portRef I
(instanceRef C411)
)
(portRef I2
(instanceRef C414)
)
(portRef I
(instanceRef C418)
)
(portRef I3
(instanceRef C576)
)
(portRef I
(instanceRef C579)
)
(portRef I3
(instanceRef C582)
)
(portRef I
(instanceRef C586)
)
)
)
(net
(rename WB_ADR_I_1 "WB_ADR_I<1>")
(joined
(portRef WB_ADR_I_1)
(portRef I1
(instanceRef C685)
)
(portRef I
(instanceRef C689)
)
(portRef I
(instanceRef C691)
)
(portRef I1
(instanceRef C702)
)
(portRef I
(instanceRef C706)
)
(portRef I
(instanceRef C708)
)
(portRef I1
(instanceRef C742)
)
(portRef I
(instanceRef C745)
)
)
)
(net
(rename WB_ADR_I_0 "WB_ADR_I<0>")
(joined
(portRef WB_ADR_I_0)
(portRef I2
(instanceRef C685)
)
(portRef I
(instanceRef C690)
)
(portRef I1
(instanceRef C694)
)
(portRef I2
(instanceRef C702)
)
(portRef I
(instanceRef C707)
)
(portRef I1
(instanceRef C711)
)
(portRef I2
(instanceRef C742)
)
(portRef I
(instanceRef C746)
)
)
)
(net
(rename WB_DAT_I_7 "WB_DAT_I<7>")
(joined
(portRef WB_DAT_I_7)
(portRef D
(instanceRef TxData_reg_7)
)
)
)
(net
(rename WB_DAT_I_6 "WB_DAT_I<6>")
(joined
(portRef WB_DAT_I_6)
(portRef D
(instanceRef TxData_reg_6)
)
)
)
(net
(rename WB_DAT_I_5 "WB_DAT_I<5>")
(joined
(portRef WB_DAT_I_5)
(portRef D
(instanceRef TxData_reg_5)
)
)
)
(net
(rename WB_DAT_I_4 "WB_DAT_I<4>")
(joined
(portRef WB_DAT_I_4)
(portRef D
(instanceRef TxData_reg_4)
)
)
)
(net
(rename WB_DAT_I_3 "WB_DAT_I<3>")
(joined
(portRef WB_DAT_I_3)
(portRef D
(instanceRef TxData_reg_3)
)
)
)
(net
(rename WB_DAT_I_2 "WB_DAT_I<2>")
(joined
(portRef WB_DAT_I_2)
(portRef D
(instanceRef TxData_reg_2)
)
)
)
(net
(rename WB_DAT_I_1 "WB_DAT_I<1>")
(joined
(portRef WB_DAT_I_1)
(portRef D
(instanceRef TxData_reg_1)
)
)
)
(net
(rename WB_DAT_I_0 "WB_DAT_I<0>")
(joined
(portRef WB_DAT_I_0)
(portRef D
(instanceRef TxData_reg_0)
)
)
)
(net WB_WE_I
(joined
(portRef WB_WE_I)
(portRef I2
(instanceRef C576)
)
(portRef I1
(instanceRef C581)
)
(portRef I2
(instanceRef C582)
)
(portRef I
(instanceRef C585)
)
)
)
(net WB_STB_I
(joined
(portRef WB_STB_I)
(portRef WB_ACK_O)
(portRef I1
(instanceRef C576)
)
(portRef I0
(instanceRef C581)
)
(portRef I1
(instanceRef C582)
)
(portRef I0
(instanceRef C588)
)
)
)
(net IntRx_O
(joined
(portRef IntRx_O)
(portRef Q
(instanceRef Uart_RxUnit_RxAv_reg)
)
(portRef I4
(instanceRef C702)
)
(portRef I2
(instanceRef C711)
)
)
)
(net BR_Clk_I
(joined
(portRef BR_Clk_I)
(portRef C
(instanceRef Uart_Rxrate_Cnt_reg_0)
)
(portRef C
(instanceRef Uart_Rxrate_Cnt_reg_4)
)
(portRef C
(instanceRef Uart_Rxrate_O_reg)
)
(portRef C
(instanceRef Uart_Rxrate_Cnt_reg_6)
)
(portRef C
(instanceRef Uart_Rxrate_Cnt_reg_2)
)
(portRef C
(instanceRef Uart_Rxrate_Cnt_reg_3)
)
(portRef C
(instanceRef Uart_Rxrate_Cnt_reg_7)
)
(portRef C
(instanceRef Uart_Rxrate_Cnt_reg_5)
)
(portRef C
(instanceRef Uart_Rxrate_Cnt_reg_1)
)
(portRef C
(instanceRef Uart_Txrate_O_reg)
)
(portRef C
(instanceRef Uart_Txrate_Cnt_reg_1)
)
(portRef C
(instanceRef Uart_Txrate_Cnt_reg_0)
)
(portRef C
(instanceRef Uart_TxUnit_TxD_reg)
)
(portRef C
(instanceRef Uart_TxUnit_TBufL_reg)
)
(portRef C
(instanceRef Uart_TxUnit_TReg_reg_0)
)
(portRef C
(instanceRef Uart_TxUnit_TReg_reg_1)
)
(portRef C
(instanceRef Uart_TxUnit_TReg_reg_2)
)
(portRef C
(instanceRef Uart_TxUnit_TReg_reg_3)
)
(portRef C
(instanceRef Uart_TxUnit_TReg_reg_4)
)
(portRef C
(instanceRef Uart_TxUnit_TReg_reg_5)
)
(portRef C
(instanceRef Uart_TxUnit_TReg_reg_6)
)
(portRef C
(instanceRef Uart_TxUnit_TReg_reg_7)
)
(portRef C
(instanceRef Uart_TxUnit_TBuff_reg_0)
)
(portRef C
(instanceRef Uart_TxUnit_TBuff_reg_1)
)
(portRef C
(instanceRef Uart_TxUnit_TBuff_reg_2)
)
(portRef C
(instanceRef Uart_TxUnit_TBuff_reg_3)
)
(portRef C
(instanceRef Uart_TxUnit_TBuff_reg_4)
)
(portRef C
(instanceRef Uart_TxUnit_TBuff_reg_5)
)
(portRef C
(instanceRef Uart_TxUnit_TBuff_reg_6)
)
(portRef C
(instanceRef Uart_TxUnit_TBuff_reg_7)
)
(portRef C
(instanceRef Uart_TxUnit_BitPos_reg_3)
)
(portRef C
(instanceRef Uart_TxUnit_BitPos_reg_2)
)
(portRef C
(instanceRef Uart_TxUnit_BitPos_reg_1)
)
(portRef C
(instanceRef Uart_TxUnit_BitPos_reg_0)
)
(portRef C
(instanceRef Uart_RxUnit_RRegL_reg)
)
(portRef C
(instanceRef Uart_RxUnit_RReg_reg_0)
)
(portRef C
(instanceRef Uart_RxUnit_RReg_reg_1)
)
(portRef C
(instanceRef Uart_RxUnit_RReg_reg_2)
)
(portRef C
(instanceRef Uart_RxUnit_RReg_reg_3)
)
(portRef C
(instanceRef Uart_RxUnit_RReg_reg_4)
)
(portRef C
(instanceRef Uart_RxUnit_RReg_reg_5)
)
(portRef C
(instanceRef Uart_RxUnit_RReg_reg_6)
)
(portRef C
(instanceRef Uart_RxUnit_RReg_reg_7)
)
(portRef C
(instanceRef Uart_RxUnit_DataO_reg_0)
)
(portRef C
(instanceRef Uart_RxUnit_DataO_reg_1)
)
(portRef C
(instanceRef Uart_RxUnit_DataO_reg_2)
)
(portRef C
(instanceRef Uart_RxUnit_DataO_reg_3)
)
(portRef C
(instanceRef Uart_RxUnit_DataO_reg_4)
)
(portRef C
(instanceRef Uart_RxUnit_DataO_reg_5)
)
(portRef C
(instanceRef Uart_RxUnit_DataO_reg_6)
)
(portRef C
(instanceRef Uart_RxUnit_DataO_reg_7)
)
(portRef C
(instanceRef Uart_RxUnit_SampleCnt_reg_1)
)
(portRef C
(instanceRef Uart_RxUnit_SampleCnt_reg_0)
)
(portRef C
(instanceRef Uart_RxUnit_BitPos_reg_3)
)
(portRef C
(instanceRef Uart_RxUnit_BitPos_reg_2)
)
(portRef C
(instanceRef Uart_RxUnit_BitPos_reg_1)
)
(portRef C
(instanceRef Uart_RxUnit_BitPos_reg_0)
)
(portRef C
(instanceRef Uart_TxUnit_SyncLoad_C1S_reg)
)
(portRef C
(instanceRef Uart_TxUnit_SyncLoad_R_reg)
)
)
)
(net TxD_PAD_O
(joined
(portRef TxD_PAD_O)
(portRef Q
(instanceRef Uart_TxUnit_TxD_reg)
)
)
)
(net RxD_PAD_I
(joined
(portRef RxD_PAD_I)
(portRef I2
(instanceRef C111)
)
(portRef I
(instanceRef C115)
)
(portRef I4
(instanceRef C176)
)
(portRef I
(instanceRef C182)
)
(portRef I1
(instanceRef C197)
)
(portRef I0
(instanceRef C210)
)
(portRef I1
(instanceRef C211)
)
(portRef I0
(instanceRef C221)
)
(portRef I1
(instanceRef C222)
)
(portRef I0
(instanceRef C232)
)
(portRef I1
(instanceRef C233)
)
(portRef I0
(instanceRef C243)
)
(portRef I1
(instanceRef C244)
)
(portRef I0
(instanceRef C254)
)
(portRef I1
(instanceRef C261)
)
(portRef I0
(instanceRef C271)
)
(portRef I1
(instanceRef C279)
)
(portRef I0
(instanceRef C289)
)
(portRef I1
(instanceRef C308)
)
(portRef I0
(instanceRef C318)
)
)
)
(net EnabRx
(joined
(portRef Q
(instanceRef Uart_Rxrate_O_reg)
)
(portRef CE
(instanceRef Uart_Txrate_Cnt_reg_1)
)
(portRef CE
(instanceRef Uart_Txrate_Cnt_reg_0)
)
(portRef I1
(instanceRef C111)
)
(portRef I0
(instanceRef C117)
)
(portRef I0
(instanceRef C118)
)
(portRef I2
(instanceRef C302)
)
(portRef I1
(instanceRef C307)
)
(portRef I1
(instanceRef C341)
)
(portRef I0
(instanceRef C345)
)
(portRef I3
(instanceRef C561)
)
(portRef I2
(instanceRef C567)
)
)
)
(net
(rename RxData_0 "RxData<0>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_DataO_reg_0)
)
(portRef I3
(instanceRef C685)
)
(portRef I2
(instanceRef C693)
)
)
)
(net
(rename RxData_1 "RxData<1>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_DataO_reg_1)
)
(portRef I3
(instanceRef C702)
)
(portRef I2
(instanceRef C710)
)
)
)
(net
(rename RxData_2 "RxData<2>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_DataO_reg_2)
)
(portRef I2
(instanceRef C712)
)
(portRef I1
(instanceRef C716)
)
)
)
(net
(rename RxData_3 "RxData<3>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_DataO_reg_3)
)
(portRef I2
(instanceRef C717)
)
(portRef I1
(instanceRef C721)
)
)
)
(net
(rename RxData_4 "RxData<4>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_DataO_reg_4)
)
(portRef I2
(instanceRef C722)
)
(portRef I1
(instanceRef C726)
)
)
)
(net
(rename RxData_5 "RxData<5>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_DataO_reg_5)
)
(portRef I2
(instanceRef C727)
)
(portRef I1
(instanceRef C731)
)
)
)
(net
(rename RxData_6 "RxData<6>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_DataO_reg_6)
)
(portRef I2
(instanceRef C732)
)
(portRef I1
(instanceRef C736)
)
)
)
(net
(rename RxData_7 "RxData<7>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_DataO_reg_7)
)
(portRef I2
(instanceRef C737)
)
(portRef I1
(instanceRef C741)
)
)
)
(net EnabTx
(joined
(portRef Q
(instanceRef Uart_Txrate_O_reg)
)
(portRef CE
(instanceRef Uart_TxUnit_TxD_reg)
)
(portRef I3
(instanceRef C384)
)
(portRef I1
(instanceRef C390)
)
(portRef I1
(instanceRef C391)
)
(portRef I0
(instanceRef C392)
)
(portRef I3
(instanceRef C429)
)
(portRef I
(instanceRef C436)
)
)
)
(net LoadA
(joined
(portRef Q
(instanceRef LoadA_reg)
)
(portRef C
(instanceRef Uart_TxUnit_SyncLoad_C1A_reg)
)
)
)
(net
(rename TxData_7 "TxData<7>")
(joined
(portRef Q
(instanceRef TxData_reg_7)
)
(portRef D
(instanceRef Uart_TxUnit_TBuff_reg_7)
)
)
)
(net
(rename TxData_6 "TxData<6>")
(joined
(portRef Q
(instanceRef TxData_reg_6)
)
(portRef D
(instanceRef Uart_TxUnit_TBuff_reg_6)
)
)
)
(net
(rename TxData_5 "TxData<5>")
(joined
(portRef Q
(instanceRef TxData_reg_5)
)
(portRef D
(instanceRef Uart_TxUnit_TBuff_reg_5)
)
)
)
(net
(rename TxData_4 "TxData<4>")
(joined
(portRef Q
(instanceRef TxData_reg_4)
)
(portRef D
(instanceRef Uart_TxUnit_TBuff_reg_4)
)
)
)
(net
(rename TxData_3 "TxData<3>")
(joined
(portRef Q
(instanceRef TxData_reg_3)
)
(portRef D
(instanceRef Uart_TxUnit_TBuff_reg_3)
)
)
)
(net
(rename TxData_2 "TxData<2>")
(joined
(portRef Q
(instanceRef TxData_reg_2)
)
(portRef D
(instanceRef Uart_TxUnit_TBuff_reg_2)
)
)
)
(net
(rename TxData_1 "TxData<1>")
(joined
(portRef Q
(instanceRef TxData_reg_1)
)
(portRef D
(instanceRef Uart_TxUnit_TBuff_reg_1)
)
)
)
(net
(rename TxData_0 "TxData<0>")
(joined
(portRef Q
(instanceRef TxData_reg_0)
)
(portRef D
(instanceRef Uart_TxUnit_TBuff_reg_0)
)
)
)
(net ReadA
(joined
(portRef Q
(instanceRef ReadA_reg)
)
(portRef I2
(instanceRef C334)
)
(portRef I
(instanceRef C340)
)
)
)
(net
(rename C3_N5 "C3/N5")
(joined
(portRef D
(instanceRef ReadA_reg)
)
(portRef O
(instanceRef C582)
)
(portRef O
(instanceRef C583)
)
)
)
(net
(rename C5_N5 "C5/N5")
(joined
(portRef D
(instanceRef LoadA_reg)
)
(portRef CE
(instanceRef TxData_reg_0)
)
(portRef CE
(instanceRef TxData_reg_1)
)
(portRef CE
(instanceRef TxData_reg_2)
)
(portRef CE
(instanceRef TxData_reg_3)
)
(portRef CE
(instanceRef TxData_reg_4)
)
(portRef CE
(instanceRef TxData_reg_5)
)
(portRef CE
(instanceRef TxData_reg_6)
)
(portRef CE
(instanceRef TxData_reg_7)
)
(portRef O
(instanceRef C576)
)
(portRef O
(instanceRef C577)
)
)
)
(net
(rename C7_N4 "C7/N4")
(joined
(portRef WB_DAT_O_7)
(portRef O
(instanceRef C737)
)
(portRef O
(instanceRef C738)
)
)
)
(net
(rename C7_N11 "C7/N11")
(joined
(portRef WB_DAT_O_6)
(portRef O
(instanceRef C732)
)
(portRef O
(instanceRef C733)
)
)
)
(net
(rename C7_N17 "C7/N17")
(joined
(portRef WB_DAT_O_5)
(portRef O
(instanceRef C727)
)
(portRef O
(instanceRef C728)
)
)
)
(net
(rename C7_N23 "C7/N23")
(joined
(portRef WB_DAT_O_4)
(portRef O
(instanceRef C722)
)
(portRef O
(instanceRef C723)
)
)
)
(net
(rename C7_N29 "C7/N29")
(joined
(portRef WB_DAT_O_3)
(portRef O
(instanceRef C717)
)
(portRef O
(instanceRef C718)
)
)
)
(net
(rename C7_N35 "C7/N35")
(joined
(portRef WB_DAT_O_2)
(portRef O
(instanceRef C712)
)
(portRef O
(instanceRef C713)
)
)
)
(net
(rename C7_N45 "C7/N45")
(joined
(portRef WB_DAT_O_1)
(portRef O
(instanceRef C702)
)
(portRef O
(instanceRef C703)
)
)
)
(net
(rename C7_N52 "C7/N52")
(joined
(portRef WB_DAT_O_0)
(portRef O
(instanceRef C685)
)
(portRef O
(instanceRef C686)
)
)
)
(net
(rename Uart_Rxrate_Cnt_0 "Uart_Rxrate/Cnt<0>")
(joined
(portRef A1
(instanceRef Uart_Rxrate_C126_C0_C1)
)
(portRef Q
(instanceRef Uart_Rxrate_Cnt_reg_0)
)
(portRef I1
(instanceRef C0)
)
(portRef I4
(instanceRef C0)
)
(portRef I
(instanceRef C1)
)
(portRef I
(instanceRef C5)
)
(portRef I4
(instanceRef C15)
)
(portRef I
(instanceRef C23)
)
(portRef I3
(instanceRef C24)
)
(portRef I
(instanceRef C32)
)
(portRef I4
(instanceRef C33)
)
(portRef I
(instanceRef C41)
)
(portRef I3
(instanceRef C42)
)
(portRef I
(instanceRef C50)
)
(portRef I4
(instanceRef C51)
)
(portRef I
(instanceRef C59)
)
(portRef I3
(instanceRef C60)
)
(portRef I
(instanceRef C68)
)
(portRef I4
(instanceRef C69)
)
(portRef I
(instanceRef C80)
)
(portRef I3
(instanceRef C568)
)
(portRef I
(instanceRef C573)
)
)
)
(net
(rename Uart_Rxrate_Cnt_4 "Uart_Rxrate/Cnt<4>")
(joined
(portRef A1
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef Q
(instanceRef Uart_Rxrate_Cnt_reg_4)
)
(portRef I4
(instanceRef C42)
)
(portRef I1
(instanceRef C47)
)
(portRef I3
(instanceRef C674)
)
(portRef I
(instanceRef C683)
)
)
)
(net
(rename Uart_Rxrate_Cnt_6 "Uart_Rxrate/Cnt<6>")
(joined
(portRef A1
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef Q
(instanceRef Uart_Rxrate_Cnt_reg_6)
)
(portRef I4
(instanceRef C60)
)
(portRef I1
(instanceRef C65)
)
(portRef I2
(instanceRef C603)
)
(portRef I
(instanceRef C673)
)
)
)
(net
(rename Uart_Rxrate_Cnt_2 "Uart_Rxrate/Cnt<2>")
(joined
(portRef A1
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef Q
(instanceRef Uart_Rxrate_Cnt_reg_2)
)
(portRef I4
(instanceRef C24)
)
(portRef I1
(instanceRef C29)
)
(portRef I1
(instanceRef C674)
)
(portRef I
(instanceRef C681)
)
)
)
(net
(rename Uart_Rxrate_Cnt_3 "Uart_Rxrate/Cnt<3>")
(joined
(portRef A0
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef Q
(instanceRef Uart_Rxrate_Cnt_reg_3)
)
(portRef I1
(instanceRef C33)
)
(portRef I0
(instanceRef C38)
)
(portRef I2
(instanceRef C674)
)
(portRef I
(instanceRef C682)
)
)
)
(net
(rename Uart_Rxrate_Cnt_7 "Uart_Rxrate/Cnt<7>")
(joined
(portRef Q
(instanceRef Uart_Rxrate_Cnt_reg_7)
)
(portRef I1
(instanceRef C69)
)
(portRef I0
(instanceRef C76)
)
(portRef I1
(instanceRef C603)
)
(portRef I
(instanceRef C672)
)
)
)
(net
(rename Uart_Rxrate_Cnt_5 "Uart_Rxrate/Cnt<5>")
(joined
(portRef A0
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef Q
(instanceRef Uart_Rxrate_Cnt_reg_5)
)
(portRef I1
(instanceRef C51)
)
(portRef I0
(instanceRef C56)
)
(portRef I4
(instanceRef C674)
)
(portRef I
(instanceRef C684)
)
)
)
(net
(rename Uart_Rxrate_Cnt_1 "Uart_Rxrate/Cnt<1>")
(joined
(portRef A0
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef Q
(instanceRef Uart_Rxrate_Cnt_reg_1)
)
(portRef I2
(instanceRef C0)
)
(portRef I
(instanceRef C8)
)
(portRef I1
(instanceRef C15)
)
(portRef I0
(instanceRef C20)
)
(portRef I1
(instanceRef C568)
)
(portRef I
(instanceRef C571)
)
(portRef I1
(instanceRef C589)
)
(portRef I
(instanceRef C594)
)
)
)
(net
(rename Uart_Rxrate_C64 "Uart_Rxrate/C64")
(joined
(portRef I2
(instanceRef C15)
)
(portRef I
(instanceRef C19)
)
(portRef I1
(instanceRef C24)
)
(portRef I
(instanceRef C28)
)
(portRef I2
(instanceRef C33)
)
(portRef I
(instanceRef C37)
)
(portRef I1
(instanceRef C42)
)
(portRef I
(instanceRef C46)
)
(portRef I2
(instanceRef C51)
)
(portRef I
(instanceRef C55)
)
(portRef I1
(instanceRef C60)
)
(portRef I
(instanceRef C64)
)
(portRef I2
(instanceRef C69)
)
(portRef I
(instanceRef C75)
)
(portRef O
(instanceRef C589)
)
(portRef O
(instanceRef C590)
)
)
)
(net
(rename Uart_Rxrate_C67 "Uart_Rxrate/C67")
(joined
(portRef I3
(instanceRef C0)
)
(portRef I
(instanceRef C9)
)
(portRef I2
(instanceRef C568)
)
(portRef I
(instanceRef C572)
)
(portRef I2
(instanceRef C589)
)
(portRef I
(instanceRef C595)
)
(portRef O
(instanceRef C596)
)
(portRef O
(instanceRef C597)
)
)
)
(net
(rename Uart_Txrate_C0_N3 "Uart_Txrate/C0/N3")
(joined
(portRef D
(instanceRef Uart_Txrate_O_reg)
)
(portRef O
(instanceRef C561)
)
(portRef O
(instanceRef C562)
)
)
)
(net
(rename Uart_Txrate_Cnt_1 "Uart_Txrate/Cnt<1>")
(joined
(portRef Q
(instanceRef Uart_Txrate_Cnt_reg_1)
)
(portRef I1
(instanceRef C552)
)
(portRef I
(instanceRef C556)
)
(portRef I0
(instanceRef C559)
)
(portRef I1
(instanceRef C561)
)
(portRef I
(instanceRef C564)
)
)
)
(net
(rename Uart_Txrate_Cnt_0 "Uart_Txrate/Cnt<0>")
(joined
(portRef Q
(instanceRef Uart_Txrate_Cnt_reg_0)
)
(portRef I
(instanceRef C541)
)
(portRef I2
(instanceRef C552)
)
(portRef I
(instanceRef C557)
)
(portRef I1
(instanceRef C559)
)
(portRef I2
(instanceRef C561)
)
(portRef I
(instanceRef C565)
)
)
)
(net
(rename Uart_TxUnit_LoadS "Uart_TxUnit/LoadS")
(joined
(portRef Q
(instanceRef Uart_TxUnit_SyncLoad_C1S_reg)
)
(portRef D
(instanceRef Uart_TxUnit_SyncLoad_R_reg)
)
(portRef I1
(instanceRef C408)
)
(portRef I0
(instanceRef C413)
)
(portRef I2
(instanceRef C421)
)
(portRef I
(instanceRef C427)
)
(portRef I1
(instanceRef C695)
)
(portRef I
(instanceRef C698)
)
)
)
(net
(rename Uart_TxUnit_TBufL "Uart_TxUnit/TBufL")
(joined
(portRef Q
(instanceRef Uart_TxUnit_TBufL_reg)
)
(portRef I1
(instanceRef C384)
)
(portRef I0
(instanceRef C390)
)
(portRef I1
(instanceRef C429)
)
(portRef I
(instanceRef C435)
)
(portRef I2
(instanceRef C695)
)
(portRef I
(instanceRef C699)
)
)
)
(net
(rename Uart_TxUnit_TReg_7 "Uart_TxUnit/TReg<7>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_TReg_reg_7)
)
(portRef I3
(instanceRef C469)
)
(portRef I1
(instanceRef C476)
)
)
)
(net
(rename Uart_TxUnit_TReg_6 "Uart_TxUnit/TReg<6>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_TReg_reg_6)
)
(portRef I4
(instanceRef C507)
)
(portRef I1
(instanceRef C543)
)
)
)
(net
(rename Uart_TxUnit_TReg_5 "Uart_TxUnit/TReg<5>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_TReg_reg_5)
)
(portRef I4
(instanceRef C486)
)
(portRef I2
(instanceRef C493)
)
)
)
(net
(rename Uart_TxUnit_TReg_4 "Uart_TxUnit/TReg<4>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_TReg_reg_4)
)
(portRef I4
(instanceRef C544)
)
(portRef I2
(instanceRef C551)
)
)
)
(net
(rename Uart_TxUnit_TReg_3 "Uart_TxUnit/TReg<3>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_TReg_reg_3)
)
(portRef I2
(instanceRef C469)
)
(portRef I1
(instanceRef C475)
)
)
)
(net
(rename Uart_TxUnit_TReg_2 "Uart_TxUnit/TReg<2>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_TReg_reg_2)
)
(portRef I3
(instanceRef C544)
)
(portRef I2
(instanceRef C550)
)
)
)
(net
(rename Uart_TxUnit_TReg_1 "Uart_TxUnit/TReg<1>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_TReg_reg_1)
)
(portRef I2
(instanceRef C494)
)
(portRef I
(instanceRef C500)
)
)
)
(net
(rename Uart_TxUnit_TReg_0 "Uart_TxUnit/TReg<0>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_TReg_reg_0)
)
(portRef I3
(instanceRef C507)
)
(portRef I1
(instanceRef C542)
)
)
)
(net
(rename Uart_TxUnit_BitPos_3 "Uart_TxUnit/BitPos<3>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_BitPos_reg_3)
)
(portRef I2
(instanceRef C359)
)
(portRef I
(instanceRef C366)
)
(portRef I3
(instanceRef C393)
)
(portRef I
(instanceRef C402)
)
(portRef I1
(instanceRef C404)
)
(portRef I1
(instanceRef C405)
)
(portRef I1
(instanceRef C406)
)
(portRef I2
(instanceRef C442)
)
(portRef I
(instanceRef C449)
)
(portRef I3
(instanceRef C477)
)
(portRef I2
(instanceRef C484)
)
(portRef I2
(instanceRef C507)
)
(portRef I
(instanceRef C513)
)
)
)
(net
(rename Uart_TxUnit_BitPos_2 "Uart_TxUnit/BitPos<2>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_BitPos_reg_2)
)
(portRef I3
(instanceRef C359)
)
(portRef I1
(instanceRef C371)
)
(portRef I3
(instanceRef C372)
)
(portRef I
(instanceRef C379)
)
(portRef I1
(instanceRef C381)
)
(portRef I1
(instanceRef C382)
)
(portRef I4
(instanceRef C393)
)
(portRef I
(instanceRef C401)
)
(portRef I2
(instanceRef C405)
)
(portRef I3
(instanceRef C407)
)
(portRef I3
(instanceRef C442)
)
(portRef I
(instanceRef C450)
)
(portRef I1
(instanceRef C469)
)
(portRef I
(instanceRef C473)
)
(portRef I0
(instanceRef C475)
)
(portRef I4
(instanceRef C477)
)
(portRef I2
(instanceRef C485)
)
(portRef I3
(instanceRef C486)
)
(portRef I
(instanceRef C490)
)
(portRef I1
(instanceRef C494)
)
(portRef I
(instanceRef C499)
)
(portRef I1
(instanceRef C501)
)
(portRef I
(instanceRef C504)
)
(portRef I2
(instanceRef C544)
)
(portRef I1
(instanceRef C550)
)
(portRef I1
(instanceRef C551)
)
)
)
(net
(rename Uart_TxUnit_BitPos_1 "Uart_TxUnit/BitPos<1>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_BitPos_reg_1)
)
(portRef I1
(instanceRef C359)
)
(portRef I
(instanceRef C365)
)
(portRef I
(instanceRef C367)
)
(portRef I0
(instanceRef C369)
)
(portRef I2
(instanceRef C372)
)
(portRef I
(instanceRef C378)
)
(portRef I1
(instanceRef C383)
)
(portRef I2
(instanceRef C393)
)
(portRef I
(instanceRef C400)
)
(portRef I0
(instanceRef C406)
)
(portRef I1
(instanceRef C407)
)
(portRef I1
(instanceRef C442)
)
(portRef I
(instanceRef C448)
)
(portRef I2
(instanceRef C477)
)
(portRef I
(instanceRef C481)
)
(portRef I
(instanceRef C482)
)
(portRef I2
(instanceRef C486)
)
(portRef I1
(instanceRef C492)
)
(portRef I1
(instanceRef C493)
)
(portRef I1
(instanceRef C507)
)
(portRef I
(instanceRef C512)
)
(portRef I
(instanceRef C516)
)
(portRef I0
(instanceRef C542)
)
(portRef I1
(instanceRef C544)
)
(portRef I
(instanceRef C548)
)
(portRef I0
(instanceRef C551)
)
)
)
(net
(rename Uart_TxUnit_BitPos_0 "Uart_TxUnit/BitPos<0>")
(joined
(portRef Q
(instanceRef Uart_TxUnit_BitPos_reg_0)
)
(portRef I
(instanceRef C540)
)
(portRef I4
(instanceRef C359)
)
(portRef I
(instanceRef C364)
)
(portRef I2
(instanceRef C370)
)
(portRef I2
(instanceRef C371)
)
(portRef I1
(instanceRef C372)
)
(portRef I
(instanceRef C377)
)
(portRef I0
(instanceRef C383)
)
(portRef I2
(instanceRef C384)
)
(portRef I0
(instanceRef C391)
)
(portRef I1
(instanceRef C393)
)
(portRef I
(instanceRef C399)
)
(portRef I0
(instanceRef C407)
)
(portRef I2
(instanceRef C429)
)
(portRef I
(instanceRef C439)
)
(portRef I1
(instanceRef C451)
)
(portRef I
(instanceRef C456)
)
(portRef I
(instanceRef C457)
)
(portRef I1
(instanceRef C477)
)
(portRef I0
(instanceRef C484)
)
(portRef I0
(instanceRef C485)
)
(portRef I1
(instanceRef C486)
)
(portRef I0
(instanceRef C492)
)
(portRef I0
(instanceRef C493)
)
)
)
(net
(rename Uart_TxUnit_C17_N9 "Uart_TxUnit/C17/N9")
(joined
(portRef D
(instanceRef Uart_TxUnit_TxD_reg)
)
(portRef O
(instanceRef C451)
)
(portRef O
(instanceRef C452)
)
)
)
(net
(rename Uart_TxUnit_C11_N6 "Uart_TxUnit/C11/N6")
(joined
(portRef CE
(instanceRef Uart_TxUnit_TBufL_reg)
)
(portRef O
(instanceRef C421)
)
(portRef O
(instanceRef C422)
)
)
)
(net
(rename Uart_TxUnit_C10_N6 "Uart_TxUnit/C10/N6")
(joined
(portRef D
(instanceRef Uart_TxUnit_TBufL_reg)
)
(portRef I1
(instanceRef C414)
)
(portRef I
(instanceRef C417)
)
(portRef I1
(instanceRef C421)
)
(portRef I
(instanceRef C425)
)
(portRef O
(instanceRef C429)
)
(portRef O
(instanceRef C430)
)
)
)
(net
(rename Uart_TxUnit_C9_N5 "Uart_TxUnit/C9/N5")
(joined
(portRef CE
(instanceRef Uart_TxUnit_TReg_reg_0)
)
(portRef CE
(instanceRef Uart_TxUnit_TReg_reg_1)
)
(portRef CE
(instanceRef Uart_TxUnit_TReg_reg_2)
)
(portRef CE
(instanceRef Uart_TxUnit_TReg_reg_3)
)
(portRef CE
(instanceRef Uart_TxUnit_TReg_reg_4)
)
(portRef CE
(instanceRef Uart_TxUnit_TReg_reg_5)
)
(portRef CE
(instanceRef Uart_TxUnit_TReg_reg_6)
)
(portRef CE
(instanceRef Uart_TxUnit_TReg_reg_7)
)
(portRef O
(instanceRef C414)
)
(portRef O
(instanceRef C415)
)
)
)
(net
(rename Uart_TxUnit_TBuff_0 "Uart_TxUnit/TBuff<0>")
(joined
(portRef D
(instanceRef Uart_TxUnit_TReg_reg_0)
)
(portRef Q
(instanceRef Uart_TxUnit_TBuff_reg_0)
)
)
)
(net
(rename Uart_TxUnit_TBuff_1 "Uart_TxUnit/TBuff<1>")
(joined
(portRef D
(instanceRef Uart_TxUnit_TReg_reg_1)
)
(portRef Q
(instanceRef Uart_TxUnit_TBuff_reg_1)
)
)
)
(net
(rename Uart_TxUnit_TBuff_2 "Uart_TxUnit/TBuff<2>")
(joined
(portRef D
(instanceRef Uart_TxUnit_TReg_reg_2)
)
(portRef Q
(instanceRef Uart_TxUnit_TBuff_reg_2)
)
)
)
(net
(rename Uart_TxUnit_TBuff_3 "Uart_TxUnit/TBuff<3>")
(joined
(portRef D
(instanceRef Uart_TxUnit_TReg_reg_3)
)
(portRef Q
(instanceRef Uart_TxUnit_TBuff_reg_3)
)
)
)
(net
(rename Uart_TxUnit_TBuff_4 "Uart_TxUnit/TBuff<4>")
(joined
(portRef D
(instanceRef Uart_TxUnit_TReg_reg_4)
)
(portRef Q
(instanceRef Uart_TxUnit_TBuff_reg_4)
)
)
)
(net
(rename Uart_TxUnit_TBuff_5 "Uart_TxUnit/TBuff<5>")
(joined
(portRef D
(instanceRef Uart_TxUnit_TReg_reg_5)
)
(portRef Q
(instanceRef Uart_TxUnit_TBuff_reg_5)
)
)
)
(net
(rename Uart_TxUnit_TBuff_6 "Uart_TxUnit/TBuff<6>")
(joined
(portRef D
(instanceRef Uart_TxUnit_TReg_reg_6)
)
(portRef Q
(instanceRef Uart_TxUnit_TBuff_reg_6)
)
)
)
(net
(rename Uart_TxUnit_TBuff_7 "Uart_TxUnit/TBuff<7>")
(joined
(portRef D
(instanceRef Uart_TxUnit_TReg_reg_7)
)
(portRef Q
(instanceRef Uart_TxUnit_TBuff_reg_7)
)
)
)
(net
(rename Uart_TxUnit_C8_N5 "Uart_TxUnit/C8/N5")
(joined
(portRef CE
(instanceRef Uart_TxUnit_TBuff_reg_0)
)
(portRef CE
(instanceRef Uart_TxUnit_TBuff_reg_1)
)
(portRef CE
(instanceRef Uart_TxUnit_TBuff_reg_2)
)
(portRef CE
(instanceRef Uart_TxUnit_TBuff_reg_3)
)
(portRef CE
(instanceRef Uart_TxUnit_TBuff_reg_4)
)
(portRef CE
(instanceRef Uart_TxUnit_TBuff_reg_5)
)
(portRef CE
(instanceRef Uart_TxUnit_TBuff_reg_6)
)
(portRef CE
(instanceRef Uart_TxUnit_TBuff_reg_7)
)
(portRef O
(instanceRef C408)
)
(portRef O
(instanceRef C409)
)
)
)
(net
(rename Uart_TxUnit_C14_N19 "Uart_TxUnit/C14/N19")
(joined
(portRef CE
(instanceRef Uart_TxUnit_BitPos_reg_3)
)
(portRef CE
(instanceRef Uart_TxUnit_BitPos_reg_2)
)
(portRef CE
(instanceRef Uart_TxUnit_BitPos_reg_1)
)
(portRef CE
(instanceRef Uart_TxUnit_BitPos_reg_0)
)
(portRef O
(instanceRef C384)
)
(portRef O
(instanceRef C385)
)
)
)
(net
(rename Uart_TxUnit_C4_N5 "Uart_TxUnit/C4/N5")
(joined
(portRef D
(instanceRef Uart_TxUnit_BitPos_reg_3)
)
(portRef O
(instanceRef C393)
)
(portRef O
(instanceRef C394)
)
)
)
(net
(rename Uart_TxUnit_C4_N10 "Uart_TxUnit/C4/N10")
(joined
(portRef D
(instanceRef Uart_TxUnit_BitPos_reg_2)
)
(portRef O
(instanceRef C372)
)
(portRef O
(instanceRef C373)
)
)
)
(net
(rename Uart_TxUnit_C4_N15 "Uart_TxUnit/C4/N15")
(joined
(portRef D
(instanceRef Uart_TxUnit_BitPos_reg_1)
)
(portRef O
(instanceRef C359)
)
(portRef O
(instanceRef C360)
)
)
)
(net
(rename Uart_TxUnit_C4_N20 "Uart_TxUnit/C4/N20")
(joined
(portRef D
(instanceRef Uart_TxUnit_BitPos_reg_0)
)
(portRef O
(instanceRef C540)
)
)
)
(net
(rename Uart_RxUnit_RReg_7 "Uart_RxUnit/RReg<7>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_RReg_reg_7)
)
(portRef D
(instanceRef Uart_RxUnit_DataO_reg_7)
)
(portRef I4
(instanceRef C197)
)
(portRef I1
(instanceRef C208)
)
(portRef I1
(instanceRef C209)
)
)
)
(net
(rename Uart_RxUnit_larray413_7 "Uart_RxUnit/larray413<7>")
(joined
(portRef D
(instanceRef Uart_RxUnit_RReg_reg_7)
)
(portRef O
(instanceRef C197)
)
(portRef O
(instanceRef C198)
)
)
)
(net
(rename Uart_RxUnit_RReg_6 "Uart_RxUnit/RReg<6>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_RReg_reg_6)
)
(portRef D
(instanceRef Uart_RxUnit_DataO_reg_6)
)
(portRef I4
(instanceRef C211)
)
(portRef I1
(instanceRef C219)
)
(portRef I1
(instanceRef C220)
)
)
)
(net
(rename Uart_RxUnit_larray413_6 "Uart_RxUnit/larray413<6>")
(joined
(portRef D
(instanceRef Uart_RxUnit_RReg_reg_6)
)
(portRef O
(instanceRef C211)
)
(portRef O
(instanceRef C212)
)
)
)
(net
(rename Uart_RxUnit_RReg_5 "Uart_RxUnit/RReg<5>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_RReg_reg_5)
)
(portRef D
(instanceRef Uart_RxUnit_DataO_reg_5)
)
(portRef I4
(instanceRef C222)
)
(portRef I1
(instanceRef C230)
)
(portRef I1
(instanceRef C231)
)
)
)
(net
(rename Uart_RxUnit_larray413_5 "Uart_RxUnit/larray413<5>")
(joined
(portRef D
(instanceRef Uart_RxUnit_RReg_reg_5)
)
(portRef O
(instanceRef C222)
)
(portRef O
(instanceRef C223)
)
)
)
(net
(rename Uart_RxUnit_RReg_4 "Uart_RxUnit/RReg<4>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_RReg_reg_4)
)
(portRef D
(instanceRef Uart_RxUnit_DataO_reg_4)
)
(portRef I4
(instanceRef C233)
)
(portRef I1
(instanceRef C241)
)
(portRef I1
(instanceRef C242)
)
)
)
(net
(rename Uart_RxUnit_larray413_4 "Uart_RxUnit/larray413<4>")
(joined
(portRef D
(instanceRef Uart_RxUnit_RReg_reg_4)
)
(portRef O
(instanceRef C233)
)
(portRef O
(instanceRef C234)
)
)
)
(net
(rename Uart_RxUnit_RReg_3 "Uart_RxUnit/RReg<3>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_RReg_reg_3)
)
(portRef D
(instanceRef Uart_RxUnit_DataO_reg_3)
)
(portRef I4
(instanceRef C244)
)
(portRef I1
(instanceRef C252)
)
(portRef I1
(instanceRef C253)
)
)
)
(net
(rename Uart_RxUnit_larray413_3 "Uart_RxUnit/larray413<3>")
(joined
(portRef D
(instanceRef Uart_RxUnit_RReg_reg_3)
)
(portRef O
(instanceRef C244)
)
(portRef O
(instanceRef C245)
)
)
)
(net
(rename Uart_RxUnit_RReg_2 "Uart_RxUnit/RReg<2>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_RReg_reg_2)
)
(portRef D
(instanceRef Uart_RxUnit_DataO_reg_2)
)
(portRef I4
(instanceRef C261)
)
(portRef I1
(instanceRef C269)
)
(portRef I1
(instanceRef C270)
)
)
)
(net
(rename Uart_RxUnit_larray413_2 "Uart_RxUnit/larray413<2>")
(joined
(portRef D
(instanceRef Uart_RxUnit_RReg_reg_2)
)
(portRef O
(instanceRef C261)
)
(portRef O
(instanceRef C262)
)
)
)
(net
(rename Uart_RxUnit_RReg_1 "Uart_RxUnit/RReg<1>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_RReg_reg_1)
)
(portRef D
(instanceRef Uart_RxUnit_DataO_reg_1)
)
(portRef I4
(instanceRef C279)
)
(portRef I1
(instanceRef C287)
)
(portRef I1
(instanceRef C288)
)
)
)
(net
(rename Uart_RxUnit_larray413_1 "Uart_RxUnit/larray413<1>")
(joined
(portRef D
(instanceRef Uart_RxUnit_RReg_reg_1)
)
(portRef O
(instanceRef C279)
)
(portRef O
(instanceRef C280)
)
)
)
(net
(rename Uart_RxUnit_RReg_0 "Uart_RxUnit/RReg<0>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_RReg_reg_0)
)
(portRef D
(instanceRef Uart_RxUnit_DataO_reg_0)
)
(portRef I4
(instanceRef C308)
)
(portRef I1
(instanceRef C316)
)
(portRef I1
(instanceRef C317)
)
)
)
(net
(rename Uart_RxUnit_larray413_0 "Uart_RxUnit/larray413<0>")
(joined
(portRef D
(instanceRef Uart_RxUnit_RReg_reg_0)
)
(portRef O
(instanceRef C308)
)
(portRef O
(instanceRef C309)
)
)
)
(net
(rename Uart_RxUnit_SampleCnt_1 "Uart_RxUnit/SampleCnt<1>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_SampleCnt_reg_1)
)
(portRef I1
(instanceRef C119)
)
(portRef I0
(instanceRef C126)
)
(portRef I3
(instanceRef C159)
)
(portRef I
(instanceRef C164)
)
(portRef I2
(instanceRef C169)
)
(portRef I1
(instanceRef C295)
)
(portRef I
(instanceRef C298)
)
)
)
(net
(rename Uart_RxUnit_SampleCnt_0 "Uart_RxUnit/SampleCnt<0>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_SampleCnt_reg_0)
)
(portRef I2
(instanceRef C119)
)
(portRef I1
(instanceRef C126)
)
(portRef I1
(instanceRef C151)
)
(portRef I
(instanceRef C155)
)
(portRef I1
(instanceRef C159)
)
(portRef I
(instanceRef C165)
)
(portRef I0
(instanceRef C168)
)
(portRef I2
(instanceRef C295)
)
(portRef I1
(instanceRef C301)
)
)
)
(net
(rename Uart_RxUnit_RRegL "Uart_RxUnit/RRegL")
(joined
(portRef Q
(instanceRef Uart_RxUnit_RRegL_reg)
)
(portRef C
(instanceRef Uart_RxUnit_RxAv_reg)
)
)
)
(net
(rename Uart_RxUnit_C13_N3 "Uart_RxUnit/C13/N3")
(joined
(portRef CE
(instanceRef Uart_RxUnit_RRegL_reg)
)
(portRef O
(instanceRef C341)
)
(portRef O
(instanceRef C342)
)
)
)
(net
(rename Uart_RxUnit_BitPos_3 "Uart_RxUnit/BitPos<3>")
(joined
(portRef D
(instanceRef Uart_RxUnit_RRegL_reg)
)
(portRef Q
(instanceRef Uart_RxUnit_BitPos_reg_3)
)
(portRef I1
(instanceRef C127)
)
(portRef I
(instanceRef C130)
)
(portRef I1
(instanceRef C136)
)
(portRef I
(instanceRef C145)
)
(portRef I0
(instanceRef C147)
)
(portRef I0
(instanceRef C148)
)
(portRef I0
(instanceRef C149)
)
(portRef I1
(instanceRef C170)
)
(portRef I
(instanceRef C173)
)
(portRef I1
(instanceRef C190)
)
(portRef I0
(instanceRef C196)
)
(portRef I1
(instanceRef C346)
)
(portRef I
(instanceRef C352)
)
(portRef I0
(instanceRef C357)
)
)
)
(net
(rename Uart_RxUnit_n551 "Uart_RxUnit/n551")
(joined
(portRef CLR
(instanceRef Uart_RxUnit_RxAv_reg)
)
(portRef O
(instanceRef C334)
)
(portRef O
(instanceRef C335)
)
)
)
(net
(rename Uart_RxUnit_C12_N5 "Uart_RxUnit/C12/N5")
(joined
(portRef CE
(instanceRef Uart_RxUnit_RReg_reg_0)
)
(portRef CE
(instanceRef Uart_RxUnit_RReg_reg_1)
)
(portRef CE
(instanceRef Uart_RxUnit_RReg_reg_2)
)
(portRef CE
(instanceRef Uart_RxUnit_RReg_reg_3)
)
(portRef CE
(instanceRef Uart_RxUnit_RReg_reg_4)
)
(portRef CE
(instanceRef Uart_RxUnit_RReg_reg_5)
)
(portRef CE
(instanceRef Uart_RxUnit_RReg_reg_6)
)
(portRef CE
(instanceRef Uart_RxUnit_RReg_reg_7)
)
(portRef O
(instanceRef C295)
)
(portRef O
(instanceRef C296)
)
)
)
(net
(rename Uart_RxUnit_C11_N5 "Uart_RxUnit/C11/N5")
(joined
(portRef CE
(instanceRef Uart_RxUnit_DataO_reg_0)
)
(portRef CE
(instanceRef Uart_RxUnit_DataO_reg_1)
)
(portRef CE
(instanceRef Uart_RxUnit_DataO_reg_2)
)
(portRef CE
(instanceRef Uart_RxUnit_DataO_reg_3)
)
(portRef CE
(instanceRef Uart_RxUnit_DataO_reg_4)
)
(portRef CE
(instanceRef Uart_RxUnit_DataO_reg_5)
)
(portRef CE
(instanceRef Uart_RxUnit_DataO_reg_6)
)
(portRef CE
(instanceRef Uart_RxUnit_DataO_reg_7)
)
(portRef O
(instanceRef C185)
)
(portRef O
(instanceRef C186)
)
)
)
(net
(rename Uart_RxUnit_C10_N10 "Uart_RxUnit/C10/N10")
(joined
(portRef CE
(instanceRef Uart_RxUnit_SampleCnt_reg_1)
)
(portRef CE
(instanceRef Uart_RxUnit_SampleCnt_reg_0)
)
(portRef I2
(instanceRef C185)
)
(portRef I1
(instanceRef C189)
)
(portRef I4
(instanceRef C295)
)
(portRef I3
(instanceRef C301)
)
(portRef O
(instanceRef C302)
)
(portRef O
(instanceRef C303)
)
)
)
(net
(rename Uart_RxUnit_C15_N19 "Uart_RxUnit/C15/N19")
(joined
(portRef CE
(instanceRef Uart_RxUnit_BitPos_reg_3)
)
(portRef CE
(instanceRef Uart_RxUnit_BitPos_reg_2)
)
(portRef CE
(instanceRef Uart_RxUnit_BitPos_reg_1)
)
(portRef CE
(instanceRef Uart_RxUnit_BitPos_reg_0)
)
(portRef O
(instanceRef C111)
)
(portRef O
(instanceRef C112)
)
)
)
(net
(rename Uart_RxUnit_BitPos_2 "Uart_RxUnit/BitPos<2>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_BitPos_reg_2)
)
(portRef I1
(instanceRef C102)
)
(portRef I
(instanceRef C106)
)
(portRef I0
(instanceRef C110)
)
(portRef I4
(instanceRef C127)
)
(portRef I
(instanceRef C133)
)
(portRef I4
(instanceRef C136)
)
(portRef I
(instanceRef C144)
)
(portRef I2
(instanceRef C148)
)
(portRef I3
(instanceRef C150)
)
(portRef I2
(instanceRef C176)
)
(portRef I
(instanceRef C180)
)
(portRef I4
(instanceRef C190)
)
(portRef I
(instanceRef C194)
)
(portRef I2
(instanceRef C319)
)
(portRef I
(instanceRef C324)
)
(portRef I1
(instanceRef C326)
)
(portRef I4
(instanceRef C346)
)
(portRef I
(instanceRef C351)
)
(portRef I
(instanceRef C355)
)
)
)
(net
(rename Uart_RxUnit_BitPos_1 "Uart_RxUnit/BitPos<1>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_BitPos_reg_1)
)
(portRef I3
(instanceRef C91)
)
(portRef I
(instanceRef C98)
)
(portRef I2
(instanceRef C100)
)
(portRef I3
(instanceRef C127)
)
(portRef I
(instanceRef C132)
)
(portRef I3
(instanceRef C136)
)
(portRef I
(instanceRef C142)
)
(portRef I2
(instanceRef C150)
)
(portRef I1
(instanceRef C176)
)
(portRef I
(instanceRef C179)
)
(portRef I3
(instanceRef C190)
)
(portRef I2
(instanceRef C196)
)
(portRef I2
(instanceRef C255)
)
(portRef I
(instanceRef C258)
)
(portRef I2
(instanceRef C272)
)
(portRef I
(instanceRef C276)
)
(portRef I2
(instanceRef C290)
)
(portRef I1
(instanceRef C294)
)
(portRef I1
(instanceRef C319)
)
(portRef I
(instanceRef C323)
)
(portRef I0
(instanceRef C327)
)
(portRef I2
(instanceRef C328)
)
(portRef I1
(instanceRef C333)
)
(portRef I3
(instanceRef C346)
)
(portRef I
(instanceRef C354)
)
(portRef I2
(instanceRef C357)
)
)
)
(net
(rename Uart_RxUnit_BitPos_0 "Uart_RxUnit/BitPos<0>")
(joined
(portRef Q
(instanceRef Uart_RxUnit_BitPos_reg_0)
)
(portRef I1
(instanceRef C81)
)
(portRef I
(instanceRef C85)
)
(portRef I
(instanceRef C86)
)
(portRef I1
(instanceRef C91)
)
(portRef I
(instanceRef C95)
)
(portRef I0
(instanceRef C101)
)
(portRef I2
(instanceRef C127)
)
(portRef I
(instanceRef C131)
)
(portRef I2
(instanceRef C136)
)
(portRef I
(instanceRef C143)
)
(portRef I1
(instanceRef C149)
)
(portRef I1
(instanceRef C150)
)
(portRef I3
(instanceRef C176)
)
(portRef I
(instanceRef C181)
)
(portRef I2
(instanceRef C190)
)
(portRef I
(instanceRef C193)
)
(portRef I1
(instanceRef C255)
)
(portRef I0
(instanceRef C260)
)
(portRef I1
(instanceRef C272)
)
(portRef I
(instanceRef C275)
)
(portRef I1
(instanceRef C290)
)
(portRef I0
(instanceRef C294)
)
(portRef I1
(instanceRef C328)
)
(portRef I
(instanceRef C331)
)
(portRef I2
(instanceRef C346)
)
(portRef I
(instanceRef C350)
)
(portRef I
(instanceRef C353)
)
)
)
(net
(rename Uart_Rxrate_C126_N2 "Uart_Rxrate/C126/N2")
(joined
(portRef COUT
(instanceRef Uart_Rxrate_C126_C0_C1)
)
(portRef CIN
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef I3
(instanceRef C15)
)
(portRef I1
(instanceRef C20)
)
)
)
(net
(rename Uart_Rxrate_C126_N7 "Uart_Rxrate/C126/N7")
(joined
(portRef COUT
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef CIN
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef I3
(instanceRef C33)
)
(portRef I1
(instanceRef C38)
)
)
)
(net
(rename Uart_Rxrate_C126_N12 "Uart_Rxrate/C126/N12")
(joined
(portRef COUT
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef CIN
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef I3
(instanceRef C51)
)
(portRef I1
(instanceRef C56)
)
)
)
(net
(rename Uart_Rxrate_C126_N17 "Uart_Rxrate/C126/N17")
(joined
(portRef COUT
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef CIN
(instanceRef C538)
)
(portRef I3
(instanceRef C69)
)
(portRef I1
(instanceRef C76)
)
)
)
(net
(rename Uart_Rxrate_C127_N6 "Uart_Rxrate/C127/N6")
(joined
(portRef D
(instanceRef Uart_Rxrate_Cnt_reg_0)
)
(portRef O
(instanceRef C0)
)
(portRef O
(instanceRef C2)
)
)
)
(net
(rename Uart_Rxrate_C127_N11 "Uart_Rxrate/C127/N11")
(joined
(portRef D
(instanceRef Uart_Rxrate_Cnt_reg_1)
)
(portRef O
(instanceRef C15)
)
(portRef O
(instanceRef C21)
)
)
)
(net
(rename Uart_Rxrate_C127_N16 "Uart_Rxrate/C127/N16")
(joined
(portRef D
(instanceRef Uart_Rxrate_Cnt_reg_2)
)
(portRef O
(instanceRef C24)
)
(portRef O
(instanceRef C30)
)
)
)
(net
(rename Uart_Rxrate_C127_N21 "Uart_Rxrate/C127/N21")
(joined
(portRef D
(instanceRef Uart_Rxrate_Cnt_reg_3)
)
(portRef O
(instanceRef C33)
)
(portRef O
(instanceRef C39)
)
)
)
(net
(rename Uart_Rxrate_C127_N26 "Uart_Rxrate/C127/N26")
(joined
(portRef D
(instanceRef Uart_Rxrate_Cnt_reg_4)
)
(portRef O
(instanceRef C42)
)
(portRef O
(instanceRef C48)
)
)
)
(net
(rename Uart_Rxrate_C127_N31 "Uart_Rxrate/C127/N31")
(joined
(portRef D
(instanceRef Uart_Rxrate_Cnt_reg_5)
)
(portRef O
(instanceRef C51)
)
(portRef O
(instanceRef C57)
)
)
)
(net
(rename Uart_Rxrate_C127_N36 "Uart_Rxrate/C127/N36")
(joined
(portRef D
(instanceRef Uart_Rxrate_Cnt_reg_6)
)
(portRef O
(instanceRef C60)
)
(portRef O
(instanceRef C66)
)
)
)
(net
(rename Uart_Rxrate_C127_N42 "Uart_Rxrate/C127/N42")
(joined
(portRef D
(instanceRef Uart_Rxrate_Cnt_reg_7)
)
(portRef O
(instanceRef C69)
)
(portRef O
(instanceRef C71)
)
)
)
(net
(rename Uart_Txrate_C59_N6 "Uart_Txrate/C59/N6")
(joined
(portRef D
(instanceRef Uart_Txrate_Cnt_reg_0)
)
(portRef O
(instanceRef C541)
)
)
)
(net
(rename Uart_Txrate_C59_N11 "Uart_Txrate/C59/N11")
(joined
(portRef D
(instanceRef Uart_Txrate_Cnt_reg_1)
)
(portRef O
(instanceRef C552)
)
(portRef O
(instanceRef C553)
)
)
)
(net
(rename Uart_TxUnit_SyncLoad_R "Uart_TxUnit/SyncLoad/R")
(joined
(portRef CLR
(instanceRef Uart_TxUnit_SyncLoad_C1S_reg)
)
(portRef Q
(instanceRef Uart_TxUnit_SyncLoad_R_reg)
)
(portRef CLR
(instanceRef Uart_TxUnit_SyncLoad_C1A_reg)
)
)
)
(net
(rename Uart_TxUnit_SyncLoad_C1A "Uart_TxUnit/SyncLoad/C1A")
(joined
(portRef D
(instanceRef Uart_TxUnit_SyncLoad_C1S_reg)
)
(portRef Q
(instanceRef Uart_TxUnit_SyncLoad_C1A_reg)
)
)
)
(net
(rename Uart_RxUnit_C290_N9 "Uart_RxUnit/C290/N9")
(joined
(portRef D
(instanceRef Uart_RxUnit_BitPos_reg_0)
)
(portRef O
(instanceRef C81)
)
(portRef O
(instanceRef C82)
)
)
)
(net
(rename Uart_RxUnit_C290_N14 "Uart_RxUnit/C290/N14")
(joined
(portRef D
(instanceRef Uart_RxUnit_BitPos_reg_1)
)
(portRef O
(instanceRef C91)
)
(portRef O
(instanceRef C92)
)
)
)
(net
(rename Uart_RxUnit_C290_N20 "Uart_RxUnit/C290/N20")
(joined
(portRef D
(instanceRef Uart_RxUnit_BitPos_reg_2)
)
(portRef O
(instanceRef C102)
)
(portRef O
(instanceRef C103)
)
)
)
(net
(rename Uart_RxUnit_C290_N26 "Uart_RxUnit/C290/N26")
(joined
(portRef D
(instanceRef Uart_RxUnit_BitPos_reg_3)
)
(portRef O
(instanceRef C136)
)
(portRef O
(instanceRef C137)
)
)
)
(net
(rename Uart_RxUnit_C291_N5 "Uart_RxUnit/C291/N5")
(joined
(portRef D
(instanceRef Uart_RxUnit_SampleCnt_reg_0)
)
(portRef O
(instanceRef C151)
)
(portRef O
(instanceRef C152)
)
)
)
(net
(rename Uart_RxUnit_C291_N10 "Uart_RxUnit/C291/N10")
(joined
(portRef D
(instanceRef Uart_RxUnit_SampleCnt_reg_1)
)
(portRef O
(instanceRef C159)
)
(portRef O
(instanceRef C160)
)
)
)
(net
(rename Uart_Rxrate_C126_C0_N0 "Uart_Rxrate/C126/C0/N0")
(joined
(portRef C0
(instanceRef Uart_Rxrate_C126_C0_C1)
)
(portRef C0
(instanceRef Uart_Rxrate_C126_C0_C2)
)
)
)
(net
(rename Uart_Rxrate_C126_C0_N1 "Uart_Rxrate/C126/C0/N1")
(joined
(portRef C1
(instanceRef Uart_Rxrate_C126_C0_C1)
)
(portRef C1
(instanceRef Uart_Rxrate_C126_C0_C2)
)
)
)
(net
(rename Uart_Rxrate_C126_C0_N2 "Uart_Rxrate/C126/C0/N2")
(joined
(portRef C2
(instanceRef Uart_Rxrate_C126_C0_C1)
)
(portRef C2
(instanceRef Uart_Rxrate_C126_C0_C2)
)
)
)
(net
(rename Uart_Rxrate_C126_C0_N3 "Uart_Rxrate/C126/C0/N3")
(joined
(portRef C3
(instanceRef Uart_Rxrate_C126_C0_C1)
)
(portRef C3
(instanceRef Uart_Rxrate_C126_C0_C2)
)
)
)
(net
(rename Uart_Rxrate_C126_C0_N4 "Uart_Rxrate/C126/C0/N4")
(joined
(portRef C4
(instanceRef Uart_Rxrate_C126_C0_C1)
)
(portRef C4
(instanceRef Uart_Rxrate_C126_C0_C2)
)
)
)
(net
(rename Uart_Rxrate_C126_C0_N5 "Uart_Rxrate/C126/C0/N5")
(joined
(portRef C5
(instanceRef Uart_Rxrate_C126_C0_C1)
)
(portRef C5
(instanceRef Uart_Rxrate_C126_C0_C2)
)
)
)
(net
(rename Uart_Rxrate_C126_C0_N6 "Uart_Rxrate/C126/C0/N6")
(joined
(portRef C6
(instanceRef Uart_Rxrate_C126_C0_C1)
)
(portRef C6
(instanceRef Uart_Rxrate_C126_C0_C2)
)
)
)
(net
(rename Uart_Rxrate_C126_C0_N7 "Uart_Rxrate/C126/C0/N7")
(joined
(portRef C7
(instanceRef Uart_Rxrate_C126_C0_C1)
)
(portRef C7
(instanceRef Uart_Rxrate_C126_C0_C2)
)
)
)
(net
(rename Uart_Rxrate_C126_C1_cout0_net "Uart_Rxrate/C126/C1/cout0_net")
(joined
(portRef COUT0
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef I2
(instanceRef C24)
)
(portRef I0
(instanceRef C29)
)
)
)
(net
(rename Uart_Rxrate_C126_C1_N0 "Uart_Rxrate/C126/C1/N0")
(joined
(portRef C0
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef C0
(instanceRef Uart_Rxrate_C126_C1_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C1_N1 "Uart_Rxrate/C126/C1/N1")
(joined
(portRef C1
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef C1
(instanceRef Uart_Rxrate_C126_C1_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C1_N2 "Uart_Rxrate/C126/C1/N2")
(joined
(portRef C2
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef C2
(instanceRef Uart_Rxrate_C126_C1_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C1_N3 "Uart_Rxrate/C126/C1/N3")
(joined
(portRef C3
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef C3
(instanceRef Uart_Rxrate_C126_C1_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C1_N4 "Uart_Rxrate/C126/C1/N4")
(joined
(portRef C4
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef C4
(instanceRef Uart_Rxrate_C126_C1_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C1_N5 "Uart_Rxrate/C126/C1/N5")
(joined
(portRef C5
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef C5
(instanceRef Uart_Rxrate_C126_C1_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C1_N6 "Uart_Rxrate/C126/C1/N6")
(joined
(portRef C6
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef C6
(instanceRef Uart_Rxrate_C126_C1_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C1_N7 "Uart_Rxrate/C126/C1/N7")
(joined
(portRef C7
(instanceRef Uart_Rxrate_C126_C1_C2)
)
(portRef C7
(instanceRef Uart_Rxrate_C126_C1_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C2_cout0_net "Uart_Rxrate/C126/C2/cout0_net")
(joined
(portRef COUT0
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef I2
(instanceRef C42)
)
(portRef I0
(instanceRef C47)
)
)
)
(net
(rename Uart_Rxrate_C126_C2_N0 "Uart_Rxrate/C126/C2/N0")
(joined
(portRef C0
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef C0
(instanceRef Uart_Rxrate_C126_C2_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C2_N1 "Uart_Rxrate/C126/C2/N1")
(joined
(portRef C1
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef C1
(instanceRef Uart_Rxrate_C126_C2_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C2_N2 "Uart_Rxrate/C126/C2/N2")
(joined
(portRef C2
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef C2
(instanceRef Uart_Rxrate_C126_C2_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C2_N3 "Uart_Rxrate/C126/C2/N3")
(joined
(portRef C3
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef C3
(instanceRef Uart_Rxrate_C126_C2_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C2_N4 "Uart_Rxrate/C126/C2/N4")
(joined
(portRef C4
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef C4
(instanceRef Uart_Rxrate_C126_C2_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C2_N5 "Uart_Rxrate/C126/C2/N5")
(joined
(portRef C5
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef C5
(instanceRef Uart_Rxrate_C126_C2_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C2_N6 "Uart_Rxrate/C126/C2/N6")
(joined
(portRef C6
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef C6
(instanceRef Uart_Rxrate_C126_C2_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C2_N7 "Uart_Rxrate/C126/C2/N7")
(joined
(portRef C7
(instanceRef Uart_Rxrate_C126_C2_C2)
)
(portRef C7
(instanceRef Uart_Rxrate_C126_C2_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C3_cout0_net "Uart_Rxrate/C126/C3/cout0_net")
(joined
(portRef COUT0
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef I2
(instanceRef C60)
)
(portRef I0
(instanceRef C65)
)
)
)
(net
(rename Uart_Rxrate_C126_C3_N0 "Uart_Rxrate/C126/C3/N0")
(joined
(portRef C0
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef C0
(instanceRef Uart_Rxrate_C126_C3_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C3_N1 "Uart_Rxrate/C126/C3/N1")
(joined
(portRef C1
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef C1
(instanceRef Uart_Rxrate_C126_C3_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C3_N2 "Uart_Rxrate/C126/C3/N2")
(joined
(portRef C2
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef C2
(instanceRef Uart_Rxrate_C126_C3_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C3_N3 "Uart_Rxrate/C126/C3/N3")
(joined
(portRef C3
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef C3
(instanceRef Uart_Rxrate_C126_C3_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C3_N4 "Uart_Rxrate/C126/C3/N4")
(joined
(portRef C4
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef C4
(instanceRef Uart_Rxrate_C126_C3_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C3_N5 "Uart_Rxrate/C126/C3/N5")
(joined
(portRef C5
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef C5
(instanceRef Uart_Rxrate_C126_C3_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C3_N6 "Uart_Rxrate/C126/C3/N6")
(joined
(portRef C6
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef C6
(instanceRef Uart_Rxrate_C126_C3_C3)
)
)
)
(net
(rename Uart_Rxrate_C126_C3_N7 "Uart_Rxrate/C126/C3/N7")
(joined
(portRef C7
(instanceRef Uart_Rxrate_C126_C3_C2)
)
(portRef C7
(instanceRef Uart_Rxrate_C126_C3_C3)
)
)
)
(net N191
(joined
(portRef D
(instanceRef Uart_RxUnit_RxAv_reg)
)
(portRef D
(instanceRef Uart_TxUnit_SyncLoad_C1A_reg)
)
(portRef P
(instanceRef C604)
)
)
)
(net N195
(joined
(portRef CLR
(instanceRef ReadA_reg)
)
(portRef CLR
(instanceRef LoadA_reg)
)
(portRef CLR
(instanceRef TxData_reg_0)
)
(portRef CLR
(instanceRef TxData_reg_1)
)
(portRef CLR
(instanceRef TxData_reg_2)
)
(portRef CLR
(instanceRef TxData_reg_3)
)
(portRef CLR
(instanceRef TxData_reg_4)
)
(portRef CLR
(instanceRef TxData_reg_5)
)
(portRef CLR
(instanceRef TxData_reg_6)
)
(portRef CLR
(instanceRef TxData_reg_7)
)
(portRef CLR
(instanceRef Uart_Rxrate_Cnt_reg_0)
)
(portRef CLR
(instanceRef Uart_Rxrate_Cnt_reg_4)
)
(portRef CLR
(instanceRef Uart_Rxrate_O_reg)
)
(portRef CLR
(instanceRef Uart_Rxrate_Cnt_reg_6)
)
(portRef CLR
(instanceRef Uart_Rxrate_Cnt_reg_2)
)
(portRef CLR
(instanceRef Uart_Rxrate_Cnt_reg_3)
)
(portRef CLR
(instanceRef Uart_Rxrate_Cnt_reg_7)
)
(portRef CLR
(instanceRef Uart_Rxrate_Cnt_reg_5)
)
(portRef CLR
(instanceRef Uart_Rxrate_Cnt_reg_1)
)
(portRef CLR
(instanceRef Uart_Txrate_O_reg)
)
(portRef CLR
(instanceRef Uart_Txrate_Cnt_reg_1)
)
(portRef CLR
(instanceRef Uart_Txrate_Cnt_reg_0)
)
(portRef CLR
(instanceRef Uart_TxUnit_TReg_reg_0)
)
(portRef CLR
(instanceRef Uart_TxUnit_TReg_reg_1)
)
(portRef CLR
(instanceRef Uart_TxUnit_TReg_reg_2)
)
(portRef CLR
(instanceRef Uart_TxUnit_TReg_reg_3)
)
(portRef CLR
(instanceRef Uart_TxUnit_TReg_reg_4)
)
(portRef CLR
(instanceRef Uart_TxUnit_TReg_reg_5)
)
(portRef CLR
(instanceRef Uart_TxUnit_TReg_reg_6)
)
(portRef CLR
(instanceRef Uart_TxUnit_TReg_reg_7)
)
(portRef CLR
(instanceRef Uart_TxUnit_TBuff_reg_0)
)
(portRef CLR
(instanceRef Uart_TxUnit_TBuff_reg_1)
)
(portRef CLR
(instanceRef Uart_TxUnit_TBuff_reg_2)
)
(portRef CLR
(instanceRef Uart_TxUnit_TBuff_reg_3)
)
(portRef CLR
(instanceRef Uart_TxUnit_TBuff_reg_4)
)
(portRef CLR
(instanceRef Uart_TxUnit_TBuff_reg_5)
)
(portRef CLR
(instanceRef Uart_TxUnit_TBuff_reg_6)
)
(portRef CLR
(instanceRef Uart_TxUnit_TBuff_reg_7)
)
(portRef CLR
(instanceRef Uart_RxUnit_RReg_reg_0)
)
(portRef CLR
(instanceRef Uart_RxUnit_RReg_reg_1)
)
(portRef CLR
(instanceRef Uart_RxUnit_RReg_reg_2)
)
(portRef CLR
(instanceRef Uart_RxUnit_RReg_reg_3)
)
(portRef CLR
(instanceRef Uart_RxUnit_RReg_reg_4)
)
(portRef CLR
(instanceRef Uart_RxUnit_RReg_reg_5)
)
(portRef CLR
(instanceRef Uart_RxUnit_RReg_reg_6)
)
(portRef CLR
(instanceRef Uart_RxUnit_RReg_reg_7)
)
(portRef CLR
(instanceRef Uart_RxUnit_DataO_reg_0)
)
(portRef CLR
(instanceRef Uart_RxUnit_DataO_reg_1)
)
(portRef CLR
(instanceRef Uart_RxUnit_DataO_reg_2)
)
(portRef CLR
(instanceRef Uart_RxUnit_DataO_reg_3)
)
(portRef CLR
(instanceRef Uart_RxUnit_DataO_reg_4)
)
(portRef CLR
(instanceRef Uart_RxUnit_DataO_reg_5)
)
(portRef CLR
(instanceRef Uart_RxUnit_DataO_reg_6)
)
(portRef CLR
(instanceRef Uart_RxUnit_DataO_reg_7)
)
(portRef CLR
(instanceRef Uart_RxUnit_SampleCnt_reg_1)
)
(portRef CLR
(instanceRef Uart_RxUnit_SampleCnt_reg_0)
)
(portRef CLR
(instanceRef Uart_TxUnit_SyncLoad_R_reg)
)
(portRef G
(instanceRef C605)
)
)
)
(net N255
(joined
(portRef D
(instanceRef Uart_Rxrate_O_reg)
)
(portRef O
(instanceRef C568)
)
(portRef O
(instanceRef C569)
)
)
)
(net N256
(joined
(portRef IntTx_O)
(portRef I4
(instanceRef C685)
)
(portRef I2
(instanceRef C694)
)
(portRef O
(instanceRef C695)
)
(portRef O
(instanceRef C696)
)
)
)
(net syn825
(joined
(portRef I2
(instanceRef C81)
)
(portRef I
(instanceRef C87)
)
(portRef I2
(instanceRef C91)
)
(portRef I
(instanceRef C96)
)
(portRef I
(instanceRef C97)
)
(portRef I3
(instanceRef C119)
)
(portRef I
(instanceRef C123)
)
(portRef I3
(instanceRef C295)
)
(portRef I
(instanceRef C299)
)
(portRef I2
(instanceRef C341)
)
(portRef I1
(instanceRef C345)
)
(portRef O
(instanceRef C346)
)
(portRef O
(instanceRef C347)
)
)
)
(net syn648
(joined
(portRef I3
(instanceRef C81)
)
(portRef I1
(instanceRef C89)
)
(portRef I3
(instanceRef C111)
)
(portRef I2
(instanceRef C118)
)
(portRef O
(instanceRef C127)
)
(portRef O
(instanceRef C128)
)
)
)
(net syn850
(joined
(portRef I2
(instanceRef C102)
)
(portRef I
(instanceRef C107)
)
(portRef I1
(instanceRef C109)
)
(portRef I2
(instanceRef C222)
)
(portRef I
(instanceRef C227)
)
(portRef I1
(instanceRef C232)
)
(portRef I2
(instanceRef C279)
)
(portRef I
(instanceRef C284)
)
(portRef I1
(instanceRef C289)
)
(portRef O
(instanceRef C290)
)
(portRef O
(instanceRef C291)
)
)
)
(net syn3354
(joined
(portRef I4
(instanceRef C111)
)
(portRef I1
(instanceRef C117)
)
(portRef O
(instanceRef C119)
)
(portRef O
(instanceRef C120)
)
)
)
(net
(rename Uart_RxUnit_C319 "Uart_RxUnit/C319")
(joined
(portRef I4
(instanceRef C119)
)
(portRef I
(instanceRef C125)
)
(portRef I1
(instanceRef C185)
)
(portRef I0
(instanceRef C189)
)
(portRef O
(instanceRef C190)
)
(portRef O
(instanceRef C191)
)
)
)
(net syn911
(joined
(portRef I2
(instanceRef C151)
)
(portRef I
(instanceRef C158)
)
(portRef I2
(instanceRef C159)
)
(portRef I
(instanceRef C163)
)
(portRef I
(instanceRef C166)
)
(portRef O
(instanceRef C170)
)
(portRef O
(instanceRef C171)
)
)
)
(net syn3523
(joined
(portRef I2
(instanceRef C170)
)
(portRef I1
(instanceRef C175)
)
(portRef O
(instanceRef C176)
)
(portRef O
(instanceRef C177)
)
)
)
(net syn870
(joined
(portRef I2
(instanceRef C197)
)
(portRef I
(instanceRef C202)
)
(portRef I1
(instanceRef C210)
)
(portRef I2
(instanceRef C244)
)
(portRef I
(instanceRef C249)
)
(portRef I1
(instanceRef C254)
)
(portRef O
(instanceRef C255)
)
(portRef O
(instanceRef C256)
)
)
)
(net syn3203
(joined
(portRef I3
(instanceRef C197)
)
(portRef I
(instanceRef C206)
)
(portRef I0
(instanceRef C209)
)
(portRef I3
(instanceRef C211)
)
(portRef I
(instanceRef C217)
)
(portRef I0
(instanceRef C220)
)
(portRef I3
(instanceRef C222)
)
(portRef I
(instanceRef C228)
)
(portRef I0
(instanceRef C231)
)
(portRef I3
(instanceRef C233)
)
(portRef I
(instanceRef C239)
)
(portRef I0
(instanceRef C242)
)
(portRef I3
(instanceRef C244)
)
(portRef I
(instanceRef C250)
)
(portRef I2
(instanceRef C254)
)
(portRef I3
(instanceRef C261)
)
(portRef I
(instanceRef C267)
)
(portRef I2
(instanceRef C271)
)
(portRef I3
(instanceRef C279)
)
(portRef I
(instanceRef C285)
)
(portRef I2
(instanceRef C289)
)
(portRef I3
(instanceRef C308)
)
(portRef I
(instanceRef C314)
)
(portRef I2
(instanceRef C318)
)
(portRef O
(instanceRef C319)
)
(portRef O
(instanceRef C320)
)
)
)
(net syn860
(joined
(portRef I2
(instanceRef C211)
)
(portRef I
(instanceRef C216)
)
(portRef I1
(instanceRef C221)
)
(portRef I2
(instanceRef C261)
)
(portRef I
(instanceRef C266)
)
(portRef I1
(instanceRef C271)
)
(portRef O
(instanceRef C272)
)
(portRef O
(instanceRef C273)
)
)
)
(net syn833
(joined
(portRef I2
(instanceRef C233)
)
(portRef I
(instanceRef C238)
)
(portRef I1
(instanceRef C243)
)
(portRef I2
(instanceRef C308)
)
(portRef I
(instanceRef C313)
)
(portRef I1
(instanceRef C318)
)
(portRef O
(instanceRef C328)
)
(portRef O
(instanceRef C329)
)
)
)
(net syn726
(joined
(portRef I4
(instanceRef C384)
)
(portRef I1
(instanceRef C392)
)
(portRef I4
(instanceRef C429)
)
(portRef I
(instanceRef C441)
)
(portRef O
(instanceRef C442)
)
(portRef O
(instanceRef C443)
)
)
)
(net syn3250
(joined
(portRef I2
(instanceRef C451)
)
(portRef I1
(instanceRef C460)
)
(portRef O
(instanceRef C544)
)
(portRef O
(instanceRef C545)
)
)
)
(net syn3251
(joined
(portRef I3
(instanceRef C451)
)
(portRef I1
(instanceRef C461)
)
(portRef O
(instanceRef C501)
)
(portRef O
(instanceRef C502)
)
)
)
(net syn3265
(joined
(portRef I4
(instanceRef C451)
)
(portRef I
(instanceRef C459)
)
(portRef O
(instanceRef C462)
)
(portRef O
(instanceRef C463)
)
)
)
(net syn3040
(joined
(portRef I1
(instanceRef C462)
)
(portRef I0
(instanceRef C467)
)
(portRef O
(instanceRef C494)
)
(portRef O
(instanceRef C495)
)
)
)
(net syn3257
(joined
(portRef I2
(instanceRef C462)
)
(portRef I1
(instanceRef C467)
)
(portRef O
(instanceRef C486)
)
(portRef O
(instanceRef C487)
)
)
)
(net syn3262
(joined
(portRef I3
(instanceRef C462)
)
(portRef I0
(instanceRef C468)
)
(portRef O
(instanceRef C477)
)
(portRef O
(instanceRef C478)
)
)
)
(net syn3263
(joined
(portRef I4
(instanceRef C462)
)
(portRef I1
(instanceRef C468)
)
(portRef O
(instanceRef C469)
)
(portRef O
(instanceRef C470)
)
)
)
(net syn3524
(joined
(portRef I2
(instanceRef C501)
)
(portRef I1
(instanceRef C506)
)
(portRef O
(instanceRef C507)
)
(portRef O
(instanceRef C508)
)
)
)
(net C37
(joined
(portRef I4
(instanceRef C576)
)
(portRef I3
(instanceRef C581)
)
(portRef I4
(instanceRef C582)
)
(portRef I3
(instanceRef C588)
)
(portRef I1
(instanceRef C712)
)
(portRef I0
(instanceRef C716)
)
(portRef I1
(instanceRef C717)
)
(portRef I0
(instanceRef C721)
)
(portRef I1
(instanceRef C722)
)
(portRef I0
(instanceRef C726)
)
(portRef I1
(instanceRef C727)
)
(portRef I0
(instanceRef C731)
)
(portRef I1
(instanceRef C732)
)
(portRef I0
(instanceRef C736)
)
(portRef I1
(instanceRef C737)
)
(portRef I0
(instanceRef C741)
)
(portRef O
(instanceRef C742)
)
(portRef O
(instanceRef C743)
)
)
)
(net syn3521
(joined
(portRef I1
(instanceRef C596)
)
(portRef I
(instanceRef C601)
)
(portRef O
(instanceRef C674)
)
(portRef O
(instanceRef C675)
)
)
)
(net syn3522
(joined
(portRef I2
(instanceRef C596)
)
(portRef I
(instanceRef C602)
)
(portRef O
(instanceRef C603)
)
(portRef O
(instanceRef C668)
)
)
)
(net N258
(joined
(portRef C0
(instanceRef C538)
)
(portRef C0
(instanceRef C539)
)
)
)
(net N259
(joined
(portRef C1
(instanceRef C538)
)
(portRef C1
(instanceRef C539)
)
)
)
(net N260
(joined
(portRef C2
(instanceRef C538)
)
(portRef C2
(instanceRef C539)
)
)
)
(net N261
(joined
(portRef C3
(instanceRef C538)
)
(portRef C3
(instanceRef C539)
)
)
)
(net N262
(joined
(portRef C4
(instanceRef C538)
)
(portRef C4
(instanceRef C539)
)
)
)
(net N263
(joined
(portRef C5
(instanceRef C538)
)
(portRef C5
(instanceRef C539)
)
)
)
(net N264
(joined
(portRef C6
(instanceRef C538)
)
(portRef C6
(instanceRef C539)
)
)
)
(net N265
(joined
(portRef C7
(instanceRef C538)
)
(portRef C7
(instanceRef C539)
)
)
)
(net N266
(joined
(portRef G
(instanceRef C606)
)
(portRef I4
(instanceRef C81)
)
)
)
(net N267
(joined
(portRef G
(instanceRef C607)
)
(portRef I4
(instanceRef C91)
)
)
)
(net N268
(joined
(portRef G
(instanceRef C608)
)
(portRef I3
(instanceRef C102)
)
)
)
(net N269
(joined
(portRef G
(instanceRef C609)
)
(portRef I4
(instanceRef C102)
)
)
)
(net N270
(joined
(portRef G
(instanceRef C610)
)
(portRef I3
(instanceRef C151)
)
)
)
(net N271
(joined
(portRef G
(instanceRef C611)
)
(portRef I4
(instanceRef C151)
)
)
)
(net N272
(joined
(portRef G
(instanceRef C612)
)
(portRef I4
(instanceRef C159)
)
)
)
(net N273
(joined
(portRef G
(instanceRef C613)
)
(portRef I3
(instanceRef C170)
)
)
)
(net N274
(joined
(portRef G
(instanceRef C614)
)
(portRef I3
(instanceRef C185)
)
)
)
(net N275
(joined
(portRef G
(instanceRef C615)
)
(portRef I3
(instanceRef C255)
)
)
)
(net N276
(joined
(portRef G
(instanceRef C616)
)
(portRef I4
(instanceRef C255)
)
)
)
(net N277
(joined
(portRef G
(instanceRef C617)
)
(portRef I3
(instanceRef C272)
)
)
)
(net N278
(joined
(portRef G
(instanceRef C618)
)
(portRef I4
(instanceRef C272)
)
)
)
(net N279
(joined
(portRef G
(instanceRef C619)
)
(portRef I3
(instanceRef C290)
)
)
)
(net N280
(joined
(portRef G
(instanceRef C620)
)
(portRef I4
(instanceRef C290)
)
)
)
(net N281
(joined
(portRef G
(instanceRef C621)
)
(portRef I3
(instanceRef C302)
)
)
)
(net N282
(joined
(portRef G
(instanceRef C622)
)
(portRef I4
(instanceRef C302)
)
)
)
(net N283
(joined
(portRef G
(instanceRef C623)
)
(portRef I3
(instanceRef C319)
)
)
)
(net N284
(joined
(portRef G
(instanceRef C624)
)
(portRef I4
(instanceRef C319)
)
)
)
(net N285
(joined
(portRef G
(instanceRef C625)
)
(portRef I3
(instanceRef C328)
)
)
)
(net N286
(joined
(portRef G
(instanceRef C626)
)
(portRef I4
(instanceRef C328)
)
)
)
(net N287
(joined
(portRef G
(instanceRef C627)
)
(portRef I3
(instanceRef C334)
)
)
)
(net N288
(joined
(portRef G
(instanceRef C628)
)
(portRef I4
(instanceRef C334)
)
)
)
(net N289
(joined
(portRef G
(instanceRef C629)
)
(portRef I3
(instanceRef C341)
)
)
)
(net N290
(joined
(portRef G
(instanceRef C630)
)
(portRef I4
(instanceRef C341)
)
)
)
(net N291
(joined
(portRef G
(instanceRef C631)
)
(portRef I4
(instanceRef C372)
)
)
)
(net N292
(joined
(portRef G
(instanceRef C632)
)
(portRef I3
(instanceRef C408)
)
)
)
(net N293
(joined
(portRef G
(instanceRef C633)
)
(portRef I4
(instanceRef C408)
)
)
)
(net N294
(joined
(portRef G
(instanceRef C634)
)
(portRef I3
(instanceRef C414)
)
)
)
(net N295
(joined
(portRef G
(instanceRef C635)
)
(portRef I4
(instanceRef C414)
)
)
)
(net N296
(joined
(portRef G
(instanceRef C636)
)
(portRef I3
(instanceRef C421)
)
)
)
(net N297
(joined
(portRef G
(instanceRef C637)
)
(portRef I4
(instanceRef C421)
)
)
)
(net N298
(joined
(portRef G
(instanceRef C638)
)
(portRef I4
(instanceRef C442)
)
)
)
(net N299
(joined
(portRef G
(instanceRef C639)
)
(portRef I4
(instanceRef C469)
)
)
)
(net N300
(joined
(portRef G
(instanceRef C640)
)
(portRef I3
(instanceRef C494)
)
)
)
(net N301
(joined
(portRef G
(instanceRef C641)
)
(portRef I4
(instanceRef C494)
)
)
)
(net N302
(joined
(portRef G
(instanceRef C642)
)
(portRef I3
(instanceRef C501)
)
)
)
(net N303
(joined
(portRef G
(instanceRef C643)
)
(portRef I3
(instanceRef C552)
)
)
)
(net N304
(joined
(portRef G
(instanceRef C644)
)
(portRef I4
(instanceRef C552)
)
)
)
(net N305
(joined
(portRef G
(instanceRef C645)
)
(portRef I4
(instanceRef C561)
)
)
)
(net N306
(joined
(portRef G
(instanceRef C646)
)
(portRef I4
(instanceRef C568)
)
)
)
(net N307
(joined
(portRef G
(instanceRef C647)
)
(portRef I3
(instanceRef C589)
)
)
)
(net N308
(joined
(portRef G
(instanceRef C648)
)
(portRef I4
(instanceRef C589)
)
)
)
(net N309
(joined
(portRef G
(instanceRef C649)
)
(portRef I3
(instanceRef C596)
)
)
)
(net N310
(joined
(portRef G
(instanceRef C650)
)
(portRef I3
(instanceRef C603)
)
)
)
(net N311
(joined
(portRef G
(instanceRef C651)
)
(portRef I4
(instanceRef C603)
)
)
)
(net N312
(joined
(portRef G
(instanceRef C652)
)
(portRef I3
(instanceRef C695)
)
)
)
(net N313
(joined
(portRef G
(instanceRef C653)
)
(portRef I4
(instanceRef C695)
)
)
)
(net N314
(joined
(portRef G
(instanceRef C654)
)
(portRef I3
(instanceRef C712)
)
)
)
(net N315
(joined
(portRef G
(instanceRef C655)
)
(portRef I4
(instanceRef C712)
)
)
)
(net N316
(joined
(portRef G
(instanceRef C656)
)
(portRef I3
(instanceRef C717)
)
)
)
(net N317
(joined
(portRef G
(instanceRef C657)
)
(portRef I4
(instanceRef C717)
)
)
)
(net N318
(joined
(portRef G
(instanceRef C658)
)
(portRef I3
(instanceRef C722)
)
)
)
(net N319
(joined
(portRef G
(instanceRef C659)
)
(portRef I4
(instanceRef C722)
)
)
)
(net N320
(joined
(portRef G
(instanceRef C660)
)
(portRef I3
(instanceRef C727)
)
)
)
(net N321
(joined
(portRef G
(instanceRef C661)
)
(portRef I4
(instanceRef C727)
)
)
)
(net N322
(joined
(portRef G
(instanceRef C662)
)
(portRef I3
(instanceRef C732)
)
)
)
(net N323
(joined
(portRef G
(instanceRef C663)
)
(portRef I4
(instanceRef C732)
)
)
)
(net N324
(joined
(portRef G
(instanceRef C664)
)
(portRef I3
(instanceRef C737)
)
)
)
(net N325
(joined
(portRef G
(instanceRef C665)
)
(portRef I4
(instanceRef C737)
)
)
)
(net N326
(joined
(portRef G
(instanceRef C666)
)
(portRef I3
(instanceRef C742)
)
)
)
(net N327
(joined
(portRef G
(instanceRef C667)
)
(portRef I4
(instanceRef C742)
)
)
)
(net N0
(joined
(portRef O
(instanceRef C1)
)
(portRef I
(instanceRef C10)
)
)
)
(net N1
(joined
(portRef I
(instanceRef C2)
)
(portRef O
(instanceRef C12)
)
)
)
(net N2
(joined
(portRef O
(instanceRef C3)
)
(portRef I1
(instanceRef C12)
)
)
)
(net N3
(joined
(portRef I
(instanceRef C3)
)
(portRef O
(instanceRef C11)
)
)
)
(net N4
(joined
(portRef O
(instanceRef C4)
)
(portRef I1
(instanceRef C11)
)
(portRef I0
(instanceRef C12)
)
)
)
(net N5
(joined
(portRef I
(instanceRef C4)
)
(portRef O
(instanceRef C13)
)
)
)
(net N6
(joined
(portRef O
(instanceRef C5)
)
(portRef I0
(instanceRef C13)
)
)
)
(net N7
(joined
(portRef O
(instanceRef C6)
)
(portRef I1
(instanceRef C13)
)
)
)
(net N8
(joined
(portRef I
(instanceRef C6)
)
(portRef O
(instanceRef C7)
)
)
)
(net N9
(joined
(portRef I
(instanceRef C7)
)
(portRef O
(instanceRef C14)
)
)
)
(net N10
(joined
(portRef O
(instanceRef C8)
)
(portRef I0
(instanceRef C14)
)
)
)
(net N11
(joined
(portRef O
(instanceRef C9)
)
(portRef I1
(instanceRef C14)
)
)
)
(net N12
(joined
(portRef O
(instanceRef C10)
)
(portRef I0
(instanceRef C11)
)
)
)
(net N13
(joined
(portRef O
(instanceRef C16)
)
(portRef I0
(instanceRef C21)
)
)
)
(net N14
(joined
(portRef I
(instanceRef C16)
)
(portRef O
(instanceRef C20)
)
)
)
(net N15
(joined
(portRef O
(instanceRef C17)
)
(portRef I1
(instanceRef C21)
)
)
)
(net N16
(joined
(portRef I
(instanceRef C17)
)
(portRef O
(instanceRef C22)
)
)
)
(net N17
(joined
(portRef O
(instanceRef C18)
)
(portRef I0
(instanceRef C22)
)
)
)
(net N18
(joined
(portRef I
(instanceRef C18)
)
(portRef O
(instanceRef C23)
)
)
)
(net N19
(joined
(portRef O
(instanceRef C19)
)
(portRef I1
(instanceRef C22)
)
)
)
(net N20
(joined
(portRef O
(instanceRef C25)
)
(portRef I0
(instanceRef C30)
)
)
)
(net N21
(joined
(portRef I
(instanceRef C25)
)
(portRef O
(instanceRef C29)
)
)
)
(net N22
(joined
(portRef O
(instanceRef C26)
)
(portRef I1
(instanceRef C30)
)
)
)
(net N23
(joined
(portRef I
(instanceRef C26)
)
(portRef O
(instanceRef C31)
)
)
)
(net N24
(joined
(portRef O
(instanceRef C27)
)
(portRef I0
(instanceRef C31)
)
)
)
(net N25
(joined
(portRef I
(instanceRef C27)
)
(portRef O
(instanceRef C32)
)
)
)
(net N26
(joined
(portRef O
(instanceRef C28)
)
(portRef I1
(instanceRef C31)
)
)
)
(net N27
(joined
(portRef O
(instanceRef C34)
)
(portRef I0
(instanceRef C39)
)
)
)
(net N28
(joined
(portRef I
(instanceRef C34)
)
(portRef O
(instanceRef C38)
)
)
)
(net N29
(joined
(portRef O
(instanceRef C35)
)
(portRef I1
(instanceRef C39)
)
)
)
(net N30
(joined
(portRef I
(instanceRef C35)
)
(portRef O
(instanceRef C40)
)
)
)
(net N31
(joined
(portRef O
(instanceRef C36)
)
(portRef I0
(instanceRef C40)
)
)
)
(net N32
(joined
(portRef I
(instanceRef C36)
)
(portRef O
(instanceRef C41)
)
)
)
(net N33
(joined
(portRef O
(instanceRef C37)
)
(portRef I1
(instanceRef C40)
)
)
)
(net N34
(joined
(portRef O
(instanceRef C43)
)
(portRef I0
(instanceRef C48)
)
)
)
(net N35
(joined
(portRef I
(instanceRef C43)
)
(portRef O
(instanceRef C47)
)
)
)
(net N36
(joined
(portRef O
(instanceRef C44)
)
(portRef I1
(instanceRef C48)
)
)
)
(net N37
(joined
(portRef I
(instanceRef C44)
)
(portRef O
(instanceRef C49)
)
)
)
(net N38
(joined
(portRef O
(instanceRef C45)
)
(portRef I0
(instanceRef C49)
)
)
)
(net N39
(joined
(portRef I
(instanceRef C45)
)
(portRef O
(instanceRef C50)
)
)
)
(net N40
(joined
(portRef O
(instanceRef C46)
)
(portRef I1
(instanceRef C49)
)
)
)
(net N41
(joined
(portRef O
(instanceRef C52)
)
(portRef I0
(instanceRef C57)
)
)
)
(net N42
(joined
(portRef I
(instanceRef C52)
)
(portRef O
(instanceRef C56)
)
)
)
(net N43
(joined
(portRef O
(instanceRef C53)
)
(portRef I1
(instanceRef C57)
)
)
)
(net N44
(joined
(portRef I
(instanceRef C53)
)
(portRef O
(instanceRef C58)
)
)
)
(net N45
(joined
(portRef O
(instanceRef C54)
)
(portRef I0
(instanceRef C58)
)
)
)
(net N46
(joined
(portRef I
(instanceRef C54)
)
(portRef O
(instanceRef C59)
)
)
)
(net N47
(joined
(portRef O
(instanceRef C55)
)
(portRef I1
(instanceRef C58)
)
)
)
(net N48
(joined
(portRef O
(instanceRef C61)
)
(portRef I0
(instanceRef C66)
)
)
)
(net N49
(joined
(portRef I
(instanceRef C61)
)
(portRef O
(instanceRef C65)
)
)
)
(net N50
(joined
(portRef O
(instanceRef C62)
)
(portRef I1
(instanceRef C66)
)
)
)
(net N51
(joined
(portRef I
(instanceRef C62)
)
(portRef O
(instanceRef C67)
)
)
)
(net N52
(joined
(portRef O
(instanceRef C63)
)
(portRef I0
(instanceRef C67)
)
)
)
(net N53
(joined
(portRef I
(instanceRef C63)
)
(portRef O
(instanceRef C68)
)
)
)
(net N54
(joined
(portRef O
(instanceRef C64)
)
(portRef I1
(instanceRef C67)
)
)
)
(net N55
(joined
(portRef O
(instanceRef C70)
)
(portRef I0
(instanceRef C77)
)
)
)
(net N56
(joined
(portRef I
(instanceRef C70)
)
(portRef O
(instanceRef C76)
)
)
)
(net N57
(joined
(portRef I
(instanceRef C71)
)
(portRef O
(instanceRef C78)
)
)
)
(net N58
(joined
(portRef O
(instanceRef C72)
)
(portRef I1
(instanceRef C78)
)
)
)
(net N59
(joined
(portRef I
(instanceRef C72)
)
(portRef O
(instanceRef C77)
)
)
)
(net N60
(joined
(portRef O
(instanceRef C73)
)
(portRef I1
(instanceRef C77)
)
(portRef I0
(instanceRef C78)
)
)
)
(net N61
(joined
(portRef I
(instanceRef C73)
)
(portRef O
(instanceRef C79)
)
)
)
(net N62
(joined
(portRef O
(instanceRef C74)
)
(portRef I0
(instanceRef C79)
)
)
)
(net N63
(joined
(portRef I
(instanceRef C74)
)
(portRef O
(instanceRef C80)
)
)
)
(net N64
(joined
(portRef O
(instanceRef C75)
)
(portRef I1
(instanceRef C79)
)
)
)
(net N65
(joined
(portRef I
(instanceRef C82)
)
(portRef O
(instanceRef C88)
)
)
)
(net N66
(joined
(portRef O
(instanceRef C83)
)
(portRef I0
(instanceRef C88)
)
)
)
(net N67
(joined
(portRef I
(instanceRef C83)
)
(portRef O
(instanceRef C89)
)
)
)
(net N68
(joined
(portRef O
(instanceRef C84)
)
(portRef I1
(instanceRef C88)
)
)
)
(net N69
(joined
(portRef I
(instanceRef C84)
)
(portRef O
(instanceRef C90)
)
)
)
(net N70
(joined
(portRef O
(instanceRef C85)
)
(portRef I0
(instanceRef C89)
)
)
)
(net N71
(joined
(portRef O
(instanceRef C86)
)
(portRef I0
(instanceRef C90)
)
)
)
(net N72
(joined
(portRef O
(instanceRef C87)
)
(portRef I1
(instanceRef C90)
)
)
)
(net N73
(joined
(portRef I
(instanceRef C92)
)
(portRef O
(instanceRef C99)
)
)
)
(net N74
(joined
(portRef O
(instanceRef C93)
)
(portRef I0
(instanceRef C99)
)
)
)
(net N75
(joined
(portRef I
(instanceRef C93)
)
(portRef O
(instanceRef C100)
)
)
)
(net N76
(joined
(portRef O
(instanceRef C94)
)
(portRef I1
(instanceRef C99)
)
)
)
(net N77
(joined
(portRef I
(instanceRef C94)
)
(portRef O
(instanceRef C101)
)
)
)
(net N78
(joined
(portRef O
(instanceRef C95)
)
(portRef I0
(instanceRef C100)
)
)
)
(net N79
(joined
(portRef O
(instanceRef C96)
)
(portRef I1
(instanceRef C100)
)
)
)
(net N80
(joined
(portRef O
(instanceRef C97)
)
(portRef I1
(instanceRef C101)
)
)
)
(net N81
(joined
(portRef O
(instanceRef C98)
)
(portRef I2
(instanceRef C101)
)
)
)
(net N82
(joined
(portRef I
(instanceRef C103)
)
(portRef O
(instanceRef C108)
)
)
)
(net N83
(joined
(portRef O
(instanceRef C104)
)
(portRef I0
(instanceRef C108)
)
)
)
(net N84
(joined
(portRef I
(instanceRef C104)
)
(portRef O
(instanceRef C109)
)
)
)
(net N85
(joined
(portRef O
(instanceRef C105)
)
(portRef I1
(instanceRef C108)
)
)
)
(net N86
(joined
(portRef I
(instanceRef C105)
)
(portRef O
(instanceRef C110)
)
)
)
(net N87
(joined
(portRef O
(instanceRef C106)
)
(portRef I0
(instanceRef C109)
)
)
)
(net N88
(joined
(portRef O
(instanceRef C107)
)
(portRef I1
(instanceRef C110)
)
)
)
(net N89
(joined
(portRef I
(instanceRef C112)
)
(portRef O
(instanceRef C116)
)
)
)
(net N90
(joined
(portRef O
(instanceRef C113)
)
(portRef I0
(instanceRef C116)
)
)
)
(net N91
(joined
(portRef I
(instanceRef C113)
)
(portRef O
(instanceRef C117)
)
)
)
(net N92
(joined
(portRef O
(instanceRef C114)
)
(portRef I1
(instanceRef C116)
)
)
)
(net N93
(joined
(portRef I
(instanceRef C114)
)
(portRef O
(instanceRef C118)
)
)
)
(net N94
(joined
(portRef O
(instanceRef C115)
)
(portRef I1
(instanceRef C118)
)
)
)
(net N95
(joined
(portRef I
(instanceRef C120)
)
(portRef O
(instanceRef C124)
)
)
)
(net N96
(joined
(portRef O
(instanceRef C121)
)
(portRef I0
(instanceRef C124)
)
)
)
(net N97
(joined
(portRef I
(instanceRef C121)
)
(portRef O
(instanceRef C125)
)
)
)
(net N98
(joined
(portRef O
(instanceRef C122)
)
(portRef I1
(instanceRef C124)
)
)
)
(net N99
(joined
(portRef I
(instanceRef C122)
)
(portRef O
(instanceRef C126)
)
)
)
(net N100
(joined
(portRef O
(instanceRef C123)
)
(portRef I2
(instanceRef C126)
)
)
)
(net N101
(joined
(portRef I
(instanceRef C128)
)
(portRef O
(instanceRef C134)
)
)
)
(net N102
(joined
(portRef O
(instanceRef C129)
)
(portRef I
(instanceRef C134)
)
)
)
(net N103
(joined
(portRef I
(instanceRef C129)
)
(portRef O
(instanceRef C135)
)
)
)
(net N104
(joined
(portRef O
(instanceRef C130)
)
(portRef I0
(instanceRef C135)
)
)
)
(net N105
(joined
(portRef O
(instanceRef C131)
)
(portRef I1
(instanceRef C135)
)
)
)
(net N106
(joined
(portRef O
(instanceRef C132)
)
(portRef I2
(instanceRef C135)
)
)
)
(net N107
(joined
(portRef O
(instanceRef C133)
)
(portRef I3
(instanceRef C135)
)
)
)
(net N108
(joined
(portRef I
(instanceRef C137)
)
(portRef O
(instanceRef C146)
)
)
)
(net N109
(joined
(portRef O
(instanceRef C138)
)
(portRef I0
(instanceRef C146)
)
)
)
(net N110
(joined
(portRef I
(instanceRef C138)
)
(portRef O
(instanceRef C147)
)
)
)
(net N111
(joined
(portRef O
(instanceRef C139)
)
(portRef I1
(instanceRef C146)
)
)
)
(net N112
(joined
(portRef I
(instanceRef C139)
)
(portRef O
(instanceRef C148)
)
)
)
(net N113
(joined
(portRef O
(instanceRef C140)
)
(portRef I2
(instanceRef C146)
)
)
)
(net N114
(joined
(portRef I
(instanceRef C140)
)
(portRef O
(instanceRef C149)
)
)
)
(net N115
(joined
(portRef O
(instanceRef C141)
)
(portRef I3
(instanceRef C146)
)
)
)
(net N116
(joined
(portRef I
(instanceRef C141)
)
(portRef O
(instanceRef C150)
)
)
)
(net N117
(joined
(portRef O
(instanceRef C142)
)
(portRef I1
(instanceRef C147)
)
)
)
(net N118
(joined
(portRef O
(instanceRef C143)
)
(portRef I1
(instanceRef C148)
)
)
)
(net N119
(joined
(portRef O
(instanceRef C144)
)
(portRef I2
(instanceRef C149)
)
)
)
(net N120
(joined
(portRef O
(instanceRef C145)
)
(portRef I0
(instanceRef C150)
)
)
)
(net N121
(joined
(portRef I
(instanceRef C152)
)
(portRef O
(instanceRef C156)
)
)
)
(net N122
(joined
(portRef O
(instanceRef C153)
)
(portRef I0
(instanceRef C156)
)
)
)
(net N123
(joined
(portRef I
(instanceRef C153)
)
(portRef O
(instanceRef C157)
)
)
)
(net N124
(joined
(portRef O
(instanceRef C154)
)
(portRef I1
(instanceRef C156)
)
)
)
(net N125
(joined
(portRef I
(instanceRef C154)
)
(portRef O
(instanceRef C158)
)
)
)
(net N126
(joined
(portRef O
(instanceRef C155)
)
(portRef I
(instanceRef C157)
)
)
)
(net N127
(joined
(portRef I
(instanceRef C160)
)
(portRef O
(instanceRef C167)
)
)
)
(net N128
(joined
(portRef O
(instanceRef C161)
)
(portRef I0
(instanceRef C167)
)
)
)
(net N129
(joined
(portRef I
(instanceRef C161)
)
(portRef O
(instanceRef C168)
)
)
)
(net N130
(joined
(portRef O
(instanceRef C162)
)
(portRef I1
(instanceRef C167)
)
)
)
(net N131
(joined
(portRef I
(instanceRef C162)
)
(portRef O
(instanceRef C169)
)
)
)
(net N132
(joined
(portRef O
(instanceRef C163)
)
(portRef I1
(instanceRef C168)
)
)
)
(net N133
(joined
(portRef O
(instanceRef C164)
)
(portRef I2
(instanceRef C168)
)
)
)
(net N134
(joined
(portRef O
(instanceRef C165)
)
(portRef I0
(instanceRef C169)
)
)
)
(net N135
(joined
(portRef O
(instanceRef C166)
)
(portRef I1
(instanceRef C169)
)
)
)
(net N136
(joined
(portRef I
(instanceRef C171)
)
(portRef O
(instanceRef C174)
)
)
)
(net N137
(joined
(portRef O
(instanceRef C172)
)
(portRef I
(instanceRef C174)
)
)
)
(net N138
(joined
(portRef I
(instanceRef C172)
)
(portRef O
(instanceRef C175)
)
)
)
(net N139
(joined
(portRef O
(instanceRef C173)
)
(portRef I0
(instanceRef C175)
)
)
)
(net N140
(joined
(portRef I
(instanceRef C177)
)
(portRef O
(instanceRef C183)
)
)
)
(net N141
(joined
(portRef O
(instanceRef C178)
)
(portRef I
(instanceRef C183)
)
)
)
(net N142
(joined
(portRef I
(instanceRef C178)
)
(portRef O
(instanceRef C184)
)
)
)
(net N143
(joined
(portRef O
(instanceRef C179)
)
(portRef I0
(instanceRef C184)
)
)
)
(net N144
(joined
(portRef O
(instanceRef C180)
)
(portRef I1
(instanceRef C184)
)
)
)
(net N145
(joined
(portRef O
(instanceRef C181)
)
(portRef I2
(instanceRef C184)
)
)
)
(net N146
(joined
(portRef O
(instanceRef C182)
)
(portRef I3
(instanceRef C184)
)
)
)
(net N147
(joined
(portRef I
(instanceRef C186)
)
(portRef O
(instanceRef C188)
)
)
)
(net N148
(joined
(portRef O
(instanceRef C187)
)
(portRef I
(instanceRef C188)
)
)
)
(net N149
(joined
(portRef I
(instanceRef C187)
)
(portRef O
(instanceRef C189)
)
)
)
(net N150
(joined
(portRef I
(instanceRef C191)
)
(portRef O
(instanceRef C195)
)
)
)
(net N151
(joined
(portRef O
(instanceRef C192)
)
(portRef I
(instanceRef C195)
)
)
)
(net N152
(joined
(portRef I
(instanceRef C192)
)
(portRef O
(instanceRef C196)
)
)
)
(net N153
(joined
(portRef O
(instanceRef C193)
)
(portRef I1
(instanceRef C196)
)
)
)
(net N154
(joined
(portRef O
(instanceRef C194)
)
(portRef I3
(instanceRef C196)
)
)
)
(net N155
(joined
(portRef I
(instanceRef C198)
)
(portRef O
(instanceRef C207)
)
)
)
(net N156
(joined
(portRef O
(instanceRef C199)
)
(portRef I0
(instanceRef C207)
)
)
)
(net N157
(joined
(portRef I
(instanceRef C199)
)
(portRef O
(instanceRef C208)
)
)
)
(net N158
(joined
(portRef O
(instanceRef C200)
)
(portRef I1
(instanceRef C207)
)
)
)
(net N159
(joined
(portRef I
(instanceRef C200)
)
(portRef O
(instanceRef C209)
)
)
)
(net N160
(joined
(portRef O
(instanceRef C201)
)
(portRef I2
(instanceRef C207)
)
)
)
(net N161
(joined
(portRef I
(instanceRef C201)
)
(portRef O
(instanceRef C210)
)
)
)
(net N162
(joined
(portRef O
(instanceRef C202)
)
(portRef I0
(instanceRef C208)
)
)
)
(net N163
(joined
(portRef O
(instanceRef C206)
)
(portRef I2
(instanceRef C210)
)
)
)
(net N164
(joined
(portRef I
(instanceRef C212)
)
(portRef O
(instanceRef C218)
)
)
)
(net N165
(joined
(portRef O
(instanceRef C213)
)
(portRef I0
(instanceRef C218)
)
)
)
(net N166
(joined
(portRef I
(instanceRef C213)
)
(portRef O
(instanceRef C219)
)
)
)
(net N167
(joined
(portRef O
(instanceRef C214)
)
(portRef I1
(instanceRef C218)
)
)
)
(net N168
(joined
(portRef I
(instanceRef C214)
)
(portRef O
(instanceRef C220)
)
)
)
(net N169
(joined
(portRef O
(instanceRef C215)
)
(portRef I2
(instanceRef C218)
)
)
)
(net N170
(joined
(portRef I
(instanceRef C215)
)
(portRef O
(instanceRef C221)
)
)
)
(net N171
(joined
(portRef O
(instanceRef C216)
)
(portRef I0
(instanceRef C219)
)
)
)
(net N172
(joined
(portRef O
(instanceRef C217)
)
(portRef I2
(instanceRef C221)
)
)
)
(net N173
(joined
(portRef I
(instanceRef C223)
)
(portRef O
(instanceRef C229)
)
)
)
(net N174
(joined
(portRef O
(instanceRef C224)
)
(portRef I0
(instanceRef C229)
)
)
)
(net N175
(joined
(portRef I
(instanceRef C224)
)
(portRef O
(instanceRef C230)
)
)
)
(net N176
(joined
(portRef O
(instanceRef C225)
)
(portRef I1
(instanceRef C229)
)
)
)
(net N177
(joined
(portRef I
(instanceRef C225)
)
(portRef O
(instanceRef C231)
)
)
)
(net N178
(joined
(portRef O
(instanceRef C226)
)
(portRef I2
(instanceRef C229)
)
)
)
(net N179
(joined
(portRef I
(instanceRef C226)
)
(portRef O
(instanceRef C232)
)
)
)
(net N180
(joined
(portRef O
(instanceRef C227)
)
(portRef I0
(instanceRef C230)
)
)
)
(net N181
(joined
(portRef O
(instanceRef C228)
)
(portRef I2
(instanceRef C232)
)
)
)
(net N182
(joined
(portRef I
(instanceRef C234)
)
(portRef O
(instanceRef C240)
)
)
)
(net N183
(joined
(portRef O
(instanceRef C235)
)
(portRef I0
(instanceRef C240)
)
)
)
(net N184
(joined
(portRef I
(instanceRef C235)
)
(portRef O
(instanceRef C241)
)
)
)
(net N185
(joined
(portRef O
(instanceRef C236)
)
(portRef I1
(instanceRef C240)
)
)
)
(net N186
(joined
(portRef I
(instanceRef C236)
)
(portRef O
(instanceRef C242)
)
)
)
(net N187
(joined
(portRef O
(instanceRef C237)
)
(portRef I2
(instanceRef C240)
)
)
)
(net N188
(joined
(portRef I
(instanceRef C237)
)
(portRef O
(instanceRef C243)
)
)
)
(net N189
(joined
(portRef O
(instanceRef C238)
)
(portRef I0
(instanceRef C241)
)
)
)
(net N190
(joined
(portRef O
(instanceRef C239)
)
(portRef I2
(instanceRef C243)
)
)
)
(net N192
(joined
(portRef I
(instanceRef C245)
)
(portRef O
(instanceRef C251)
)
)
)
(net N193
(joined
(portRef O
(instanceRef C246)
)
(portRef I0
(instanceRef C251)
)
)
)
(net N194
(joined
(portRef I
(instanceRef C246)
)
(portRef O
(instanceRef C252)
)
)
)
(net N196
(joined
(portRef O
(instanceRef C247)
)
(portRef I1
(instanceRef C251)
)
)
)
(net N197
(joined
(portRef I
(instanceRef C247)
)
(portRef O
(instanceRef C253)
)
)
)
(net N198
(joined
(portRef O
(instanceRef C248)
)
(portRef I2
(instanceRef C251)
)
)
)
(net N199
(joined
(portRef I
(instanceRef C248)
)
(portRef O
(instanceRef C254)
)
)
)
(net N200
(joined
(portRef O
(instanceRef C249)
)
(portRef I0
(instanceRef C252)
)
)
)
(net N201
(joined
(portRef O
(instanceRef C250)
)
(portRef I0
(instanceRef C253)
)
)
)
(net N202
(joined
(portRef I
(instanceRef C256)
)
(portRef O
(instanceRef C259)
)
)
)
(net N203
(joined
(portRef O
(instanceRef C257)
)
(portRef I
(instanceRef C259)
)
)
)
(net N204
(joined
(portRef I
(instanceRef C257)
)
(portRef O
(instanceRef C260)
)
)
)
(net N205
(joined
(portRef O
(instanceRef C258)
)
(portRef I1
(instanceRef C260)
)
)
)
(net N206
(joined
(portRef I
(instanceRef C262)
)
(portRef O
(instanceRef C268)
)
)
)
(net N207
(joined
(portRef O
(instanceRef C263)
)
(portRef I0
(instanceRef C268)
)
)
)
(net N208
(joined
(portRef I
(instanceRef C263)
)
(portRef O
(instanceRef C269)
)
)
)
(net N209
(joined
(portRef O
(instanceRef C264)
)
(portRef I1
(instanceRef C268)
)
)
)
(net N210
(joined
(portRef I
(instanceRef C264)
)
(portRef O
(instanceRef C270)
)
)
)
(net N211
(joined
(portRef O
(instanceRef C265)
)
(portRef I2
(instanceRef C268)
)
)
)
(net N212
(joined
(portRef I
(instanceRef C265)
)
(portRef O
(instanceRef C271)
)
)
)
(net N213
(joined
(portRef O
(instanceRef C266)
)
(portRef I0
(instanceRef C269)
)
)
)
(net N214
(joined
(portRef O
(instanceRef C267)
)
(portRef I0
(instanceRef C270)
)
)
)
(net N215
(joined
(portRef I
(instanceRef C273)
)
(portRef O
(instanceRef C277)
)
)
)
(net N216
(joined
(portRef O
(instanceRef C274)
)
(portRef I
(instanceRef C277)
)
)
)
(net N217
(joined
(portRef I
(instanceRef C274)
)
(portRef O
(instanceRef C278)
)
)
)
(net N218
(joined
(portRef O
(instanceRef C275)
)
(portRef I0
(instanceRef C278)
)
)
)
(net N219
(joined
(portRef O
(instanceRef C276)
)
(portRef I1
(instanceRef C278)
)
)
)
(net N220
(joined
(portRef I
(instanceRef C280)
)
(portRef O
(instanceRef C286)
)
)
)
(net N221
(joined
(portRef O
(instanceRef C281)
)
(portRef I0
(instanceRef C286)
)
)
)
(net N222
(joined
(portRef I
(instanceRef C281)
)
(portRef O
(instanceRef C287)
)
)
)
(net N223
(joined
(portRef O
(instanceRef C282)
)
(portRef I1
(instanceRef C286)
)
)
)
(net N224
(joined
(portRef I
(instanceRef C282)
)
(portRef O
(instanceRef C288)
)
)
)
(net N225
(joined
(portRef O
(instanceRef C283)
)
(portRef I2
(instanceRef C286)
)
)
)
(net N226
(joined
(portRef I
(instanceRef C283)
)
(portRef O
(instanceRef C289)
)
)
)
(net N227
(joined
(portRef O
(instanceRef C284)
)
(portRef I0
(instanceRef C287)
)
)
)
(net N228
(joined
(portRef O
(instanceRef C285)
)
(portRef I0
(instanceRef C288)
)
)
)
(net N229
(joined
(portRef I
(instanceRef C291)
)
(portRef O
(instanceRef C293)
)
)
)
(net N230
(joined
(portRef O
(instanceRef C292)
)
(portRef I
(instanceRef C293)
)
)
)
(net N231
(joined
(portRef I
(instanceRef C292)
)
(portRef O
(instanceRef C294)
)
)
)
(net N232
(joined
(portRef I
(instanceRef C296)
)
(portRef O
(instanceRef C300)
)
)
)
(net N233
(joined
(portRef O
(instanceRef C297)
)
(portRef I
(instanceRef C300)
)
)
)
(net N234
(joined
(portRef I
(instanceRef C297)
)
(portRef O
(instanceRef C301)
)
)
)
(net N235
(joined
(portRef O
(instanceRef C298)
)
(portRef I0
(instanceRef C301)
)
)
)
(net N236
(joined
(portRef O
(instanceRef C299)
)
(portRef I2
(instanceRef C301)
)
)
)
(net N237
(joined
(portRef I
(instanceRef C303)
)
(portRef O
(instanceRef C306)
)
)
)
(net N238
(joined
(portRef O
(instanceRef C304)
)
(portRef I
(instanceRef C306)
)
)
)
(net N239
(joined
(portRef I
(instanceRef C304)
)
(portRef O
(instanceRef C307)
)
)
)
(net N240
(joined
(portRef O
(instanceRef C305)
)
(portRef I0
(instanceRef C307)
)
)
)
(net N241
(joined
(portRef I
(instanceRef C309)
)
(portRef O
(instanceRef C315)
)
)
)
(net N242
(joined
(portRef O
(instanceRef C310)
)
(portRef I0
(instanceRef C315)
)
)
)
(net N243
(joined
(portRef I
(instanceRef C310)
)
(portRef O
(instanceRef C316)
)
)
)
(net N244
(joined
(portRef O
(instanceRef C311)
)
(portRef I1
(instanceRef C315)
)
)
)
(net N245
(joined
(portRef I
(instanceRef C311)
)
(portRef O
(instanceRef C317)
)
)
)
(net N246
(joined
(portRef O
(instanceRef C312)
)
(portRef I2
(instanceRef C315)
)
)
)
(net N247
(joined
(portRef I
(instanceRef C312)
)
(portRef O
(instanceRef C318)
)
)
)
(net N248
(joined
(portRef O
(instanceRef C313)
)
(portRef I0
(instanceRef C316)
)
)
)
(net N249
(joined
(portRef O
(instanceRef C314)
)
(portRef I0
(instanceRef C317)
)
)
)
(net N250
(joined
(portRef I
(instanceRef C320)
)
(portRef O
(instanceRef C325)
)
)
)
(net N251
(joined
(portRef O
(instanceRef C321)
)
(portRef I0
(instanceRef C325)
)
)
)
(net N252
(joined
(portRef I
(instanceRef C321)
)
(portRef O
(instanceRef C326)
)
)
)
(net N253
(joined
(portRef O
(instanceRef C322)
)
(portRef I1
(instanceRef C325)
)
)
)
(net N254
(joined
(portRef I
(instanceRef C322)
)
(portRef O
(instanceRef C327)
)
)
)
(net N257
(joined
(portRef O
(instanceRef C323)
)
(portRef I0
(instanceRef C326)
)
)
)
(net N328
(joined
(portRef O
(instanceRef C324)
)
(portRef I1
(instanceRef C327)
)
)
)
(net N329
(joined
(portRef I
(instanceRef C329)
)
(portRef O
(instanceRef C332)
)
)
)
(net N330
(joined
(portRef O
(instanceRef C330)
)
(portRef I
(instanceRef C332)
)
)
)
(net N331
(joined
(portRef I
(instanceRef C330)
)
(portRef O
(instanceRef C333)
)
)
)
(net N332
(joined
(portRef O
(instanceRef C331)
)
(portRef I0
(instanceRef C333)
)
)
)
(net N333
(joined
(portRef I
(instanceRef C335)
)
(portRef O
(instanceRef C338)
)
)
)
(net N334
(joined
(portRef O
(instanceRef C336)
)
(portRef I0
(instanceRef C338)
)
)
)
(net N335
(joined
(portRef I
(instanceRef C336)
)
(portRef O
(instanceRef C339)
)
)
)
(net N336
(joined
(portRef O
(instanceRef C337)
)
(portRef I1
(instanceRef C338)
)
)
)
(net N337
(joined
(portRef I
(instanceRef C337)
)
(portRef O
(instanceRef C340)
)
)
)
(net N338
(joined
(portRef I
(instanceRef C342)
)
(portRef O
(instanceRef C344)
)
)
)
(net N339
(joined
(portRef O
(instanceRef C343)
)
(portRef I
(instanceRef C344)
)
)
)
(net N340
(joined
(portRef I
(instanceRef C343)
)
(portRef O
(instanceRef C345)
)
)
)
(net N341
(joined
(portRef I
(instanceRef C347)
)
(portRef O
(instanceRef C356)
)
)
)
(net N342
(joined
(portRef O
(instanceRef C348)
)
(portRef I0
(instanceRef C356)
)
)
)
(net N343
(joined
(portRef I
(instanceRef C348)
)
(portRef O
(instanceRef C357)
)
)
)
(net N344
(joined
(portRef O
(instanceRef C349)
)
(portRef I1
(instanceRef C356)
)
)
)
(net N345
(joined
(portRef I
(instanceRef C349)
)
(portRef O
(instanceRef C358)
)
)
)
(net N346
(joined
(portRef O
(instanceRef C350)
)
(portRef I1
(instanceRef C357)
)
)
)
(net N347
(joined
(portRef O
(instanceRef C351)
)
(portRef I3
(instanceRef C357)
)
)
)
(net N348
(joined
(portRef O
(instanceRef C352)
)
(portRef I0
(instanceRef C358)
)
)
)
(net N349
(joined
(portRef O
(instanceRef C353)
)
(portRef I1
(instanceRef C358)
)
)
)
(net N350
(joined
(portRef O
(instanceRef C354)
)
(portRef I2
(instanceRef C358)
)
)
)
(net N351
(joined
(portRef O
(instanceRef C355)
)
(portRef I3
(instanceRef C358)
)
)
)
(net N352
(joined
(portRef I
(instanceRef C360)
)
(portRef O
(instanceRef C368)
)
)
)
(net N353
(joined
(portRef O
(instanceRef C361)
)
(portRef I0
(instanceRef C368)
)
)
)
(net N354
(joined
(portRef I
(instanceRef C361)
)
(portRef O
(instanceRef C369)
)
)
)
(net N355
(joined
(portRef O
(instanceRef C362)
)
(portRef I1
(instanceRef C368)
)
)
)
(net N356
(joined
(portRef I
(instanceRef C362)
)
(portRef O
(instanceRef C370)
)
)
)
(net N357
(joined
(portRef O
(instanceRef C363)
)
(portRef I2
(instanceRef C368)
)
)
)
(net N358
(joined
(portRef I
(instanceRef C363)
)
(portRef O
(instanceRef C371)
)
)
)
(net N359
(joined
(portRef O
(instanceRef C364)
)
(portRef I1
(instanceRef C369)
)
)
)
(net N360
(joined
(portRef O
(instanceRef C365)
)
(portRef I0
(instanceRef C370)
)
)
)
(net N361
(joined
(portRef O
(instanceRef C366)
)
(portRef I1
(instanceRef C370)
)
)
)
(net N362
(joined
(portRef O
(instanceRef C367)
)
(portRef I0
(instanceRef C371)
)
)
)
(net N363
(joined
(portRef I
(instanceRef C373)
)
(portRef O
(instanceRef C380)
)
)
)
(net N364
(joined
(portRef O
(instanceRef C374)
)
(portRef I0
(instanceRef C380)
)
)
)
(net N365
(joined
(portRef I
(instanceRef C374)
)
(portRef O
(instanceRef C381)
)
)
)
(net N366
(joined
(portRef O
(instanceRef C375)
)
(portRef I1
(instanceRef C380)
)
)
)
(net N367
(joined
(portRef I
(instanceRef C375)
)
(portRef O
(instanceRef C382)
)
)
)
(net N368
(joined
(portRef O
(instanceRef C376)
)
(portRef I2
(instanceRef C380)
)
)
)
(net N369
(joined
(portRef I
(instanceRef C376)
)
(portRef O
(instanceRef C383)
)
)
)
(net N370
(joined
(portRef O
(instanceRef C377)
)
(portRef I0
(instanceRef C381)
)
)
)
(net N371
(joined
(portRef O
(instanceRef C378)
)
(portRef I0
(instanceRef C382)
)
)
)
(net N372
(joined
(portRef O
(instanceRef C379)
)
(portRef I2
(instanceRef C383)
)
)
)
(net N373
(joined
(portRef I
(instanceRef C385)
)
(portRef O
(instanceRef C389)
)
)
)
(net N374
(joined
(portRef O
(instanceRef C386)
)
(portRef I0
(instanceRef C389)
)
)
)
(net N375
(joined
(portRef I
(instanceRef C386)
)
(portRef O
(instanceRef C390)
)
)
)
(net N376
(joined
(portRef O
(instanceRef C387)
)
(portRef I1
(instanceRef C389)
)
)
)
(net N377
(joined
(portRef I
(instanceRef C387)
)
(portRef O
(instanceRef C391)
)
)
)
(net N378
(joined
(portRef O
(instanceRef C388)
)
(portRef I2
(instanceRef C389)
)
)
)
(net N379
(joined
(portRef I
(instanceRef C388)
)
(portRef O
(instanceRef C392)
)
)
)
(net N380
(joined
(portRef I
(instanceRef C394)
)
(portRef O
(instanceRef C403)
)
)
)
(net N381
(joined
(portRef O
(instanceRef C395)
)
(portRef I0
(instanceRef C403)
)
)
)
(net N382
(joined
(portRef I
(instanceRef C395)
)
(portRef O
(instanceRef C404)
)
)
)
(net N383
(joined
(portRef O
(instanceRef C396)
)
(portRef I1
(instanceRef C403)
)
)
)
(net N384
(joined
(portRef I
(instanceRef C396)
)
(portRef O
(instanceRef C405)
)
)
)
(net N385
(joined
(portRef O
(instanceRef C397)
)
(portRef I2
(instanceRef C403)
)
)
)
(net N386
(joined
(portRef I
(instanceRef C397)
)
(portRef O
(instanceRef C406)
)
)
)
(net N387
(joined
(portRef O
(instanceRef C398)
)
(portRef I3
(instanceRef C403)
)
)
)
(net N388
(joined
(portRef I
(instanceRef C398)
)
(portRef O
(instanceRef C407)
)
)
)
(net N389
(joined
(portRef O
(instanceRef C399)
)
(portRef I0
(instanceRef C404)
)
)
)
(net N390
(joined
(portRef O
(instanceRef C400)
)
(portRef I0
(instanceRef C405)
)
)
)
(net N391
(joined
(portRef O
(instanceRef C401)
)
(portRef I2
(instanceRef C406)
)
)
)
(net N392
(joined
(portRef O
(instanceRef C402)
)
(portRef I2
(instanceRef C407)
)
)
)
(net N393
(joined
(portRef I
(instanceRef C409)
)
(portRef O
(instanceRef C412)
)
)
)
(net N394
(joined
(portRef O
(instanceRef C410)
)
(portRef I
(instanceRef C412)
)
)
)
(net N395
(joined
(portRef I
(instanceRef C410)
)
(portRef O
(instanceRef C413)
)
)
)
(net N396
(joined
(portRef O
(instanceRef C411)
)
(portRef I1
(instanceRef C413)
)
)
)
(net N397
(joined
(portRef I
(instanceRef C415)
)
(portRef O
(instanceRef C419)
)
)
)
(net N398
(joined
(portRef O
(instanceRef C416)
)
(portRef I
(instanceRef C419)
)
)
)
(net N399
(joined
(portRef I
(instanceRef C416)
)
(portRef O
(instanceRef C420)
)
)
)
(net N400
(joined
(portRef O
(instanceRef C417)
)
(portRef I0
(instanceRef C420)
)
)
)
(net N401
(joined
(portRef O
(instanceRef C418)
)
(portRef I1
(instanceRef C420)
)
)
)
(net N402
(joined
(portRef I
(instanceRef C422)
)
(portRef O
(instanceRef C426)
)
)
)
(net N403
(joined
(portRef O
(instanceRef C423)
)
(portRef I0
(instanceRef C426)
)
)
)
(net N404
(joined
(portRef I
(instanceRef C423)
)
(portRef O
(instanceRef C427)
)
)
)
(net N405
(joined
(portRef O
(instanceRef C424)
)
(portRef I1
(instanceRef C426)
)
)
)
(net N406
(joined
(portRef I
(instanceRef C424)
)
(portRef O
(instanceRef C428)
)
)
)
(net N407
(joined
(portRef O
(instanceRef C425)
)
(portRef I
(instanceRef C428)
)
)
)
(net N408
(joined
(portRef I
(instanceRef C430)
)
(portRef O
(instanceRef C437)
)
)
)
(net N409
(joined
(portRef O
(instanceRef C431)
)
(portRef I0
(instanceRef C437)
)
)
)
(net N410
(joined
(portRef I
(instanceRef C431)
)
(portRef O
(instanceRef C438)
)
)
)
(net N411
(joined
(portRef O
(instanceRef C432)
)
(portRef I1
(instanceRef C437)
)
)
)
(net N412
(joined
(portRef I
(instanceRef C432)
)
(portRef O
(instanceRef C439)
)
)
)
(net N413
(joined
(portRef O
(instanceRef C433)
)
(portRef I2
(instanceRef C437)
)
)
)
(net N414
(joined
(portRef I
(instanceRef C433)
)
(portRef O
(instanceRef C440)
)
)
)
(net N415
(joined
(portRef O
(instanceRef C434)
)
(portRef I3
(instanceRef C437)
)
)
)
(net N416
(joined
(portRef I
(instanceRef C434)
)
(portRef O
(instanceRef C441)
)
)
)
(net N417
(joined
(portRef O
(instanceRef C435)
)
(portRef I
(instanceRef C438)
)
)
)
(net N418
(joined
(portRef O
(instanceRef C436)
)
(portRef I
(instanceRef C440)
)
)
)
(net N419
(joined
(portRef I
(instanceRef C443)
)
(portRef O
(instanceRef C447)
)
)
)
(net N420
(joined
(portRef O
(instanceRef C444)
)
(portRef I0
(instanceRef C447)
)
)
)
(net N421
(joined
(portRef I
(instanceRef C444)
)
(portRef O
(instanceRef C448)
)
)
)
(net N422
(joined
(portRef O
(instanceRef C445)
)
(portRef I1
(instanceRef C447)
)
)
)
(net N423
(joined
(portRef I
(instanceRef C445)
)
(portRef O
(instanceRef C449)
)
)
)
(net N424
(joined
(portRef O
(instanceRef C446)
)
(portRef I2
(instanceRef C447)
)
)
)
(net N425
(joined
(portRef I
(instanceRef C446)
)
(portRef O
(instanceRef C450)
)
)
)
(net N426
(joined
(portRef I
(instanceRef C452)
)
(portRef O
(instanceRef C458)
)
)
)
(net N427
(joined
(portRef O
(instanceRef C453)
)
(portRef I0
(instanceRef C458)
)
)
)
(net N428
(joined
(portRef I
(instanceRef C453)
)
(portRef O
(instanceRef C459)
)
)
)
(net N429
(joined
(portRef O
(instanceRef C454)
)
(portRef I1
(instanceRef C458)
)
)
)
(net N430
(joined
(portRef I
(instanceRef C454)
)
(portRef O
(instanceRef C460)
)
)
)
(net N431
(joined
(portRef O
(instanceRef C455)
)
(portRef I2
(instanceRef C458)
)
)
)
(net N432
(joined
(portRef I
(instanceRef C455)
)
(portRef O
(instanceRef C461)
)
)
)
(net N433
(joined
(portRef O
(instanceRef C456)
)
(portRef I0
(instanceRef C460)
)
)
)
(net N434
(joined
(portRef O
(instanceRef C457)
)
(portRef I0
(instanceRef C461)
)
)
)
(net N435
(joined
(portRef I
(instanceRef C463)
)
(portRef O
(instanceRef C466)
)
)
)
(net N436
(joined
(portRef O
(instanceRef C464)
)
(portRef I0
(instanceRef C466)
)
)
)
(net N437
(joined
(portRef I
(instanceRef C464)
)
(portRef O
(instanceRef C467)
)
)
)
(net N438
(joined
(portRef O
(instanceRef C465)
)
(portRef I1
(instanceRef C466)
)
)
)
(net N439
(joined
(portRef I
(instanceRef C465)
)
(portRef O
(instanceRef C468)
)
)
)
(net N440
(joined
(portRef I
(instanceRef C470)
)
(portRef O
(instanceRef C474)
)
)
)
(net N441
(joined
(portRef O
(instanceRef C471)
)
(portRef I0
(instanceRef C474)
)
)
)
(net N442
(joined
(portRef I
(instanceRef C471)
)
(portRef O
(instanceRef C475)
)
)
)
(net N443
(joined
(portRef O
(instanceRef C472)
)
(portRef I1
(instanceRef C474)
)
)
)
(net N444
(joined
(portRef I
(instanceRef C472)
)
(portRef O
(instanceRef C476)
)
)
)
(net N445
(joined
(portRef O
(instanceRef C473)
)
(portRef I0
(instanceRef C476)
)
)
)
(net N446
(joined
(portRef I
(instanceRef C478)
)
(portRef O
(instanceRef C483)
)
)
)
(net N447
(joined
(portRef O
(instanceRef C479)
)
(portRef I0
(instanceRef C483)
)
)
)
(net N448
(joined
(portRef I
(instanceRef C479)
)
(portRef O
(instanceRef C484)
)
)
)
(net N449
(joined
(portRef O
(instanceRef C480)
)
(portRef I1
(instanceRef C483)
)
)
)
(net N450
(joined
(portRef I
(instanceRef C480)
)
(portRef O
(instanceRef C485)
)
)
)
(net N451
(joined
(portRef O
(instanceRef C481)
)
(portRef I1
(instanceRef C484)
)
)
)
(net N452
(joined
(portRef O
(instanceRef C482)
)
(portRef I1
(instanceRef C485)
)
)
)
(net N453
(joined
(portRef I
(instanceRef C487)
)
(portRef O
(instanceRef C491)
)
)
)
(net N454
(joined
(portRef O
(instanceRef C488)
)
(portRef I0
(instanceRef C491)
)
)
)
(net N455
(joined
(portRef I
(instanceRef C488)
)
(portRef O
(instanceRef C492)
)
)
)
(net N456
(joined
(portRef O
(instanceRef C489)
)
(portRef I1
(instanceRef C491)
)
)
)
(net N457
(joined
(portRef I
(instanceRef C489)
)
(portRef O
(instanceRef C493)
)
)
)
(net N458
(joined
(portRef O
(instanceRef C490)
)
(portRef I2
(instanceRef C492)
)
)
)
(net N459
(joined
(portRef I
(instanceRef C495)
)
(portRef O
(instanceRef C498)
)
)
)
(net N460
(joined
(portRef O
(instanceRef C496)
)
(portRef I0
(instanceRef C498)
)
)
)
(net N461
(joined
(portRef I
(instanceRef C496)
)
(portRef O
(instanceRef C499)
)
)
)
(net N462
(joined
(portRef O
(instanceRef C497)
)
(portRef I1
(instanceRef C498)
)
)
)
(net N463
(joined
(portRef I
(instanceRef C497)
)
(portRef O
(instanceRef C500)
)
)
)
(net N464
(joined
(portRef I
(instanceRef C502)
)
(portRef O
(instanceRef C505)
)
)
)
(net N465
(joined
(portRef O
(instanceRef C503)
)
(portRef I
(instanceRef C505)
)
)
)
(net N466
(joined
(portRef I
(instanceRef C503)
)
(portRef O
(instanceRef C506)
)
)
)
(net N467
(joined
(portRef O
(instanceRef C504)
)
(portRef I0
(instanceRef C506)
)
)
)
(net N468
(joined
(portRef I
(instanceRef C508)
)
(portRef O
(instanceRef C521)
)
)
)
(net N469
(joined
(portRef O
(instanceRef C509)
)
(portRef I0
(instanceRef C521)
)
)
)
(net N470
(joined
(portRef I
(instanceRef C509)
)
(portRef O
(instanceRef C537)
)
)
)
(net N471
(joined
(portRef O
(instanceRef C510)
)
(portRef I1
(instanceRef C521)
)
)
)
(net N472
(joined
(portRef I
(instanceRef C510)
)
(portRef O
(instanceRef C542)
)
)
)
(net N473
(joined
(portRef O
(instanceRef C511)
)
(portRef I2
(instanceRef C521)
)
)
)
(net N474
(joined
(portRef I
(instanceRef C511)
)
(portRef O
(instanceRef C543)
)
)
)
(net N475
(joined
(portRef O
(instanceRef C512)
)
(portRef I0
(instanceRef C537)
)
)
)
(net N476
(joined
(portRef O
(instanceRef C513)
)
(portRef I1
(instanceRef C537)
)
)
)
(net N477
(joined
(portRef O
(instanceRef C516)
)
(portRef I0
(instanceRef C543)
)
)
)
(net N478
(joined
(portRef I
(instanceRef C545)
)
(portRef O
(instanceRef C549)
)
)
)
(net N479
(joined
(portRef O
(instanceRef C546)
)
(portRef I0
(instanceRef C549)
)
)
)
(net N480
(joined
(portRef I
(instanceRef C546)
)
(portRef O
(instanceRef C550)
)
)
)
(net N481
(joined
(portRef O
(instanceRef C547)
)
(portRef I1
(instanceRef C549)
)
)
)
(net N482
(joined
(portRef I
(instanceRef C547)
)
(portRef O
(instanceRef C551)
)
)
)
(net N483
(joined
(portRef O
(instanceRef C548)
)
(portRef I0
(instanceRef C550)
)
)
)
(net N484
(joined
(portRef I
(instanceRef C553)
)
(portRef O
(instanceRef C558)
)
)
)
(net N485
(joined
(portRef O
(instanceRef C554)
)
(portRef I0
(instanceRef C558)
)
)
)
(net N486
(joined
(portRef I
(instanceRef C554)
)
(portRef O
(instanceRef C559)
)
)
)
(net N487
(joined
(portRef O
(instanceRef C555)
)
(portRef I1
(instanceRef C558)
)
)
)
(net N488
(joined
(portRef I
(instanceRef C555)
)
(portRef O
(instanceRef C560)
)
)
)
(net N489
(joined
(portRef O
(instanceRef C556)
)
(portRef I0
(instanceRef C560)
)
)
)
(net N490
(joined
(portRef O
(instanceRef C557)
)
(portRef I1
(instanceRef C560)
)
)
)
(net N491
(joined
(portRef I
(instanceRef C562)
)
(portRef O
(instanceRef C566)
)
)
)
(net N492
(joined
(portRef O
(instanceRef C563)
)
(portRef I
(instanceRef C566)
)
)
)
(net N493
(joined
(portRef I
(instanceRef C563)
)
(portRef O
(instanceRef C567)
)
)
)
(net N494
(joined
(portRef O
(instanceRef C564)
)
(portRef I0
(instanceRef C567)
)
)
)
(net N495
(joined
(portRef O
(instanceRef C565)
)
(portRef I1
(instanceRef C567)
)
)
)
(net N496
(joined
(portRef I
(instanceRef C569)
)
(portRef O
(instanceRef C574)
)
)
)
(net N497
(joined
(portRef O
(instanceRef C570)
)
(portRef I
(instanceRef C574)
)
)
)
(net N498
(joined
(portRef I
(instanceRef C570)
)
(portRef O
(instanceRef C575)
)
)
)
(net N499
(joined
(portRef O
(instanceRef C571)
)
(portRef I0
(instanceRef C575)
)
)
)
(net N500
(joined
(portRef O
(instanceRef C572)
)
(portRef I1
(instanceRef C575)
)
)
)
(net N501
(joined
(portRef O
(instanceRef C573)
)
(portRef I2
(instanceRef C575)
)
)
)
(net N502
(joined
(portRef I
(instanceRef C577)
)
(portRef O
(instanceRef C580)
)
)
)
(net N503
(joined
(portRef O
(instanceRef C578)
)
(portRef I
(instanceRef C580)
)
)
)
(net N504
(joined
(portRef I
(instanceRef C578)
)
(portRef O
(instanceRef C581)
)
)
)
(net N505
(joined
(portRef O
(instanceRef C579)
)
(portRef I2
(instanceRef C581)
)
)
)
(net N506
(joined
(portRef I
(instanceRef C583)
)
(portRef O
(instanceRef C587)
)
)
)
(net N507
(joined
(portRef O
(instanceRef C584)
)
(portRef I
(instanceRef C587)
)
)
)
(net N508
(joined
(portRef I
(instanceRef C584)
)
(portRef O
(instanceRef C588)
)
)
)
(net N509
(joined
(portRef O
(instanceRef C585)
)
(portRef I1
(instanceRef C588)
)
)
)
(net N510
(joined
(portRef O
(instanceRef C586)
)
(portRef I2
(instanceRef C588)
)
)
)
(net N511
(joined
(portRef I
(instanceRef C590)
)
(portRef O
(instanceRef C593)
)
)
)
(net N512
(joined
(portRef O
(instanceRef C591)
)
(portRef I0
(instanceRef C593)
)
)
)
(net N513
(joined
(portRef I
(instanceRef C591)
)
(portRef O
(instanceRef C594)
)
)
)
(net N514
(joined
(portRef O
(instanceRef C592)
)
(portRef I1
(instanceRef C593)
)
)
)
(net N515
(joined
(portRef I
(instanceRef C592)
)
(portRef O
(instanceRef C595)
)
)
)
(net N516
(joined
(portRef I
(instanceRef C597)
)
(portRef O
(instanceRef C600)
)
)
)
(net N517
(joined
(portRef O
(instanceRef C598)
)
(portRef I0
(instanceRef C600)
)
)
)
(net N518
(joined
(portRef I
(instanceRef C598)
)
(portRef O
(instanceRef C601)
)
)
)
(net N519
(joined
(portRef O
(instanceRef C599)
)
(portRef I1
(instanceRef C600)
)
)
)
(net N520
(joined
(portRef I
(instanceRef C599)
)
(portRef O
(instanceRef C602)
)
)
)
(net N521
(joined
(portRef I
(instanceRef C668)
)
(portRef O
(instanceRef C671)
)
)
)
(net N522
(joined
(portRef O
(instanceRef C669)
)
(portRef I0
(instanceRef C671)
)
)
)
(net N523
(joined
(portRef I
(instanceRef C669)
)
(portRef O
(instanceRef C672)
)
)
)
(net N524
(joined
(portRef O
(instanceRef C670)
)
(portRef I1
(instanceRef C671)
)
)
)
(net N525
(joined
(portRef I
(instanceRef C670)
)
(portRef O
(instanceRef C673)
)
)
)
(net N526
(joined
(portRef I
(instanceRef C675)
)
(portRef O
(instanceRef C680)
)
)
)
(net N527
(joined
(portRef O
(instanceRef C676)
)
(portRef I0
(instanceRef C680)
)
)
)
(net N528
(joined
(portRef I
(instanceRef C676)
)
(portRef O
(instanceRef C681)
)
)
)
(net N529
(joined
(portRef O
(instanceRef C677)
)
(portRef I1
(instanceRef C680)
)
)
)
(net N530
(joined
(portRef I
(instanceRef C677)
)
(portRef O
(instanceRef C682)
)
)
)
(net N531
(joined
(portRef O
(instanceRef C678)
)
(portRef I2
(instanceRef C680)
)
)
)
(net N532
(joined
(portRef I
(instanceRef C678)
)
(portRef O
(instanceRef C683)
)
)
)
(net N533
(joined
(portRef O
(instanceRef C679)
)
(portRef I3
(instanceRef C680)
)
)
)
(net N534
(joined
(portRef I
(instanceRef C679)
)
(portRef O
(instanceRef C684)
)
)
)
(net N535
(joined
(portRef I
(instanceRef C686)
)
(portRef O
(instanceRef C692)
)
)
)
(net N536
(joined
(portRef O
(instanceRef C687)
)
(portRef I0
(instanceRef C692)
)
)
)
(net N537
(joined
(portRef I
(instanceRef C687)
)
(portRef O
(instanceRef C693)
)
)
)
(net N538
(joined
(portRef O
(instanceRef C688)
)
(portRef I1
(instanceRef C692)
)
)
)
(net N539
(joined
(portRef I
(instanceRef C688)
)
(portRef O
(instanceRef C694)
)
)
)
(net N540
(joined
(portRef O
(instanceRef C689)
)
(portRef I0
(instanceRef C693)
)
)
)
(net N541
(joined
(portRef O
(instanceRef C690)
)
(portRef I1
(instanceRef C693)
)
)
)
(net N542
(joined
(portRef O
(instanceRef C691)
)
(portRef I0
(instanceRef C694)
)
)
)
(net N543
(joined
(portRef I
(instanceRef C696)
)
(portRef O
(instanceRef C700)
)
)
)
(net N544
(joined
(portRef O
(instanceRef C697)
)
(portRef I
(instanceRef C700)
)
)
)
(net N545
(joined
(portRef I
(instanceRef C697)
)
(portRef O
(instanceRef C701)
)
)
)
(net N546
(joined
(portRef O
(instanceRef C698)
)
(portRef I0
(instanceRef C701)
)
)
)
(net N547
(joined
(portRef O
(instanceRef C699)
)
(portRef I1
(instanceRef C701)
)
)
)
(net N548
(joined
(portRef I
(instanceRef C703)
)
(portRef O
(instanceRef C709)
)
)
)
(net N549
(joined
(portRef O
(instanceRef C704)
)
(portRef I0
(instanceRef C709)
)
)
)
(net N550
(joined
(portRef I
(instanceRef C704)
)
(portRef O
(instanceRef C710)
)
)
)
(net N551
(joined
(portRef O
(instanceRef C705)
)
(portRef I1
(instanceRef C709)
)
)
)
(net N552
(joined
(portRef I
(instanceRef C705)
)
(portRef O
(instanceRef C711)
)
)
)
(net N553
(joined
(portRef O
(instanceRef C706)
)
(portRef I0
(instanceRef C710)
)
)
)
(net N554
(joined
(portRef O
(instanceRef C707)
)
(portRef I1
(instanceRef C710)
)
)
)
(net N555
(joined
(portRef O
(instanceRef C708)
)
(portRef I0
(instanceRef C711)
)
)
)
(net N556
(joined
(portRef I
(instanceRef C713)
)
(portRef O
(instanceRef C715)
)
)
)
(net N557
(joined
(portRef O
(instanceRef C714)
)
(portRef I
(instanceRef C715)
)
)
)
(net N558
(joined
(portRef I
(instanceRef C714)
)
(portRef O
(instanceRef C716)
)
)
)
(net N559
(joined
(portRef I
(instanceRef C718)
)
(portRef O
(instanceRef C720)
)
)
)
(net N560
(joined
(portRef O
(instanceRef C719)
)
(portRef I
(instanceRef C720)
)
)
)
(net N561
(joined
(portRef I
(instanceRef C719)
)
(portRef O
(instanceRef C721)
)
)
)
(net N562
(joined
(portRef I
(instanceRef C723)
)
(portRef O
(instanceRef C725)
)
)
)
(net N563
(joined
(portRef O
(instanceRef C724)
)
(portRef I
(instanceRef C725)
)
)
)
(net N564
(joined
(portRef I
(instanceRef C724)
)
(portRef O
(instanceRef C726)
)
)
)
(net N565
(joined
(portRef I
(instanceRef C728)
)
(portRef O
(instanceRef C730)
)
)
)
(net N566
(joined
(portRef O
(instanceRef C729)
)
(portRef I
(instanceRef C730)
)
)
)
(net N567
(joined
(portRef I
(instanceRef C729)
)
(portRef O
(instanceRef C731)
)
)
)
(net N568
(joined
(portRef I
(instanceRef C733)
)
(portRef O
(instanceRef C735)
)
)
)
(net N569
(joined
(portRef O
(instanceRef C734)
)
(portRef I
(instanceRef C735)
)
)
)
(net N570
(joined
(portRef I
(instanceRef C734)
)
(portRef O
(instanceRef C736)
)
)
)
(net N571
(joined
(portRef I
(instanceRef C738)
)
(portRef O
(instanceRef C740)
)
)
)
(net N572
(joined
(portRef O
(instanceRef C739)
)
(portRef I
(instanceRef C740)
)
)
)
(net N573
(joined
(portRef I
(instanceRef C739)
)
(portRef O
(instanceRef C741)
)
)
)
(net N574
(joined
(portRef I
(instanceRef C743)
)
(portRef O
(instanceRef C747)
)
)
)
(net N575
(joined
(portRef O
(instanceRef C744)
)
(portRef I
(instanceRef C747)
)
)
)
(net N576
(joined
(portRef I
(instanceRef C744)
)
(portRef O
(instanceRef C748)
)
)
)
(net N577
(joined
(portRef O
(instanceRef C745)
)
(portRef I0
(instanceRef C748)
)
)
)
(net N578
(joined
(portRef O
(instanceRef C746)
)
(portRef I1
(instanceRef C748)
)
)
)
)
)
)
)
(design UART
(cellRef UART
(libraryRef DESIGNS)
)
(property PART
(string "S10-TQ144-3")
)
)
)
/trunk/impl/Xilinx/out/info.txt
0,0 → 1,4
This is a rough synthezised version of the miniuart in edif netlist.
It has been performed with BRDIVISOR=130.
Thus it can work for a baudrate of 9600bps, assumming that a 5MHz clock is
connected to BR_Clk_I.
/trunk/syn/Xilinx/log/ver1.chp
0,0 → 1,131
 
=========
Chip ver1
=========
 
Summary Information:
--------------------
Type: Initial implementation
Source: out-of-date
Status: 0 errors, 1 warnings, 6 messages
 
Target Information:
-------------------
Vendor: Xilinx
Family: SPARTAN
Device: S10TQ144
Speed: -4
 
Chip Parameters:
----------------
Optimize for: Speed
Optimization effort: High
Frequency: 50 MHz
Is module: No
Keep io pads: No
Number of flip-flops: 0
Number of latches: 0
 
Chip Design Hierarchy:
----------------------
UART: defined in c:\phili\fndtn\miniuart\miniuart.vhd
Counter - Uart_Rxrate: defined in c:\phili\fndtn\miniuart\utils.vhd
Counter - Uart_Txrate: defined in c:\phili\fndtn\miniuart\utils.vhd
TxUnit - Uart_TxUnit: defined in c:\phili\fndtn\miniuart\txunit.vhd
synchroniser - SyncLoad: defined in c:\phili\fndtn\miniuart\utils.vhd
RxUnit - Uart_RxUnit: defined in c:\phili\fndtn\miniuart\rxunit.vhd
 
Primitive reference count:
--------------------------
*ADD_UNS_OP_2_1_2 1
*ADD_UNS_OP_4_1_4 2
*SELECT_OP_2.1_2.1_1 1
*SELECT_OP_2.2_2.1_2 2
*SELECT_OP_3.4_3.1_4 2
*SUB_TC_OP_1_1_1 1
*SUB_TC_OP_2_2_2 1
*SUB_TC_OP_3_3_3 2
AND 209
SEQ 64
 
Clocks:
-------
Required Estimated
Period Rise Fall Freq Freq Signal
(ns) (ns) (ns) (MHz) (MHz)
...............................................................
20 0 10 50.00 -1.00 default
-1 -1 -1 -1000.00 100.00 BR_Clk_I
-1 -1 -1 -1000.00 100.00 LoadA
-1 -1 -1 -1000.00 100.00 RRegL
-1 -1 -1 -1000.00 100.00 WB_CLK_I
 
Timing Groups:
--------------
Name Description
...........................................................................
(I) Input ports
(O) Output ports
(RC,BR_Clk_I) Clocked by rising edge of BR_Clk_I
(RC,LoadA) Clocked by rising edge of LoadA
(RC,RRegL) Clocked by rising edge of RRegL
(RC,WB_CLK_I) Clocked by rising edge of WB_CLK_I
 
Timing Path Groups:
-------------------
Required Estimated
Delay Delay
From To (ns) (ns)
..........................................................................
(I) (O) 20.00 -1.00
(I) (RC,BR_Clk_I) 20.00 -1.00
(I) (RC,WB_CLK_I) 20.00 -1.00
(RC,BR_Clk_I) (O) 20.00 -1.00
(RC,BR_Clk_I) (RC,BR_Clk_I) 20.00 -1.00
(RC,LoadA) (RC,BR_Clk_I) 20.00 -1.00
(RC,RRegL) (O) 20.00 -1.00
(RC,WB_CLK_I) (RC,BR_Clk_I) 20.00 -1.00
 
Input Port Timing:
------------------
Required Estimated
Port Delay Slack
Name (ns) (ns) To-Group
...........................................................................
WB_CLK_I 20.00 -1.00 (RC,BR_Clk_I)
WB_RST_I 20.00 -1.00 (RC,BR_Clk_I)
WB_ADR_I<1> 20.00 -1.00 (RC,BR_Clk_I)
WB_ADR_I<0> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_I<7> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_I<6> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_I<5> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_I<4> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_I<3> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_I<2> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_I<1> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_I<0> 20.00 -1.00 (RC,BR_Clk_I)
WB_WE_I 20.00 -1.00 (RC,BR_Clk_I)
WB_STB_I 20.00 -1.00 (RC,BR_Clk_I)
BR_Clk_I 20.00 -1.00 (RC,BR_Clk_I)
RxD_PAD_I 20.00 -1.00 (RC,BR_Clk_I)
 
Output Port Timing:
-------------------
Required Estimated
Port Delay Slack
Name (ns) (ns) From-Group
...........................................................................
WB_DAT_O<7> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_O<6> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_O<5> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_O<4> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_O<3> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_O<2> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_O<1> 20.00 -1.00 (RC,BR_Clk_I)
WB_DAT_O<0> 20.00 -1.00 (RC,BR_Clk_I)
WB_ACK_O 20.00 -1.00 (RC,BR_Clk_I)
IntTx_O 20.00 -1.00 (RC,BR_Clk_I)
IntRx_O 20.00 -1.00 (RC,BR_Clk_I)
TxD_PAD_O 20.00 -1.00 (RC,BR_Clk_I)
/trunk/syn/Xilinx/log/ver1-optimized.chp
0,0 → 1,140
 
===================
Chip ver1-Optimized
===================
 
Summary Information:
--------------------
Type: Optimized implementation
Source: ver1, up to date
Status: 0 errors, 243 warnings, 0 messages
Export: exported after last optimization
 
Target Information:
-------------------
Vendor: Xilinx
Family: SPARTAN
Device: S10TQ144
Speed: -4
 
Chip Parameters:
----------------
Optimize for: Speed
Optimization effort: High
Frequency: 50 MHz
Is module: No
Keep io pads: No
Number of flip-flops: 64
Number of latches: 0
 
Chip Design Hierarchy:
----------------------
UART: defined in c:\phili\fndtn\miniuart\miniuart.vhd
 
Primitive reference count:
--------------------------
BUFG 4
FDC 9
FDCE 46
FMAP 61
GND 60
HMAP 4
IBUF 6
IFDX 8
INV 3
IPAD 2
OBUF 11
OFDX 1
VCC 1
 
Clocks:
-------
Required Estimated
Period Rise Fall Freq Freq Signal
(ns) (ns) (ns) (MHz) (MHz)
...............................................................
20 0 10 50.00 -1.00 default
-1 -1 -1 -1000.00 99.40 BR_Clk_I_BUFGed
-1 -1 -1 -1000.00 100.00 N295_BUFGed
-1 -1 -1 -1000.00 100.00 N294_BUFGed
-1 -1 -1 -1000.00 52.63 WB_CLK_I_BUFGed
 
Timing Groups:
--------------
Name Description
...........................................................................
(I) Input ports
(O) Output ports
(RC,BR_Clk_I_BUFGed) Clocked by rising edge of BR_Clk_I_BUFGed
(RC,N295_BUFGed) Clocked by rising edge of N295_BUFGed
(RC,N294_BUFGed) Clocked by rising edge of N294_BUFGed
(RC,WB_CLK_I_BUFGed) Clocked by rising edge of WB_CLK_I_BUFGed
 
Timing Path Groups:
-------------------
Required Estimated
Delay Delay
From To (ns) (ns)
..........................................................................
(I) (O) 20.00 13.45
(I) (RC,BR_Clk_I_BUFGed) 20.00 9.20
(I) (RC,WB_CLK_I_BUFGed) 20.00 19.00
(RC,BR_Clk_I_BUFGed) (O) 20.00 13.73
(RC,BR_Clk_I_BUFGed) (RC,BR_Clk_I_BUFGed) 20.00 10.06
(RC,N295_BUFGed) (RC,BR_Clk_I_BUFGed) 20.00 2.89
(RC,N294_BUFGed) (O) 20.00 11.81
(RC,WB_CLK_I_BUFGed) (RC,BR_Clk_I_BUFGed) 20.00 3.54
 
Input Port Timing:
------------------
Required Estimated
Port Delay Slack
Name (ns) (ns) To-Group
...........................................................................
WB_CLK_I 19.90 19.90 (RC,BR_Clk_I_BUFGed)
WB_RST_I 13.93 13.93 (RC,BR_Clk_I_BUFGed)
WB_ADR_I<1> 6.55 6.55 (RC,BR_Clk_I_BUFGed)
WB_ADR_I<0> 6.55 6.55 (RC,BR_Clk_I_BUFGed)
WB_DAT_I<7> 1.00 1.00 (RC,BR_Clk_I_BUFGed)
WB_DAT_I<6> 1.00 1.00 (RC,BR_Clk_I_BUFGed)
WB_DAT_I<5> 1.00 1.00 (RC,BR_Clk_I_BUFGed)
WB_DAT_I<4> 1.00 1.00 (RC,BR_Clk_I_BUFGed)
WB_DAT_I<3> 1.00 1.00 (RC,BR_Clk_I_BUFGed)
WB_DAT_I<2> 1.00 1.00 (RC,BR_Clk_I_BUFGed)
WB_DAT_I<1> 1.00 1.00 (RC,BR_Clk_I_BUFGed)
WB_DAT_I<0> 1.00 1.00 (RC,BR_Clk_I_BUFGed)
WB_WE_I 15.47 15.47 (RC,BR_Clk_I_BUFGed)
WB_STB_I 9.15 9.15 (RC,BR_Clk_I_BUFGed)
BR_Clk_I 19.90 19.90 (RC,BR_Clk_I_BUFGed)
RxD_PAD_I 10.80 10.80 (RC,BR_Clk_I_BUFGed)
 
Output Port Timing:
-------------------
Required Estimated
Port Delay Slack
Name (ns) (ns) From-Group
...........................................................................
WB_DAT_O<7> 20.00 6.55 (RC,BR_Clk_I_BUFGed)
WB_DAT_O<6> 20.00 6.55 (RC,BR_Clk_I_BUFGed)
WB_DAT_O<5> 20.00 6.55 (RC,BR_Clk_I_BUFGed)
WB_DAT_O<4> 20.00 6.55 (RC,BR_Clk_I_BUFGed)
WB_DAT_O<3> 20.00 6.55 (RC,BR_Clk_I_BUFGed)
WB_DAT_O<2> 20.00 6.55 (RC,BR_Clk_I_BUFGed)
WB_DAT_O<1> 20.00 7.85 (RC,BR_Clk_I_BUFGed)
WB_DAT_O<0> 20.00 6.27 (RC,BR_Clk_I_BUFGed)
WB_ACK_O 20.00 9.15 (RC,BR_Clk_I_BUFGed)
IntTx_O 20.00 7.57 (RC,BR_Clk_I_BUFGed)
IntRx_O 20.00 9.49 (RC,BR_Clk_I_BUFGed)
TxD_PAD_O 20.00 12.07 (RC,BR_Clk_I_BUFGed)
 
Critical Path Timing:
---------------------
Arrival Required
Cell Time Time Fanout
Type (ns) (ns) Count Pin-Name
........................................................................
IFDX 19.00 20.00 10 /ver1-Optimized/TxData_reg<7>/C
IFDX 0.00 1.00 1 /ver1-Optimized/TxData_reg<7>/D
port 0.00 1.00 1 /ver1-Optimized/WB_DAT_I<7>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.