OpenCores
URL https://opencores.org/ocsvn/minsoc/minsoc/trunk

Subversion Repositories minsoc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /minsoc
    from Rev 147 to Rev 146
    Reverse comparison

Rev 147 → Rev 146

/branches/rc-1.0/backend/ug257/minsoc_bench_defines.v
6,17 → 6,17
 
`define FREQ_NUM_FOR_NS 100000000
 
`define FREQ 10000000
`define FREQ 25000000
`define CLK_PERIOD (`FREQ_NUM_FOR_NS/`FREQ)
 
`define ETH_PHY_FREQ 25000000
`define ETH_PHY_PERIOD (`FREQ_NUM_FOR_NS/`ETH_PHY_FREQ) //40ns
 
`define UART_BAUDRATE 9600
`define UART_BAUDRATE 115200
 
`define VPI_DEBUG
 
//`define WAVEFORM_OUTPUT
//`define VCD_OUTPUT
 
//`define START_UP //pass firmware over spi to or1k_startup
 
/branches/rc-1.0/backend/spartan3a_dsp_kit/minsoc_bench_defines.v
16,7 → 16,7
 
`define VPI_DEBUG
 
//`define WAVEFORM_OUTPUT
//`define VCD_OUTPUT
 
//`define START_UP //pass firmware over spi to or1k_startup
 
/branches/rc-1.0/backend/std/minsoc_bench_defines.v
16,7 → 16,7
 
`define VPI_DEBUG
 
//`define WAVEFORM_OUTPUT
//`define VCD_OUTPUT
 
//`define START_UP //pass firmware over spi to or1k_startup
 
/branches/rc-1.0/backend/altera_3c25_board/minsoc_bench_defines.v
16,7 → 16,7
 
`define VPI_DEBUG
 
//`define WAVEFORM_OUTPUT
//`define VCD_OUTPUT
 
//`define START_UP //pass firmware over spi to or1k_startup
 
/branches/rc-1.0/backend/spartan3e_starter_kit/minsoc_bench_defines.v
16,7 → 16,7
 
`define VPI_DEBUG
 
//`define WAVEFORM_OUTPUT
//`define VCD_OUTPUT
 
//`define START_UP //pass firmware over spi to or1k_startup
 
/branches/rc-1.0/bench/verilog/minsoc_bench.v
60,7 → 60,6
reg [12*8-1:0] hello;
reg new_line;
reg new_char;
reg flush_line;
`endif
`ifdef ETHERNET
reg [7:0] eth_rx_data [0:1535]; //receive buffer ETH (max packet 1536)
311,7 → 310,7
if ( line[7:0] == "B" )
$display("UART interrupt working.");
else
$display("UART interrupt failed. B was expected, %c was received.", line[7:0]);
$display("UART interrupt failed.");
uart_echo = 1'b1;
 
if ( hello == "Hello World." )
348,9 → 347,9
#((`CLK_PERIOD)/2) clock <= ~clock;
end
 
`ifdef WAVEFORM_OUTPUT
`ifdef VCD_OUTPUT
initial begin
$dumpfile("../results/minsoc_wave.lxt");
$dumpfile("../results/minsoc_wave.vcd");
$dumpvars();
end
`endif
401,7 → 400,6
begin
new_line = 1'b0;
new_char = 1'b0;
flush_line = 1'b0;
end
 
always @ (posedge clock)
413,7 → 411,6
reg [7:0] tx_byte;
begin
new_char = 1'b0;
new_line = 1'b0;
// Wait for start bit
while (uart_stx == 1'b1)
@(uart_stx);
434,19 → 431,16
//$display("* USER UART returned to idle at time %d",$time);
end
// display the char
new_char = 1'b1;
if ( uart_echo )
$write("%c", tx_byte);
if ( flush_line ) begin
if ( new_line )
line = "";
flush_line = 1'b0;
end
if ( tx_byte == "\n" ) begin
if ( tx_byte == "\n" )
new_line = 1'b1;
flush_line = 1'b1;
end
else begin
line = { line[39*8-1:0], tx_byte};
new_char = 1'b1;
new_line = 1'b0;
end
end
endtask
/branches/rc-1.0/utils/setup/minsoc-install.sh
64,7 → 64,6
testtool libncurses
testtool flex
testtool bison
testtool libz
if [ "$ENV" == "Cygwin" ]
then
testtool ioperm
/branches/rc-1.0/sim/run/run_bench
10,4 → 10,4
# regardless of the number of hex bytes per line.
FIRMWARE_SIZE_IN_BYTES="$(wc -w <"$1")"
 
vvp -M ../../bench/verilog/vpi/ -mjp-io-vpi minsoc_bench +file_name="$1" +firmware_size="$FIRMWARE_SIZE_IN_BYTES" -lxt2
vvp -M ../../bench/verilog/vpi/ -mjp-io-vpi minsoc_bench +file_name="$1" +firmware_size="$FIRMWARE_SIZE_IN_BYTES"
/branches/rc-1.0/sw/eth/eth.c
38,7 → 38,7
 
/* We can't use printf because in this simple example
we don't link C library. */
uart_print_str("Hello World.\n");
uart_print_str("Hello World.\n\r");
 
eth_tx_data[0] = 0xFF;
eth_tx_data[1] = 0x2B;
/branches/rc-1.0/sw/uart/uart.c
14,7 → 14,7
/* We can't use printf because in this simple example
we don't link C library. */
uart_print_str("Hello World.\n");
uart_print_str("Hello World.\n\r");
report(0xdeaddead);
or32_exit(0);
/branches/rc-1.0/sw/drivers/uart.c
57,6 → 57,10
 
WAIT_FOR_THRE;
REG8(UART_BASE + UART_TX) = c;
if(c == '\n') {
WAIT_FOR_THRE;
REG8(UART_BASE + UART_TX) = '\r';
}
WAIT_FOR_XMITR;
}
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.