OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 41 to Rev 42
    Reverse comparison

Rev 41 → Rev 42

/mkjpeg/trunk/doc/JPEG.doc Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/mkjpeg/trunk/tb/wave.do
21,6 → 21,7
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc
add wave -noupdate -divider JpegEnc
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/outif_almost_full
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus
621,4 → 622,4
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {38836051 ps} {39349773 ps}
WaveRestoreZoom {511965 ps} {1652957 ps}
/mkjpeg/trunk/tb/vhdl/JPEG_TB.VHD
74,7 → 74,8
signal iram_rden : std_logic;
signal sim_done : std_logic;
signal iram_fifo_afull : std_logic;
signal outif_almost_full : std_logic;
signal count1 : unsigned(15 downto 0);
------------------------------
-- architecture begin
------------------------------
150,7 → 151,8
-- OUT RAM
ram_byte => ram_byte,
ram_wren => ram_wren,
ram_wraddr => ram_wraddr
ram_wraddr => ram_wraddr,
outif_almost_full => outif_almost_full
);
-------------------------------------------------------------------
202,6 → 204,21
wait;
end process;
 
backpressure : process(CLK, RST)
begin
if RST = '1' then
outif_almost_full <= '0';
count1 <= (others => '0');
elsif CLK'event and CLK = '1' then
if count1 = 10000 then
count1 <= (others => '0');
outif_almost_full <= not outif_almost_full;
else
count1 <= count1 + 1;
end if;
end if;
end process;
 
end TB;
-----------------------------------
/mkjpeg/trunk/design/control/CtrlSM.vhd
46,6 → 46,9
(
CLK : in std_logic;
RST : in std_logic;
-- output IF
outif_almost_full : in std_logic;
 
-- HOST IF
sof : in std_logic;
175,7 → 178,7
);
end generate G_S_CTRL_SM;
idle(NUM_STAGES+1) <= '1';
idle(NUM_STAGES+1) <= not outif_almost_full;
-------------------------------------------------------------------
-- Regs
/mkjpeg/trunk/design/top/JpegEnc.vhd
67,7 → 67,8
-- OUT RAM
ram_byte : out std_logic_vector(7 downto 0);
ram_wren : out std_logic;
ram_wraddr : out std_logic_vector(23 downto 0)
ram_wraddr : out std_logic_vector(23 downto 0);
outif_almost_full : in std_logic
);
end entity JpegEnc;
 
230,6 → 231,9
(
CLK => CLK,
RST => RST,
-- output IF
outif_almost_full => outif_almost_full,
 
-- HOST IF
sof => sof,
/mkjpeg/trunk/design/common/JPEG_PKG.vhd
32,11 → 32,11
-- warning! this parameter heavily affects memory size required
-- if expected image width is known change this parameter to match this
-- otherwise some onchip RAM will be wasted and never used
constant C_MAX_LINE_WIDTH : integer := 640;
constant C_MAX_LINE_WIDTH : integer := 1280;
 
-- 0=highest clock per pixel performance
-- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18%
constant C_MEMORY_OPTIMIZED : integer := 1;
constant C_MEMORY_OPTIMIZED : integer := 0;
type T_SM_SETTINGS is record
x_cnt : unsigned(15 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.