OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 47 to Rev 48
    Reverse comparison

Rev 47 → Rev 48

/mkjpeg/branches/16rgb/trunk/tb/test.txt
0,0 → 1,483
3
480
720
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
454333353a2e2f3a3032403430413325322a28302d3837314f44383e3c35242c391f2d441e30421a2b401a2a4f202e5c22345c293e4c3042432e423d24372f1e2e2a26353536433b303f3428352d2d332e2e352e313b332f3a302e383138423e39464735484b32474432463930422b2a3922293621283420252f1c27301f2b342329332226322024311f2731212f36273e3d304c463b3f433835443d3d4a46424e47414e453f4d423948412e403e283738242f33212a2f1c253019233517243c1924441c295c21327a1f378d203b971f3c981d3b981e3d9a1d3c991e3d9a1e3c9b1f3d9c1e3d9c1d3c9a1f3e9b1f3d9c203e9e1f3d9d1f3d9d1f3e9d203e9d1f3d9c203d9e213e9e203e9d1e3c9c1f3c9c213e9d203e9c1f3d9d203d9d213e9f203e9e203d9e203d9e213f9f203e9f2240a0213f9f1f3f9f1f3f9f1f3fa11e3e9f1f3e9e203fa01f3fa01f3fa02240a2203fa0213fa0223fa0213f9f2240a02240a021409f2141a02241a01f40a12041a12041a01f40a11f40a11f40a12041a22041a12041a02041a22041a21f40a12041a21f41a22042a32041a21f40a12041a22142a32041a22142a32142a12341a12241a22040a12041a22041a22142a12142a32041a22041a22142a32142a32142a22142a32142a31f41a21f41a22142a32041a22040a22041a21f41a22042a32142a12142a12142a32142a32042a31f41a12041a12041a02243a22142a11f41a01f41a22041a22142a32042a32042a31f41a22042a32041a22042a32343a52141a32142a32142a32142a22142a32042a31f41a22042a31f41a22041a11f40a12041a02342a22341a12142a22142a11f41a22042a32142a32142a31f40a12142a22142a22142a12142a12042a02041a02142a11f41a02041a02041a02041a01f409f2041a12143a22041a12041a12242a12341a12141a02141a11f41a11f41a02041a12041a02041a02041a02041a02041a01e419f1f41a01f42a02142a022419f21409f2241a020409f2041a02041a02041a02242a022419f21419f2041a01f41a02041a02041a02041a02142a12141a020419f1f409d2041a01f41a01f42a01f41a01e409e1f409f1e3f9e20419f1f409d1f409d1f409d20419e1f409d21419e1f409d20409d20419e1f409d20419e20419e1e3f9c1e3f9c1f409d1d3e9b1f409d1e3f9c1e3f9c1e3f9c1e3f9c1e3f9c1e3f9c1e3f9c1d3e9b1e3f9b1f419d1e3f9c1e3e9b203f9c20409b203f9a1f3f9a1e3f9a203f9a1f3e991f3e99213f9b213e9a203f9a1f3e991f3e991f3e991e3d981e3d981f3e991f3e991e3d981f3e991f3e991f3e991e3d981e3d981f3e991f3e981e3d971e3d971e3d971e3d981e3d981f3e981f3d97203e981f3d971f3d971f3d971e3c961e3d971d3c961d3c961d3c961d3c961d3c961d3c961e3d951e3e951c3b951e3d971e3d971d3b951f3d951e3c941e3c941e3c941d3c931e3c941e3d951d3c941d3b931d3b941d3b931e3c941c3a921c3c931d3c931e3c941c3a921d3b931e3c931d3b931d3a921c3a921d3b931e3c941b3a921c3b921c3a921b39921c3a921c3a921c39911d39911d38901c39901b3a8f1d3b911c3a901b39911c3a911b39901b398f1a39901c3a911d39901d388f203b921d388f1f39901e398f1d398f1b398f1a398f1a388e1c388c1d398d1c388d1d3a8d1c398e1b388d1d398d1d388d1b388e1a378d1b378d1c378e1c378c1b378d1d398d1c388c1d378c1d378c1b378b1b388c1a378b1b378b1c378c1b368b1a36891a358a19358919358a1b368a1b35891c378b1b35891a368a1c368a1b358a1b35891b35891b35891a36891a36891b36891b35881b34871b35891a34881a34881a35881a35871a35861a35861a35861a35861933861a35861b34861b34861a33851a34851933851933841932841a33841933841932841a34861833841832841933841932841a33841933831a34841833821833821732811932821831811932821a33831932821831811831811831811a32801a317f1a317f1b32801a3180183181152e7e17317f19327f18317e18317e18317e19307e17307d18307d18317e172f7d172e7c182f7d172f7c172f7c162e7c172f7d172e7c182f7c162d7a172e7b182f7b172e7a172e7a172e7a172e7a162d78162d79172e7a172e7a162d79162c78162d79172d79182e79162d79162d79152c78162d79152c77172d78162c77152b76162c77162c77152c76152c76172c76182c77162b76152a75152a75162a75162a74172b74162b74152a74172a74162a73152a73172b74142974162a73152972172b72162a72152972152972152971152971162a7116297115297015297115297115297014286f14286f15276f15276f15276f15286f14276e15276f14286f13276e15286f15276d13266c14266d15276e15286f15286e14276c14276c12266c12266b13276c13266b13266b13266b14256b13266b12256a13266b13266b13266b13266b13266a13266a13266a13266a13266a11246912256a13266a11246811246813266a1225691224681325681324691424691325671325671426681224661225681124671224661225671325671123651123661023661022651123651123651224661224661123651022621022641122640f22641023641123631123631123621022601122611122611221621221621222631322631022600f21600f21600f215f10215f10215f0f215e10205e0f225d0f205d11205e101e5c12205d11205d11215d11205c101f5c101e5b101f5b0f1f5b0f1f5b0f1f5b0e1e5a0e1e59101f5a101f5a0f1e590f1e59101f590e1d580e1d58101e58111d57111d55111d570f1c55101d550f1c540f1b550f1b540f1c530f1c520f1c51101c510f1a500f1a500f1a500f1a500f1b4f101b4f101a4f101a4d0f194c101a4d0f194c0f184a101a4b1018490f174811194a1119481018480f17460f1746101747101746101745101745101745101744111744111542101441111542111641
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
383f304146323f46323a463431402e2a3a28253325292f23373127312c2b2226361f2c3d22353a1f3032202e37263542253a441b323b17292b16232116201a19221a1c231a242819262a1929301e2d3922344328384a2e38483236453936483f364a3935473131422c323f2b35412d39422e3f45303e422c363c252c361f29331e232f1a27321e3a3d2a47453242442f353b2739412a414c30404d323f4b363d4a393b463f34403825302e1f2a331c263c1d2a45202f4726354d2a395a2d4065314766364c5b3a4e513a4c5239495b31446b2e467a29448b26429923409b203f9c1e3f9d2040a02240a0213f9f213f9f213f9f203e9f2040a11e3fa01e3fa01e40a01e40a12141a22141a21f40a12041a22041a22041a22142a12242a22142a21f40a12041a12142a22041a12041a22041a22243a42142a22745a13349a030489e24439f1f42a12042a32042a32243a42243a42344a51f41a21f41a22042a32243a32143a42143a42143a42344a52244a52143a52143a52243a42343a62243a62143a62143a52043a52143a62042a42243a42142a42042a42043a51f42a42144a52144a62043a52143a52143a52244a62244a62143a52042a42042a42244a62143a52143a52042a42244a62244a62244a62244a62143a52244a62345a72445a62344a42244a52244a52143a52143a42244a62243a62242a52143a52043a52145a62144a62143a42244a52244a52244a62143a52244a62145a62044a52043a52345a72244a62244a62244a62143a52244a52144a62144a52345a62143a52345a62244a52244a52144a52042a42143a52345a62143a42244a52244a52143a42244a52244a52244a52345a62244a52143a52245a52044a42144a52143a42144a52244a62244a62042a42143a42143a42143a42043a42144a52346a52345a42244a32244a52244a52043a42043a52044a52044a52044a42345a52143a32144a42042a32042a32244a52244a52244a52143a42042a32043a42044a42144a42143a42244a52143a42144a42043a42143a42244a42143a12144a22144a42244a52144a42143a42042a32043a22043a11f43a32042a12144a32144a31f41a22042a32143a22043a12043a12043a12043a22043a12043a11f42a01f43a11f42a02043a12043a12143a22142a12142a01f42a02042a11f42a02042a11f42a02043a01f42a02043a120429f1f419f2143a21f42a02042a02041a020419e20429f1f419d20429f20419e21429f1f409d20419e20419e20419f1f409e20419f20409f1e409e1f419d20419e1e419d1d409c1e409c20419e1f409d1f409d20429e1f419d1f419b1e3f9a1f419b1f409a1e3f9b1e3f9c1e409c1d3f9a1e409a1f409c1f419b20419b21419b20409a1e3f991e3f9a203f9a1e3f981d3f981d3f981c3e981d3f981e3f98203f991e3d981c3e981e40991f3f991e3d971f3e981c3d97203f99203e98203e981f3e97203f971f3e981f3d971f3e981f3d971e3d971d3c961d3c961e3d971d3c961e3d961e3d951e3d971e3d971e3d971e3c961d3c961d3c961d3c961f3e981e3e951e3c941d3c951c3b931d3d941e3c951d3c951e3d961d3c951d3c961c3b941e3c941e3c941e3c941c3b931c3c931d3c941e3c941d3b931d3c931d3b931d3c931c3b921c3b921e3c941d3c941d3c931e3c921e3c921d3b911e3c921b3b911a3a911c3b931b3a921b3a911c3a911c3a901b398f1c3a901c3a901b3a901c3a901b398f1c398f1e3a901d388f1d398f1d398d1d398e1e39901d388f1b388f1b398c1b398c1b398c1b388c1a388b1a378b1d398d1d398c1c388c1c388c1b388c19378a1a398c1a388b1b378a1b378b1a368a1a36891a358a1c378b1b36891c368a1a36891a37891b37891a37891a36891c368a1b37881b35891b35891b35891c368a1a35891a34881a34871a35861b35881b35891b35881a35861a35861a34861933871833851a35851834841935851a36861934851833841a34861a33851a33851a33851a33851a34831a34831833821833821833821933831a32821b33831932821932821932821a33831a31811a31811931811832801933801832801a328218318118328017328017317e19317e19317e18317e18317f18307e17307d162f7d18308018307e172f7c16307d16307d172f7c18307b182f7b182f7b172e7a172e7a172e7b19307e182e7c182e7a182e7a192f7b182d79182e79182e79182e7a162d79172d79172e7a162d79152c78172e79172e79172d78162c77162c78162c77152b77172d78172c77152c76142c77142b76162c77172c77152c76152a76172a76152a74172b75172a73172b74172b74162b74152b74162b74142972142a73152b74132973142a73142a73132972132971152970162a71152970152a72162a7115297014287115297015297015297015297016287015276f14276f17297116287014286f14286f13276e13276e15286f13276e13276e13276e13276d14276c15286d15296d14276c14286d14276c15276d15266c13266b11256b13266c13266b12266b11266a10266b12276a11266910246713256a13256a14276b13266a11256911256a0f236811256811246813256914266a1224681225671023651224671124681124671123681325671325671123651324651224661224661123650f23641024631124631224641123631123631123621224631123621023621224631223611323611222601122601122600f215f10215f10215e12235f10215f0f215f0e205e0e205d0f215e0d205b0d205b0d205b0e205b11225d10205c0f1f5b10205c10205b0f1f5b0f1f5b101f5b0f1e5a101f5a101f5a101f5a0e1d580e1e570e1d560e1d560f1e57101c56101d54101d54101d540e1b520f1c530f1c53101d530f1c510f1c51101c50101b50101c500f1b4e101b4e101b4e0f1a4d0f1a4e0e184c0e184b0f194c0f194c0f194a0f194a0f184a0f18481119481119480f1747111846101745101745111846101745
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
1f261d222d202934242d3827333f29323e282a36262833253034263e37293b35292a2e2a212e3023333523333124332d23332a1e2f23212e222933262530221c25191921161a23191a24191a241a1e292128322c323d3a32413d2e3b392e38382a34342a38372f3f353644333d4936464d3b4c4d3c474535393a2d4640334e4737383b27272f1f2b35232b36232933202b34212c3622343e293b4732404c38424f403f4e4b394b5338495536464e354148353e49323d4d2c385027355b293b682e416f32467732497f2c468425418e223f9423409724429d2344a52042a42143a52245a62244a62244a62345a62245a72246a72347a82246a72346a72446a92447a92246a92346a82547a92345a82446a82447a92446a82446a62749a0334d923d517d4055623d544b3c51374150354d543a4e51354a4d2d3d4125383d233640243b462a414d33424e3a46504746545f3b5482304e9c274aa82548ac2247ab2349ae2348ac2448ac2449ae2448ad2548ad2548ac2548ad2349ad2248ac244aad2449ac2649ad2447ab2447ab2548ac2649ad2549ad2649ad2549af2549ae2448ae2549af2448ad2649ad2549ad2448ac2548ac2649ad2548ac2649ad2548ac2449ad234aae2248ac2147ac2248ac244aae254baf244aae244aad2449ac2649ad2548ac2649ad274aae274aad254aad2449ac2449ad2349ad2349ad2349ad2248ad2349ad2349ac2349ac2248ab244aad264aad2548ac2449ac2349ac2349ac244aad244aad2349ad2449ac244aae2449ad264aae244aae2349ac274cac3756a75163975c6c7f5d6d6c5465604f5f616e6a6f967b66a88867a1875f8e7d54756c5263645d52606a485c7d45598f3955982f4fa3284ca9254aaa244aab2349ac2349ac2349ac2348ab2349ac2349ac264aad264aac2549ac2449ac2349ab2248ab244aad244aad2248ab2349ab2549ac2349ac2349ac2349ac244aac2449aa244aab2349aa2349ab2349ab2248ab2448ab2348ab2147ab2248ab2349aa2349ab2248ab2449ab2348a92248ab2349aa254aab2549aa2449aa2348a92349ab2449aa2449aa2448a82148a92248a92448a92247a82549aa2549a92549a92449aa2548aa2347a82348a92348a92348a92248a92147a82248a92247a82349a92248a92247a82449aa2347a82448a92247a82147a82046a72248a82248a72348a82347a72347a72548a92548a82347a72447a72246a62347a72246a62347a62246a52347a62246a52246a62448a72246a52347a52347a52347a62246a62246a62146a52146a62246a62245a62446a62446a62245a52246a42246a42145a32347a62245a32144a22245a32346a42346a42346a42145a32145a42145a42044a22044a22145a32044a22245a32144a22145a32245a22144a22144a22144a12144a12044a11f44a02044a02145a020439f2144a12244a12143a02144a020439f20439f20439f21439e20429e20439f20439f20439f1f429e1f429e20439e20429e20439e20439d21449e20429e21439e22429d1f419c21439e1f429c1f429c20429c20429c21439d1f429c1f419c20429c20429c1f419b20419b22429d21419b21419b22419b21419b21419c20419b1e409a1e409a1f419b1f429b1f419b1f409a1e409a1e40991e40991e40991e40991f419a1e3f981f3f9921409a203f99203f991f3e99203f981f3e971f3e97203f991f3e982040981f3f961d3d961e3d961f3f961f3e95203e96203f981f3e961f3e96203e961e3c94203e961e3e961d3d951d3d941e3e941d3c951d3d961f3e961f3e951e3d941d3d941e3c941e3d921d3b911e3d931e3c921d3b911e3c921c3c911d3b911d3b911d3b911d3b911e3c921d3b911d3b901c3a901d3b901c3b8f1e3c901f3b8f213c90203b8f1f3b8f1e3a8e1e3a901f3a901f3b8f1d3a8e1b398d1b3a8e1b3a8e1c3a8d1d398d1d398d1d398d1d398d1e3a8e1d398d1d398c1d398c1c388c1c388c1e388c1d388c1d378b1e388c1d378c1d378b1e388c1d378b1c368a1b378a1c388b1d378a1c36891d37891d378a1d378b1c368a1c378a1b36891934871935881a36891a35881a36881a35871b37871b36871b36861b34861a34871a35861a35871a35871b35861b34851933841a34861b34861b34861b34841a33831a33831a34831a35841933831933821933831a33831932821932821932821831811831811933811832801933801a348119338019338019338018327f17317f18317f18327f19327f19338017317d17317e18327f17317e182f7d1a317e19307e19307e19307e19307e19307d18307c182f7b182f7b182f7b19307b192f7b19307b172e7a182e79192f7a182e7a182e7a182e7a162d79152c78162d79162e78172e79172e79152d79172d79182e79162d78172d78172e79182e79162c77162d77172e78162c77162b76172c77152b76172c77162b76162c77152b76152b76142a74152b74142a73162b74172b74152b74142a73152b74152a73142a73132972142a71132a70142a70162a71162a71142970142972152971152970162a71152a72152a71132a7015297015286f14286f14286f14296f13286f14286f14286f15286d14276c14286d15296e14286d13276c14286d13276c13276c14276c14276c13266a14276b13266a13266b13266a12266b12266b12266b13266a12256912256912256912266a1225691225681225681124681225681124671224681023671023671225671225671024671024671024651224651123651023651022641123641024631024631023630f22620f23620f23620f23620f23600f23600f21600f215f0f215f0f215f1022600f205e10215f0f215f0e215d0e215c0e215c10205c10205c10205b10205b10205b101f5a11205b0e1d58101f580e1f570f1e570f1e570e1d560f1e570e1d560e1d560e1c550f1c56101d560f1c53101c530f1c52101c51101c510f1b50101b510f1a500f1b50101b4f101a4d0f194d0f194d0f194c0f194c0f194c
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
1a1e17191f171b23191f2b1d2833222b38242b39252a38292e362d3d3a2e403c2f2e34312331371f30361d2d2f1b2b281a2a201e2e1e222f1d202b1a1a23161a22171a24191b251a1f2a1f2532252c39293941314047353c432f363e2c333f2f333e32334032303d332e3b34373f3a534f4a70635d73655e6b5d51574d3b3b3927292d20262f21262f202730202731202832202f36253b3d2e454639494c433c43492b3c58293c672a3f73243d7a233b80213b89233f9524439f2544a52244a62244a72345a92245a82246a92346a82446a82446a82446a92345a92245a92246a82246a82448a92347a82347a92346aa2245a92346aa2346aa2447ab2346aa2448ab2548aa2447a92447ab2548ac2548ac2447ab2547aa2648a52e4c923c556a4158493d53393a4f37384a2f3545293641263b40293c3e2a343925323c263a452d414e37404f4438495b2f457f2c479d2a4aa92649ac2548ad2649af2548ae2449ae264aaf2449ae244aaf264ab0274ab02549af2549af274bb0254aaf264ab0254aaf244aaf274aaf254aaf254bb0244aaf264cb12449af254bb0264bb0264aaf254aaf2449ae254aaf264ab0254aaf244aaf2549ae264aae254aaf264ab02549af274baf284cb0264bae264baf264ab0234ab1244bb0254bb0254bb02449af254bb0244aaf2449b0254ab0254aaf244bb0254cb1254bb0254bb0254bb0264cb0254baf264caf254bae254aaf284baf264aae274baf254baf234aaf244aaf2349ad254bae274bb0274bb0274ab0274cb1264baf254bb0264caf2b4eab3553a3475e9854668b5164795163655462535e664b6366465d5e3c5c5e38595c3461633e67694f707562767d74748082566d8f3f5ea2284eaa254bae254bb02349ae2349ae2249ad254aad254bae234bad2449ad2349ac254bae274bae264bae264aad244aad254aae264bae254bae234bad2149ad244aae264cad254bae254bae254baf254bae244aad244aad254bae254aae244aad244aad264bad244aad2349ac244aae264aae254aae2349ac2148ad234aac244aad254bad244aad244aad244aac244aac2349ab244aac244aad2349ac244aac264aad2349ac244aad244aad2248ab244aab244aab2249ab254aad2349ac2349ab2349aa244aac2349ab2248a92149ab2149ab2249ac2449ab2349ab2248aa2349ab244aac2349ab2248aa244aab2247a82348a92348a9254aa92549a92448a82448a82248a92448a82347a72549a92549a92448a82449a92248a72348a82247a72347a72246a62248a72147a62348a82448a82448a82448a82448a82548a72447a72246a62246a62246a62246a52448a62347a52246a42246a52246a62246a52347a62246a62347a52347a52145a52145a32346a42145a32146a32246a42246a42346a42145a32245a32144a22145a32044a22044a22246a42145a32144a22145a32044a22044a22044a32145a22145a120439f20439f20439f2145a120439f20439f20439f20439f2044a02144a020439f1f429e20439f20439e20439e20439e20439e2143a020439f20439f2144a020429d20429c21439d20439e22449e20429d21429d21439e20439d22449e20429c21439d21439d20429c20429c21439d20429c1f429c20429c1f419b20429c20429c22429c22419b21409a20409a20419a22419b23429c21409a1f419b1f419b20409a21409a21409a21409a1f3e99203f99203f992041991f40981f3f981f3f97214098213f991e3d972040991f3f981f3e981f3e981e3e981d3e981e3e981e3d971f3e961f3d951f3d951e3d941e3e95203e961e3e951f3f961e3d951d3d951f3d95203e951f3d941f3d941e3c921f3d951f3d951e3c941e3c941f3d951f3d94203b92203b921e3b921f3c921f3d921e3c921d3b911e3c921d3b921c3a901c3a901c3a901c3a901d3b921d3b911b398f1c3a8f1d3b8f1f3a901d398f1d3a8f1c3b8e1c3b8e1e3b8e1d3a8d1b398d1b398d1e3b8f1b398c1d3a8e1d398d1c388c1e3a8e1c388c1d398d1d398d1b378b1b378b1c388c1d388c1d388b1d388b1c388b1c378b1a36891d378b1d388c1d378a1c388b1a36891b378a1b35891b35891c378a1c37881b36871c36881b36871b37881b37871a35861934851c35871d36881b34861b36871a35861b35861c36881c35871b34861a35861833841934851a35851934831a34831933831934831a33831a33831934831833821833821934831933821833811a338119328218338018338018327f19338017317e18327f18317e19338017317e18327f19338019338018318019317e19307e1a317f192f7d1a307e19307d1a317d19307c19307d182f7d18307c1a317d172f7b172e7a182f7b19307c182f7b19307c18307b182f7b172e7a172e7a172f79172f79182e7a172d79172e7a172e7a152d79152d79162e78172e78182e79172d78162c77162c77162c77162c77162c77172d77162c76162c75152b74172d77162c75182c75182c75172d76162b74152b73152b74152b74162c73162b71172b74172b74162a73162a73162a73152a72132972122971132972142a7214297015297014286f152970162a7114287014287014297014297014286e14286d14286e13276e13276d13286e15296e15296f15276f14286d13266b15286d16296e15286d14276c14276b13266b13266b12266b13276c11266912276a13266a12256912256912256912256913266a1225691124681326681224681224681324691224681224671124671124671124661124651024641125641125641024640f23641024631023620f236210236211246310236211236311226110215f1022601122600f215f0f21600e215f10235e11235e11225d11215d12225e10205c10205c10205c10205b10205b101f5a0f1f5a0e1e5a0f1f5a0f1e590e1d58101f580f1e570e1d560e1d560e1d550e1c540f1e550f1c53101d540f1c53101d520f1c510f1c510f1b500f1a50101b50101b500f1b4f0f1a4e0f194e111b4e
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
1d29221d282020291d1f23191b1e171c21191b23191b24181f2a1a26311f2d331f2f311f252a1b1821161723181b271a212c1c202c1c1d25181d241820281c21291c232a1e262c202b30272d332e27353721314225345325376825387c273d85253d80283c7625376d2234602833413a3536463d3c3d38382b2c3e1a244713204713223a111e2e131e28171f271d25332633462e3d5634456036486f31488629479d2548a92548aa2548ac2549ad2448ab2549aa2448a623459c1e3f861d3b6f1f3b7124407a2945822f4b88304d8f2c4b962a4b9a28499f284bab264bae264cb0264cb0264ab02549af2649af274ab0274cb2254bb3244ab0274db2264cb1264cb1274db2274db1264caf284eaf2e50a5395592425b71495e51485a42495b3f4255373b4c2f3a452b4448314448323c452e37432a3a472e3e4b3139473733444f32487b304ea32c51af274eb2274cb4284db5274db4294eb5284db5294fb3274db3264db3264db2274eb4294fb62b4fb52b4fb7284db5284db5294eb62a50b52a50b5284fb5274eb5274eb5274eb5284db52c50b62a4fb5284eb52a4fb52a50b5294eb52950b6294fb5284eb4284fb62a51b7284fb5264db4284fb62a50b6294eb6294fb62950b6274eb52950b7274eb5274eb5284fb6284fb6284fb6274eb5284fb72a4fb7274eb5284fb6294fb52950b52a4fb5294fb5284fb42950b52950b62b51b62b51b62950b32d50b13555ae3a57a7475f9150657a506176515e7d71687993776fa48567a2866b7f737856608b3e57983554a23555a638569c3d5892455981475b5d4d5f4e51615453645655614f5d665158624c57624b5360455b68486471526271655a6b874960a23054ae2650b2254fb32850b5284fb4284fb4284fb42950b5284fb4284fb4284fb4294fb3284fb3294fb2274eb32950b5284fb4274fb42650b4264fb4274eb4274eb5284fb6294fb4284fb32950b3284fb32a50b4274fb3274fb4284fb4274eb2274eb3274eb32950b3284fb3294fb4284eb3284fb4284fb42750b4284fb3274fb1264fb3264fb3254eb3254eb3254eb2274fb3274eb2274eb3274db2284eb22a50b3284eb3284eb3274eb3264db2244db2244eb2274fb3274fb1274eb1274fb1264eb0284fb1274eb0284fb2264db1264db2254cb1264db1274eb1254daf264db2274eb2274fb1264eb0264db0264eb0264eb0264eb0264db0274db0264db0244cb0254caf254cb0264eb0254daf264eb0274fb1244cae244cad254dae264eae264daf254dad244bad254daf254bad254bae264cad254bac244aab264cac264cad264cad264bac254bac254bac254bac274dae254bac244aab264cac254bac2349aa244aab244aab264bac274cac264aaa2349aa244aaa234aaa264aaa2449a9274bab284baa274bab2349a92449a9244aa92549a92449a92449a82349a82249a8234aa92349a8244aaa2249a82148a7234aa92349a92348a82549a82549a72448a62349a62249a72148a72448a72348a62347a52347a52448a62448a62347a62147a52448a62347a52448a62548a62548a62447a52246a42346a42346a42246a42246a62145a42447a52447a32447a42347a52246a42347a32447a32447a32346a22346a22447a32346a22447a32346a22346a22144a02346a22245a12246a22245a12346a22245a12245a02144a02245a12245a121449f20439f20439f20439f21449f21449e21439e23459f22449e20439d22449e22459e21439d21439d24449e24439e22439e21439d21429c22429c22429c21429c21429b20429b21439c1f419a20429b1f419b21419b20429b21429b20419b1f409921419b20419a1f4099214098214098203f982140992040981f3f972040982141992040971f3f96204097204096204097213f95213f95213f961f3e961e3d94203f961f3f961f3e952040951f3f95203f961e3d931f3d931e3d941f3d951f3d951f3d94203e941f3e941f3e931e3d931f3d93213c93213c93203c921f3b92203c921e3c921e3c921d3b91203c921f3c931d3b911e3c911d3b901c3a901e3b91203b901f3b8f1f3b8f203c901f3b8f1f3b8f1f3b8e1e3b8e1c3a8d1d398d1d398d1e398e1f398d1e3a8e1d398d1e398d1d398d1c388c1d398d1c388c1d388b1d388c1d388c1e388c1f398d1d388c1d398b1d378b1d378b1c368a1d378b1d378a1d38891c37881d37891c378a1c37881d38891c37871d38871c37871b36871c36871d37891b36871a36871b36861b36861b36871a35861a35861b35861b35851a35841b34841b34841934831934831a34831b33831c34821b35821a34821b35821b34821b34841933821933811933801932811932821831811932821b32801b338119328019338019327f19327f19327f1a317f18327e18307c1a317d1d337e1b307c1a307c19307d19307d19307d17307c17317d16307c162f7c19307c1a307c1a317c18307b182f7a172e79172e79172e7a19307b172f79172f79172e79182f7a172f79182e79182e79192f7a182e79172e78172d78182c78182d78172d78182e79172d78162c77172d77172d76172d77162c75172c75182c75192d76182c75172c75172c75172d76192d75172d73162a72182c75172b74172b74182c73182c73172b72162b72182b73172972172971152970162a71162a71152970162971162870152970152a7015297014296f14286f15296e14276d15286d16296e15286d14276c14286d13276c14276c13266b13266b13266b14276b14276b14276b14276b14276b13276a13276913266912256914276b12256913266a1226691225671326681225661225671225671326681125661225661225651124651124651224641224641225641024631124641124641123621123611022601022601022600f215f0e215e10225d11215d12225e11215d10205c11215c12215c12215c101f5a10205b0f1f5a0f1f5a10205a101f58101f58101f580f1e570f1d560f1e55101d54101d54101e55111e55101c53
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
1a23261b25241c2726212e2b232f281e28211b2119191b151c1e181e20191e1f1925261d2a2b20242a1f1e2a1e1c271d182018161a131e201826271b22261a1c22181c221c1d27251b29331f2d4322335021346321367c243c922444a22547a72447a72346a31f42931d3a72283647443d425148484644453136421d2c3b12232e121e25141d21141c201720291c28392231442939512b3d6d2d438a2d49a12749a92349ab254aad264bab264aa9274aa62247991c42821d3e631d3b45213938293a3036422f3e4b3a404d47404f54415362445770475c7f435a923855a22d4fad284eb2284eb3274db2264cb1274cb3254cb3264db2264cb1274db2264cb2294fb6284eb3274eb3294eaf2d4fa733508f3953693e52433c4f33394c2f3c4d323d4b314c52355b573c58553d454d32414e324855394e5a404a574d41526c374e932a4ead284fb42950b52a50b5294fb62a50b8294fb62a50b7284fb6274eb52a50b7284fb6284fb62950b72850b72950b62a50b52c50b22d51af2d51ae2c51b02c51b22a50b42950b8254fb6264fb62a50b72a50b7284fb52a51b72850b72950b7294fb7294fb72950b92951b82a51b8284fb62950b72a51b82a50b62b51b82950b72950b72a51b82950b72b51b92b51b82b52b92a51b82a51b82950b72a51b82950b8284fb72950b72950b72950b72a51b82a51b82b51b62a51b62750b72950b72950b62b52b52d53b43455ac425c8f465f6e465d5d4e604c606c4c716f538473508a754b977d53977f588f794f89765188795f867c67777b65747b64747a5f73795b6c776160735a546b5952645f5f655f63664f5b61464f5b3d54614459694e6272666b7b816075964665a72c53b02950b52950b52a51b72b52b82a51b62a51b72951b62950b52950b72950b52a51b62a51b62951b72650b62850b72950b72950b62851b72851b72850b62950b72950b72852b72751b62951b62950b52a50b5284fb42950b5284fb32950b42951b6274fb42650b42650b52650b52b51b52c52b62b52b62b52b72c52b72a51b62850b5284fb42950b52850b4264eb2284fb42850b52950b52950b6284fb32950b52950b52851b52751b52850b32a52b42951b3284fb4274fb22750b42750b4284fb32a51b32850b32650b4254fb32851b42750b4264fb32850b2274fb12951b32951b32951b32850b3264fb3264fb32850b22850b22850b22850b3274fb12750b2274fb2274fb2274fb32952b4274fb12850b2274fb1274eb1274fb0264eb0274fb0264eb1274fb2274fb1264dae274faf284eaf284eaf294fb0274eaf254dad254dad254dae254dae274dae284eaf284eaf264cad254cad274dae274cad274cad274dae254cad254dad264eae254cad254cad244cac244cac244cac244cac254cad254bac244bab244aab274cac274cac254cab244aab234bab234aaa244baa244baa254bac254aab244aab244aab244baa244baa244bab234aaa244baa244baa244baa244aab2349a82349a82249a8234aa92249a8244baa264aaa2449a92249a8234aa9234aa92249a8234aa92449a8244aa92249a82249a7234aa72249a62248a62549a72448a62448a62448a62347a52347a52448a62246a42347a52447a52447a52448a62348a62448a42548a42447a42346a42347a52347a42347a52145a32246a42246a42246a42246a42346a42447a42246a42145a22247a32246a22144a02245a22245a12245a12245a12245a12245a12346a02144a02144a01f429e20449f1e439f2044a02245a021449e21429f20429d22439d23439e21419c22439d21439e21439d20429c1f419b20429c20429c20429b21429b21429b20429b21429b20429b1e409a22439c23429c21409a23429c23429c22419b21409a21409a1f3f99203f9920409920409920409a214099214099204098214199204098214198203f97203f962140982040971f3f96203f971f3d951f3e95213f96203e95203f951f3f941f3e94203e961f3e941f3f941d3d941d3d941d3f941f40961f3e951f3d951e3c941f3d941f3d931e3d92203e931e3d921f3d931f3d921e3c901d3b911d3b911d3c911d3b911e3c921e3c921e3b90203c901e3a8e1e3a8e1f3b8f1e3a8e203c90203c901e3c8f1d3a8d1e3a8e1d398d1d398d1f3b8e1e3a8d1d398c1d398d1e3a8d1e3a8d1e3a8d1c3a8d1d398c1d398c1d388b1f398d1e398d1c388b1d388a1d398c1c378a1c388b1d378b1d378b1d378a1d38891d38891d37881c38881c37881c37871d36861d36881b36871d37881c37881b36871b36861c37871b36861b36871c36861c35851c36851a34841b34841a33831b34841a33831b34841b35831c36831b35841a33821a34831933821932821b34821933811a34821833811833821933831a33821c32821b32801a31801933801b338018317e18327f19338019327f17317e1a317f19327f19317e18317d19317d19327e19327d19307c19307c1b317d19307c19307c1a317c182f7b1a317d18307b172f79182f7b182f7b182f7b182f7b182f7b182f79192f7a182e79162e78172f79172f79162e78172e78172f79162e78152d77152d77172e78152d77172e78182e78172d76172d76172d76182d76182c75182c75192d76182c75182c75162b74172c75162b74172b73172b74172b73182c73172b72162a73172b72152b72132a71142b72182c73172b72162a72172b72172b7115296e162a6f142a6f13286e15296e14286d14286d14286d14286d13276c13276c13276c13276c13276c13276c13276c14286c13276b13276c12276b12276b13286b13276913266913266a1125681427691225671225671124661325671325671225681124681225671124661225661124641124651225661224641024631125640f236211246411236210226110226011236012235f12225e11225f10225f11225e12225e11215d10205b11215d11215c0f1f5a11205b11205b101f5a0f1f5a0d1d580e1e58111f58111d56101d54101d54131e55
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
1e32361c2c2b1d29231f251c22251825281a262a1c292d212c362527352123331b25351c28391f2e3c233940283e412a373d24343a203039202c381e3c472c545d3d58653f54633c51613848562e3a492729371c1c2714131b0f0d140d0e150e0f140e1518121d20192a2a223434293b3d33393f3238402e3542283341263140253543283f482f5c563a6e563c634f353e4127333e2a313d2d2e3c3627374226365e273a74273e742a4171304475394f7d3b55853d568c38549035539736549d38578d3b596a3d544e444e3e454939424b3b3d4b3c384b3f394e3f3b51373f503040502f39462a363d2d5052564e5673334c512839262837252c3a272d3c2633422b3b47313e4b34404d3345523647543845533944543a3b4e363246332e433631453d364c3b3a4f2e354a282c3f212a3a1f2d3b2226331c29341e313a243d48303f50314456344c5c3752613b50603948583442522f414f2f47583947583f49533b4b4f394a4e3c414d44394f503b545a3b56633e5971455c7c3f5b703c5654475b495462477571507c714e737048637148526946425c37364d29354a273346252f40232a351e2b35202c3521323f252f4324273c2b30414f42547b4d65984b6bb34a6ec74c71c75675b75875995a778f5e789b647e9a5b76755772584c664a4f66425569405262494f5e4a4e5b3d485536414e303f492d3a44293c462a3a482c3e4e2d46562f485934455b394a5e3d4e604053623f5a65414e5837444e3238462e38462f404b334d55365c6540606b45606d436271446673446a7345606a3e5965375a66395864396a6538a0764da473557b63414b4a2b3f492b414f2f414f2f45533548564749585b4e5d61566665536b794f6e995171b24a70c1446ec9416dce416dd33f6cd5406cd4416dd5416cd4426ed5416ed5416fd63d6dd43d6dd43e6cd43f6dd4406ed53f6cd33e6cd23e6cd33e6cd43f6cd5406dd5426ed5406dd4406cd33f6cd3406dd4406dd4416cd4416cd4416dd43f6bd43d6bd33f6bd43e6ad3406cd4406cd4416dd4416dd4416ed53d6cd43c6cd33e6ed53f6dd43e6bd33f6cd43e6cd43c6cd43c6bd33e6cd33f6cd33f6cd33e6cd23e6cd33b6bd23e6cd33f6cd33f6cd33e6bd23e6bd2406cd4406dd43e6bd23f6cd33d6bd23c6cd23d6cd23f6cd33d6bd23e6bd2406bd3406cd33d6bd13f6cd13c6cd23d6cd23c6bd23c6bd13e6cd23e6bd13e6bd13e6cd23b6bd13d6ad13d6ad13e6bd23f6bd13f6bd13e6bd03b6acf3c6bd13b6ad03e6bd03d6acf3e6acf3d6acf3d6acf3d6acf3c6acf3c6ad03d6ad03d6ad03c6ad03b6ad03c69cf3c69d03d6ad03b68ce3e6acf3d68ce3e69cf3e69cf3e69cf3c69cf3c69ce3b68cd3d6acf3c69ce3c68cd3b68cd3b68cf3a68cf3b68ce3b67cc3c68ce3a67cd3c69ce3b68cd3a67cc3a67cc3966cb3866cc3966cc3c67cd3c68cd3b66cb3b66cb3a67cb3a67cc3a66cc3865ca3966cc3964cb3965cb3966cc3865ca3964ca3965ca3964ca3863c93764c93865c93a65c93964c93964ca3863c93964ca3964ca3a65cb3762c83863c83964ca3662c73562c73664c83763c73562c63763c73663c73462c73662c83762c83661c73661c73663c73661c63361c63562c73560c63661c63761c53661c53662c53561c53561c43460c43560c63561c43460c33460c43761c53560c4335fc3345fc43460c3335ec2335ec1345fc3355fc3345ec2335ec2335ec1325ec1335fc2335ec1325ec0315dc0335ec1335dc0335dc0335dc0345ec0335dbf325cbf335cc0325dc0335dbf325cbe315cbf305cbf325cbf335cbe335bbe325bbe315bbe305abe305abd305abc315bbd325abc325abc3059bb2f59bb2f59bb2e58bb2f59bb305abc3159bb315abc3059bb3058ba2f58ba2e58ba2d57b92e58ba2d57b92f58b93058b92e57b92d57b82e58b92d57b82f57b92f57b82d55b72d55b72e56b62e56b62e56b62c56b62c55b52e56b62d55b52e56b62d55b52d54b52d55b52c54b42c53b42e54b52d53b42d54b52b53b32d54b42c53b22e55b42d53b22d53b22b52b12c53b22b51b22a50b02a50b12d53b32a51b12950b02b52b12b51b02a51b02a51b02b52b12b51b02c50af2a50af2950af2950af2950af2951b0274fae284fae2a4fad2c50ae2c50ae284eac294fad294fac2a4faa284da9284eab294dab294dab294dab284caa294daa284da8284caa284ca9294da9294ca8284ca8284ba7274ca7274ca8274ca7274ca7274ba6294ca72649a5284ba6274aa4264aa3254aa3264ba42549a22649a3274aa42649a32649a52548a42548a32547a12447a12447a12447a12447a12547a12547a123469f2447a12548a22447a12346a02446a023459f24469f24469f24469e22449d23459e23459e24459e25459d24449b24449b24449c24449b25459d25449c24449a24449b23439c23439b23439a23439a23439a224299214299244399244298234197234297234197213f96224197224297234197224096224096203f95224096224096203f95214095204095203f92214093203f92203e92203e93213f95203e92203e94213f93223e922140932140931f3e91203d91213c90213d90203c901f3c90203d901f3b8f1f3b8e1f3b8e203c8f1f3b8e1f3b8e213c8f213c8f1f3a8e203b8e203b8d203b8d1f398d1f398d203a8c1f3a8b203a8a213b8b1e398a1f3a8b1e38891e398a1e388a1f398b1e398a1d38891e398a1d38881e38881e38881d38871c37871d37871e37871c37871c37861c37861b37861d37871c36851d36861d36861c35851b36851c36861a34841c35851c35851d36851c36831b35821b35821b35821b35821a34811b35821933801a34801a34801b347f1b337f1a32801c33811c337f1a317d1b327e1b327e1c327e1c337f1b327e1a317d19317b1a317c1b327d18307b17317c18317c18307a1a317c1a317b1b317b1b317b1a307a192f781a3079192f781930781a3079182e77192f77192d761a2e76192e77182e75192d74192d74
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
232c20202a1d202b1d2732253440313c4739414f3f39493b39493e314032323c312e372d2c363028383522352e213226233124233224202c21262a242e2f2a2e312822291d1b211412170e0f140d151a131b24181d271a222e1d2532202733212532202831233139313845473c4f5b3c545c36504e2b474324403f1c34361a2c2419241a182017192016161f14151d121620151822171921171a2119191f1e1b24302430552a3d7b25438a2646892b4a933355a531558b2f4d612c42422837282936252835232835232733212831213337294443364c473b39372e282921252720282c24303a2b37452e3344282e3f202f3e202a3b2027351d2b341e2c331f2a321d2e3a223544283a4b2c3b4b2d38492b37482f2d3e2e23352b29372f323c363944443d495e42557f435d893e5c7b3a586735514c314b422c434122362e2537252638242539222d3e22384a2940532e3a4f2a38452749452850482d484a2d39472837492936492a364b2f3248322f42343240363840393d453a3843303b47333d4b37414e38414f3441503143523243583c384e3d30463a293e2b313f263440262c3823222d1d232e1f2e3a293a48323b4c3141532f52623c596a44576a4a586a5c637763708061718060647556516848425b3a47573950583c555b3c565738525235494f35414f38394c37344b3330463134483d3f515c3d55733956742f505d2d4b41344a3a3a4d404a5d46506544526a414e683b4c663a475d334e603753663a53693b546c3d516b3c4d653753673757683b586b3e5466395b6b3c5d6c3e51653b495c3445562e43542c41532b4d5e385060415062454f645149615c455c5940514b415244495d53596d735d76815c7a8654759c4c71bb4873d34675db4675db4574db4675dd4474db4575db4574dc4574dc4676dd4475dc4576dc4575db4776dc4777dd4675db4373db4374db4776dd4976dd4a76de4875dc4775db4575dc4575dc4474db4374db4475dc4575dc4675dd4574dc4474db4675dc4775dc4675dc4775dc4776dc4373da4575dc4574db4575dc4575dc4373db4375db4575db4676dc4473db4675dc4675db4575db4474da4675db4575db4676db4575db4575db4775db4575db4474da4374da4374da4576dc4374da4475db4374da4475db4474da4676dc4575db4474da4474d94474d94774d94474d94374da4475db4575db4574da4474da4273d94374d94374d94273d94274da4172d84374da4172d74273d94374da4272d84374d94172d84273d84273d84272d84171d74273d94373d94473d84473d84272d84172d84272d84272d84373d94171d74172d84171d74573d84372d74171d74171d74171d73f70d64272d84272d84472d64672d64471d64371d54572d64271d73f6fd54070d64170d64370d54371d54071d53e70d33d6fd33f71d44070d4406fd34271d3416fd33f70d3416fd44270d4416fd43f6fd33f6fd33e6ed23f6fd2416fd2416ed2406ed33d6dd13e6ed33e6ed23d6dd1416ed3416ed3406dd23f6dd2406dd23f6dd2406cd13d6bd03f6cd1416dd1406dd03e6cd03d6cd13e6ed23c6cd03d6dd03d6cce3f6cce3e6bce3e6bce3d6bce3e6bcf3c69ce3d6acf3e6bcf3c6acf3c69cf3c69ce3d69cf3e69ce3f6acf3e6acd3d69cc3e6acd3c68cc3e6acd3e69ce3d69cd3d69cc3c68cc3c68cd3b68cb3a68cb3968cc3a67cc3b67cc3b67ca3b67ca3a66c93965c93866cb3966ca3b65ca3a65ca3a65cb3a65cb3a66c93a66c83a66c93b67ca3a66c93864c83864c93965c83864c73964c73864c73764c83663c83764c73963c53963c73862c63963c63963c73862c73660c63661c73560c63662c63561c63560c53560c33660c43862c63660c43761c43861c43760c33761c53660c43660c43660c43660c4345ec2335ec1325ec1335ec1365ec3355ec2365ec0375fc1345ec0355fc1355fc1345ec0345cbe355dbf345ebf345cbe355dbf345cbe335bbd345ebe335dbe305abc315cbe335dbf335cbd335bbc325cbc305bbc315cbc325abc3359bb3259bc3159bb325abc335abb335abb3259ba325ab92f58b9315aba3159b93159b93058b83058b83159b93058b83058b82e59b82d58b92f57b73158b83057b72f55b63057b72f57b72f57b63057b62d55b42d55b52d55b52e56b62f57b62f57b62d55b42d55b42d55b42c54b32d55b42d55b52b55b42d54b32e55b42c53b22c52b12d53b22b52b02d54b12c52b02e54b22f53b12c52b02a51b02b52af2b52af2b52af2c51af2a50ae2a51af2a51af284fad284fad2950ad2b4fad2b4fad2b4fad294fac284dab294eac294eab284daa284eab284eab294eab274da9264ca8264daa284daa284da8284da8294da9294ca8284ba72a4daa284ba8284ba7294ca7274ca7284ca7264aa6274aa5274aa4274ba52548a2274aa42648a22648a22749a3284aa4284aa42648a22749a32648a12749a22649a12549a12548a02648a12547a026489f25479e26479e27469f2447a12548a123479f22459e25469e26479e24469d23459d23459e23459d22449b24459c26469c30498e545b862e458d555c8b5a547c2b4494244399254399244298244298244298234297244298234297234298234197234197234197224096264196244196244195234094244094244195233f93244094244194214092213f93224094214093223f93214093213f92203f92213f92213d91213e91213d90223e91213d901f3c8f1f3d90213d90203c8f203b8f213d90213d8f223d8e213c8e1f3b8e1e3a8d1f3b8e203b8c1f3b8c203b8c203b8c1f3a8b203b8c213c8d203a8b1f3a8b1e3b8b1c39891c39891d39891d3a8a1d398a1e3a8a1e39881d38871e39881e38881e39871d38861e39861c37841d38851c37841d38851d37841d38851c37851b36831b36831c36831b35821c36821b35811c34821c36831b35811a34801b35811a347f1b347f1d34801c347f1c337f1c337f1a327e19337f1a327e1b327e1a317d1b327d1b317d1b327d1c327d
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
33422e36432f31402824321e262c232d332b32382d3034262c312429352a34403138453033412b2b362d2c3841323e623449832c4d8e2b4d7825434c1d372c1c2d201b2a1f1b281d1a251a1b25191e271a1e2a1a232e1e2d39272e3b26343d27373925343a28303a2933432d2b3e241f321a182814182414182215171f12434d2e475728192511131d13111b12101a111017101319131920191f261f252d20293121252e1c1f29181c2717182316192318232e1f343e29404833404733353c2a3d3c2d484137423f3634372b2a31212a32203640283c462c3d482f364529344228313f262e3c2227341b2130191e2b171d2a181e2b191e2b1a1e29191f281a232b2028352c2c3d342f4139314544374b62485c924f6cba4e74d24674db4374db4474dc4775dc4b77d64e76c54f75b04d6f8f496768485f4b3f553731422728331d272d1a2a301e2b341f2c36202c351e2e361e343d213a43263f4b29404d2b374225373f273b402942462c484a2c4b4d2e4a51333f503a3e53453c565e425f854565a44b6db65578c25277c04b6fa846668340606e34516c284656203c301d2f2b1b282e1d261e1e261b1f291d1e2e1926361e2d3d223141253142252f442435482643522f4e553a53553e5d54385c553a4e5039434f3c3b513d3148343047303c4e34495d3e4a634439553b2d462f243b28293d302e433a3049402e4739273f29283a212b3b203343253e4d2c3e4d2b4b533054583952593e6064435a5d3f515a3a49543247543142552f4155303e522e4455314f5f3b54674d556c625872765371834a667f3a54672941532438512437442a3c472f445a3852794160984868a9496cb24e72c15177d14e7ada4d7ae04b7ae14b7be14b7be14b7be1497ae1497ae1497ae14a7be14a7be14a7ae04a7ae04d7be0577fdb5f81c86484ac6180a56281ba5f7ba3718073a09074c59f92dbac9ecda287b4926f9e8a7f8787ab6983cc577fd8547edc5c82d75c82d1567fd54e7ddc4a7bdf4b7ce24a7ce2497ce2497be2497ae1497ae1497ae14b7ce24b7be14a7be1497ae0497ae1497ae04a7be1497ae0497ae0497ae04a7be14a7be1497ae0497ae04a7be14a7be14a7be1477adf497ae0497be1487ae04879df497be1487ce1477be0487be0497be04a7be14979df497ae04879df497adf497add497bde497be0467adf4779df4779df487adf4a7cdf4a7bdf4879df497ae0497ae04979df497ae04779de487ae0477adf487ade4779de4778de497bdf487add497bde497bde497add4a7ade4779dc4779dc4879df4678de487add4879de4778dd497adf4879df4879dd4779dd4778dd487add4879dd4677dd4778dc4878dd4678db4778dc4678dc4677db4878dc4878dc4878dc4878dc4677db4777db4879dc4779dc4577da4678db4577db4576dc4777dc4676da4777db4676da4676da4777db4777da4877da4876d94676da4574d84675d74775d74675d84675d76d84d4a597c88988c25978cb4674d44c76d35979c94973ce4574d74675d94474d74574d64573d64574d64574d74573d74373d74373d74373d64374d54674d64572d44472d54572d44371d54472d54472d44572d54271d54272d64371d54473d54371d34472d44371d34370d44370d5406fd3406ed34170d34170d34070d43f6ed3406fd44370d34270d24270d2416fd2416ed33f6ed23d6dd13d6dd13f6ed13e6ed13e6ed03e6dd0406ed13e6dd03f6dd23e6cd03f6dcf416dd03f6bd0406dd23f6cd0406dd0416dd03f6bce406dd0406dd03f6bce3f6cce3e6bcd3d6acd3d6acc3e6acd3e6acd3f6bcd3c69cb3c6acd3c6acc3c6acc3b68cb3d69cc3c68cb3c67cb3d69cc3b67ca3c67ca3d68cb3a67c93b67c83c67ca3c68ca3b67ca3a66c93a67c83866c63d68c83a66c83965c83965c83864c73864c63a66c83865c73965c73b65c73a64c73863c63763c63763c53a65c73b65c73862c43963c53862c53963c53862c43761c33761c33862c43862c43862c43962c43961c33761c33661c2355fc13660c1345fc13460c13660c2355fc13761c23660c03660c2355fc1355ec0365fc1345ec0345ec0345ec0355fc0365ebf355dbd365ebe345dbe335dbf345dbf345cbe345cbd355cbc355cbd345cbc325dbc335cbc345cbc325aba345bbb335bbb315aba335bbb325aba335bbb325aba325aba3159b9325aba315ab9305ab9325ab93259b83057b63259b83259b93158b83359b83058b72f57b62f57b62e57b72f57b63057b63057b63057b62f57b63057b62f56b52f56b52e56b52e56b52e55b32f56b32e55b22e55b32d54b32d54b32d54b32d54b22c53b22c53b02f54b12f53b02e53af3054ae2d53b02b52b12c52b02b51af2a50ae2c50ae2d52ae2d51ac2e51ad2d50ac2b4fad2b4fac2a4faa2b50ab294fab294eaa2c4fab2c4fab2b4eaa2b4ea92b4ea92a4da9294da92a4eaa2a4ea9294ca8284ca6294ca82b4ea82a4ca62a4da72a4da7294ba52a4ca6284ba5284ba5284aa4294ba5284ba4284aa4284aa4294ba4284aa330468c3e4d8a2c4a9b29438e2831652d478d26489e27489e3d4e864f58882a489926489f2749a026489f2648a02547a026489f23459e24469d23459c24469d27479e26469d27479e25459c25469d26469c25459c24449b24449b26459b25439926449a254399244298234298224298224399234398224297234398224297234197234197234197234297224196234196224095214094224194224194224194234295214093213f92243f93254094233f92223f92223f92223f91223f92213f911f3e91213f92223e91213d90223e91203d8e213e8f213d90213d90213d90203c8f203d8e203d8d203d8d1f3c8c1e3b8b1f3c8c1f3a8b1f3a8b1f3b8c203c8d203b8c203b8a203b8a1f3b891f3a88203a891e3a881e39891e398a1f3a891f38881f38881d38851e38861d38851d37851d37851c37851d38851e38851d37832037832138842037832037831e35831e35811c35821f36821f35821e3483
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
26393022312f21302c2430292f342d3538313538322d33342831422f4043394c37384d322f43292c3a252e35273534353839532d3e7233488c2a4973193d3d172a1e172119161f171921181d2519252e1f26321e2a361f2d392140452a60523661533544492b34422935462e31432b273b241f301c1c2919182416171f16181f163741246d7b42313f1b131e12111b13131a13171d161e241a262f1e2c3921343f2739432a333d2428351f222b1b22271c272d1f363a284244314a4b394746363e3a2f3230272728212328202d3425323c26343f272d3a2127331b27311c2e3621383c26393f28303c2227351b23311927351e26352022311e202a1c1f271c242c242635342a3b3d3043463b4e574459784e679e5070c04b75d54877dd4676db4a78d94b77d54b75d14870c44167a841637e46605f465d513d53423c4d3736432b2f39212f321c3538243237242e331f34381f434826575e34626a3a566035454e2a4247285456365c603e7b6e46a37a5c91776062634e4a595c4c60834a69ac4b70cc4e77da4b79de4a78da4b75ce3d66a8275068244339293f3f263d3f263c321f2d1f1b23181f261b222c21202f2224351e25361e2c3e252e40273044293343294f5033655a3b61593a47492d3a4430344434374a38374c36334a3234493132482f31492f28402d253d2922352524342825372a25382c293d2a2b40252d3f24334225394427444d2e4d533461603f6161415d6241575f38515a314f5a34535a365459374d573845573542573341553146522e5152314c4f3b3c474b2e405a2c4056273d44243b422a3e512d42632c486a2d4e7535548c3b5ea24269b74a72ce4c79db4c7bdf4e7de24d7de34d7ce34d7ce44c7ce44b7be3497ae14a7be24c7ce34c7ce34b7ce24b7ce24c7de34d7de0507ddd557ed3587dc15d7da2607d8b5e7c9f58729d7e7e6dbd9266cf9a71c6946abf8e65cb946ec4987bac9999818db36586c16182bf6584b76a87b86787c25c84cf517fdb4b7ce04b7ce2487ce14a7ce24b7ce24c7de34a7ce24a7be24a7ce24b7ce24a7be24b7ce24b7ce24d7de34d7de34b7ce24b7de3497ce2497ce24a7de24a7be1497be1497de3487ce1497de2497de2477be0487be0487be1487ce1487ce1477be0487ce14a7ce24b7ce24b7ce2497ce1487adf4a7ce14b7de04b7ce04b7ce14c7ce14c7ce24b7ce04b7ce04b7bdf497bde497adf497be04a7bdf4b7be14a7be04a7be1497bdf4a7cdf497ae04b7be14a7bdf4a7cdf4a7be1487be0487ae0477adf497bdf4a7bde487ade497ae0487ae0497ade477add487add497adf4a7bde4879df4879df4779dd497bde487add4779dc4779dc4779dd4779dc4779dc4679dc487add4578dc4a7ade4a79dd497add4879dc4778db4878db4879dd4779dc4779dc4779dc4778dc4677db4778db4777db4778db4778db4777da4878da4777da4776da4677da4676da4c78d8567ad45979d26279c9ba98adfac7a6edb698a685976175ad384d694865974675d14575d84576da4375d84474d84574d64876d84675d74775d74775d74674d64674d74775d74674d64674d64775d74674d64473d74574d64373d64373d64572d74272d64171d54473d54573d54572d54672d54572d64574d64272d54071d44272d54372d44472d44170d44171d44371d44170d44371d34171d44170d34371d44371d3406fd33f6fd23f6ed2406fd2406fd1416fd13f6ed13f6fd23f6ed2416fd2416fd1406ed13f6ed03f6dd03e6dcf3e6dcf3c6ccf3c6ccf3f6dcf406dcf406dd03e6cce3d6cce3e6cce3e6bce3f6bce406cce406ccf3f6bce3f6bce3e6acd406ccd3e6acd3d69cc3d68cc3b68cb3e6acd3d69cb3e69cc3e6acc3d69ca3e68cb3c68ca3b67ca3c68cb3b67ca3a67c93a67c93a66c93c68cb3b67ca3c68c93965c63b66c83a66c73c66c83b65c73b65c73d67c93b65c83a64c83864c73b65c73a64c63a64c63963c53964c63963c63863c53862c43763c63862c53763c43962c43962c43762c43761c33962c43962c43761c33660c23962c33a62c23a62c23962c23661c13761c13760c03860c13760c2355fc1355fc1355fc0365ebf375fc0365ebf365ebf345cbd345dbf355ebe365ebe355cbd355cbd345cbc345cbc355dbd345cbc335bbb335bbb335bbb335bbb335bbb325bba335bbb325aba345cbc325aba325aba3159b9325aba325aba325ab9325ab93058b73158b83158b73259b83259b83059b73058b72e57b62e57b63058b73058b73057b63158b72f56b53057b63057b52f57b52f57b42f56b42f56b42f56b32e55b32f56b52e55b32e55b32c53b22c54b32b53b12f54b22d53b02d53b12e54b22c52b02c53b12c52b02c53b12c53b12e54af2d53af2c51ad2c51ac2d52ae2d51af2d51af2c50ae2b50ac2950ad294fac2c51ad2c51ad2d50ac2c4fab2c4fab2c50ac2b4eaa2c4fab294ea9294ea9294ea92a4faa2b4eaa2d4fa92a4da9294ca8284ca72a4da72a4da6294da6284ca6284ba5284ba52a4ca52a4ca5284aa4274aa427489d33407c484f8630366b2b48932549a02648a12946983c4068404e8727489d25489f27489f2749a025479f2547a02647a02647a124469f24469e26469d27479e25459c26469d25479e24469d24469d25469d24449c25459c27459c26449b26449c26449b244399234399244499254399254399254399254399244298244298254399244298244298234197244397244298234196224096234196244396244295234194244195244195234194244094244093234193203f92214092214093203f92203f91213e92223e91233f91233e91203c8f213e91203e90213d90213d90213d90203c8f203c8e203e8e203d8e213d8e203c8d203c8e1f3c8e203c8d203b8c1f3a8b1d3a8a1e3a8a203b8a203a8a1f39891e39881f39891f39891f39891e38881f39871d37851f39861e38861d37871d38871b36851d38851d37841e38841d37831f36842037851e35831f36841d36841e36831d34801f3682
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
383a3b333a44353d553742643b4a6a3f505f354a48273c381b2e3316273415263713232f162121242e2618232a1828411c335a243c653247643d516c3e57643b524c4251354b543446513040492c454c2e434a2a3846232c3f1d253a1933411e4a532857602e54642a526525526725576b275a6f2a596c24576a1f55691e4e641c4b611b465c1842571843561e4153223c4d2944563b40523f37493c5b6a3f81914a1e310c18270f17260f16250e15240d15230c1e2d132e3d1f3342233746293d4c2d3c4e2e384b2c3345282d3f242b3b222a37212834202b35203842284b54344d59374657333e512d3448263043232f40212f422427381e242e19232a1729331e3340253c4c2b3d502d40532d465531545d3a585d3d545a3d4d553846533741503b3f50414354464458464a5b4e52625b56686c556a764d646d455d5d3e5144434e3849553d4e5e4e4d615b445d613654602644461e37272234222b3e313448462e434b2235351c291e1c261f2130322f41572c465f2f494a364f373f563e495f4d4c63614461743d5b814262974368b5456ec34d76c9527dd55281e45383e95484ea4d7be03964bb2545742331362426212628263138474152824f6dbd5377d34e73ca4c73c24f74c34d74cb476fcf3e66c0385da932579b3051993c51854d55676260566e695a67675e65676364656551565545504b48566b4a639d4e73c5527acf567bc46281b46a85a36d88926884855b787b526e74576e7767747a697271646f6a596b704e6470455f62405d59436068435f8c4262a43e63af416bbb4671c84c74c74b72ad42688f345871284a51223e3b2038351d312e22312b313f30394c424257585367715d76946584be6188d65d88dd5f8adb5f8adc5c86d65881c75f83b45d80a0547484496855486345446049435c524e5f5d5b5f5667644a6263485b644c51645a4d61654d635a52675c5269715c78926383b75f88d05789de5487e75285ea5286eb5286eb5287ec5187eb5186eb5186eb5185eb5186eb5186eb5387ec5185ea5286eb5385eb5286eb5286ec5085eb5186ec5186ec5085eb5085ea5186eb5186ea5387ec5185ea5185ea5286eb5085ea5286eb5387ec5185ea5086ea5287eb5387eb5386ea5386ec5285ea5285eb5185ea5286eb5185ea5085ea5084e95186eb5086ea5086ea5185ea5187eb5287eb5286eb5286eb5185ea5286eb5185ea5185ea5185ea5286eb5085e94e84e84f84e95186eb4f85e94f85e94f85e94e83e85185ea5084e95085e95185ea5185e95084e75285e95386e95084e95184e85284e75285e85385e85083e65185e95084e94f83e85184e95184e84f83e64f83e64f84e65284e65284e55285e75183e55685e35384e04e83e54d83e54f83e44d7fd73f659a3f61944f77b55674855d73534c5c3c6f888f5684cf4f82dd5173a56d8db85384d94d81e25082e15a77b3686b60af8f65684827645233725f3abb9169dd9e6cf2ab76dc9b7293654d84523b714b2a5b3b1fad7258b38b887c87bb436aaa1b3348435e8b517fd45281d75b75a57d755f9b8c60738290547fd04e7fde4d7fe04e80e14c7edf4d7fe04c7edf4e7fe14e7ee04d7fe04e7ede5881d77690c48d9fa77c95a95e85c94f7edb4c7cde4c7cde4c7de04b7ddf4b7ddf4a7cdf4a7cdf497cdf4c7ddf4b7bdd4d7ddf4b7ddf497bde487add497bdd497bdd4779dc497cdd497adb4a7bdd4a7adc4878da4a7adc4879dc4878da487adb4879da4879db4979db4878da4878da4777da4777da4979da4876d74978d84a77d94977d94977d94978da4675d74676d84878da4575d74575d74876d74876d64977d74876d64775d74575d74474d64474d64675d74775d64775d64775d54775d54672d34673d44572d34572d34472d44674d64673d54572d34573d34573d34672d34572d34371d24371d14571d24470d2426fd04270d04170d04270d04470cf4471d0426fcf4370d04370cf416fcf4370d0436fd0416dce426ecf436fd0426ecf426ecf416dce416dcc416dcc426ecd416dcd3f6ccd3f6dcd3f6ccd3f6bcb406ccb3f6bcb3f6bcc3e6acb3f6acb3e6aca3e6aca3f6ac93e69c83f6ac93f6ac93f6ac93f69c83d68c73d69c83d69ca3c68c83c68c83c67c73c66c83d67c93c66c73d67c73c67c63c67c63c66c73e67c73f67c73c67c63b66c53a65c53964c43b66c53c66c53c65c53c64c43a63c33b64c43c63c33c63c33c62c33c63c33b63c33b63c33a62c23a62c23860c03961c13860c03761c03862c13760c03660bf3661c0375fbf375fbf3860c03860bf3860bf365ebd3860bf375fbe355dbd365ebd375eba32509c4f629b5268af385db8355dba355dbb345cbb365dbc345cbb335bba345cbb325ab9325ab9335bba335ab9345bb93259b7325ab9325ab93058b73159b73159b63159b6335ab73259b63259b63158b53057b43057b43057b43057b43157b43156b33256b43257b43055b23156b43056b33056b33357b33154b23154b23255b33054b12f54b13054ae253a812d427f2d54ab2d53ae2e53ae2e52ae3053ac45548d505b913f559a50548043558c3152a52f53ab2f52ab2e51ab2e51ac2c50ab2d51ab2e51a92e51aa2d50aa2b4fa92b50ab2c51ab2a4ea82b4ea72a4ea72a4fa82b4fa82b4ea82a4ea72b4ea82a4da7294ca6284ba5284ba52a4da6284ca5264ba4284ba4284ba4294ca4284ba4274ba3264aa2274ba3294aa32a4aa12749a1294aa22749a2284aa1264aa02549a12749a027489f27489f2749a0294aa028489d26489e25479e27489e26469d26469d27479e27479e24469b25469b25459a25459a25459a26459b264499254498254498264499264498254498254497264498264497254397244397244396264497254396244396234295244395224193224194234194224194214093224194214093234194233f92234092244092213f90223f91223e91223f90233f91223e90213d8e233e8f233e8f223d8e233e8f223d8e223d8d203b8b213c8d223d8d203b8c
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
41514e3e4d503748553549583248542740471c343e162c391426311625251722201823211822211822231e282d2c394b35476f2f4e73304a47404a3947493546493241462f384328303e232a381f2a392128372628342d232f2a202d241f29231e2d2a1d302d2e402a3f54273f553e405a474a6540546e3f526b49485f593f5a6c3856783d597c3c57752f4960243d481f3838203634192a2310191110150f1d20182b36212134172030141c2c111c2c12192b1116270f202e142b351951593646532d3e4c273a49233546233445223444222f3f1f374525444d2b4b542f5059324e5a3146582b40552841552b41552a3d5229394f28394f28364b243546223949243646222d401e2a3b1d2f3f213b4c2c45563451613b54653c51633c4959354752373e49343240302b38282f3c2b3d48374956434c5c4b4754473e4a363f4c3143543b3d54443750502f4a5f254650223e39253c41283d472b3b4325343a2a3634293a49203a581b3a551e3b441f383a253a42384965475b8b445f8c3f546e47545c404f5e31477c2b4888354e884059924e6bac5a79c25f77bf636e9c66666e6a646264656558616b505f815266a4536eaa5974aa5875a75975a0587397506d80516a6a596b686370767075867e7e9185828e817e8278746d69645a5655494342383b3e39444e58546684657caa6f89b76c89b76684b7617fb6607ea566818f6b828a6e847e687d66647760677956868e64868e717d8368716f4973734d79835876875d6d7f5662764a697a4e69784f5c6e4c4257412a433121362d1f3334182e341c2d3124313027323424333d213542203744263a4c34465145565a54666a5b6f706177726b7f7672847f778078a4886dd29c75c59d78a49c788b988d8499858899778495706a7d64606a597372577571506b6b4c545d49495d5f486485476999476d994d72a94e74b94f79bf537ec95483d15888da5889e5588ced548aec558bed558bed568cee558bed568bee558bed568bee578bee578bee568bed558aec558bed568bed558bed548aec558bed558bed558bed548aec548aec548aec558bed558aec548aec558bed548aec558bed548aec548aec558bed5589ec578bee558aed568aed568aed568bee558aed558bed558aed568aed558aed548aec558bed558bed558bed558aed558aed568bed5489eb568aed568aed578bee5589ec5489ec568aed5489eb5389eb548aec5389eb558bed548aec5489ec5589ec558aed558bed5389eb548aec548aec548aec548aec5288ec5389eb548aec5589ec5589ec5389eb5389eb5288eb5389eb5388ea5488eb5389eb5389eb5389eb5389eb5288ea5187e95489eb5389eb5488ea5489eb5389e95088e85187e04871a649635e4457354b60476982804a5f774a6fa66592cba4c2bdaab79f868f7364724592a26452652f708d627a96848ca3815a6d5c567cba506fa93945423d472c92876c4b3a2d62574a997f69846045613f2b71513d6e533bbf9c7d47412a404c4a687a95797a956c615a989973abb87e798761555b44aaac6e92994e79863d5d71324b632c36472244543467784b82937698af996986704c655c55716c56777c5c7e8b67847f6985765e83995a86ce648acd6d8bba6d8cc55684dd4f81e25082e35082e34d80e34f82e54f81e34e80e15082e34f81e24f81e34e80e34e80e14e7ee04e80e14f80e24f81e24e80e14e80e14e80e14e80e14d7fe14f7fe14e7fe14e7fe04c7ee04e7fe14d7fe24c7ee04c7ddf4b7cde4c7ee04c7edf4c7edf4c7edf4d7ee04c7cde4c7cde4d7ddf4b7bdd4b7bdd4c7cde4c7dde4c7ddc4b7bdc4b7cdd4c7cdd4b7bdd4a7adb497ada4a7adc4a7adc4a7bda4a7ad94a7ada497ad9497ad9497ad9497ad94879d94879da4979d94979d94979d84a78d94a78d84977d84877d74a78d84977d74977d74878d74777d64876d64875d54876d64674d44a76d74874d54874d54875d64977d74675d44574d44774d54774d54473d34574d44573d34573d34573d34573d24673d24672d24472d24371d14472d24672d24571d14571d24571d0436fce4470d04470d1436fd0436fcf436fce436fce436fce416dcc426ecd436fce436fce436fcd416dcd416dcc416ccc416dcc3f6ccb416ccb416ccb3f6bca406ccb406ccb3f6bca3f6ac93e6ac9406bca406bca406bca406bca3f6ac93f6ac93f69c93f6aca3e68c93d69c83d68c83e68c74068c83e68c73d68c73e66c63c66c53f67c73c66c63a64c63a66c53b66c53b66c53a65c43a65c43964c53b66c53b65c43a65c43863c23864c33964c33763c23862c23b63c23a63c33862c13761c03761c03962c03861c03962c13862c13961c0375fbc3355a630498e374f94405fb0385fba375fbb385fbd365ebd365ebd375fbe355dbc365ebd365dbc355dbc355cbb355dbc345cbb365ebd355cbb355db9355cba365cba365dbb345cba345bba345bba345ab8355ab8335ab7345bb8345bb8345ab83259b63359b63358b53458b52d4998273c7a3458ab3158b33058b33058b43157b1374d8c65698d3a57a53056b03057b23158b33157b23257b23257b23256b13055b03055b03055b03056b12e54af3055b03154ae3154ae2f54ae3055b03054af3154ae2f53ae2e52ad2f53ae2f52ad2f52ad2d52ab2f52ab3052ab2f52aa2e52aa2d51aa2c50a92c4fa82e51aa2e51ab2e51ab2d50a92c50a82c4fa72c4fa92c4ea72b4ea72b4fa72a4ea62c4fa72a4da6294da52c4ea62a4da4294ca42a4da5294ca42a4ca52b4da4294ba22a4ca32a4ca32a4ba2294ba32a4ba22a4ba22b4ba1284aa1274aa0284aa0284a9f284a9e26489f27489f27489f28489f29499f28489d27489d27489d28489d28489c26469b27479c27479c26469b25459a26469a25459a254598244398234398244497244497244497254497254497244395264396254395244395244294254295244395224193244194254194234294214092234193244194234093233f92213e91234092233f90253f90
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
33443a32423932423a354340334640253a2f1c2d271929251b28221f2a1d232f1f202b1d202a1e2b3025363b2e3b402f3f422f454630554d385c523e5d53405d544056523c3d3f2c292c2622223832376b3e57a43f65b4385eab264d8a13364e11222b161e22181f2a1a243f1a2a531c31622239762640872c49872c49792d4b6e3150613650552e473e1d3020141c100f120b0f100a181912282d1e3f482d4c593354653d58694152634342573531461c1f3310293a162132102b381959723b5676365e7d3f628143607a434057313546283746293747293445262d3c2227351f22301b21301c1d2f201e312b21322e27362a2d3a262f3d262b3c2229391e28381b27371c2231181e2c161e2b161f2d1824321a2d3c20364527384428384128313924303b292c3b30293c373143403d4e4d475c66435c72425d5742593d3f523a394f3c2a432d2439242637242b3a272a3725273023282f262c3837273a442a424e28445a2647562a46533046692c45652844502f4842334c444650496d5e47846f5e736b785a65745c6e586a7e6b7087867389867b876d788063687154576147565e50666f6177836877886b6b81605d71486b6e42967c529f825b918256736f42736a408474528a7a6e7972766060584240333b38293d413d43536e4e64995672a95c7baa6181a86684a268859068838568818869828569827f5f7878546f5d51674a4e65464a633c486138435934435331434e31444f314855344e5f38576d40556b404b613b415838374e362c402d23342421332b253937253b422039491f394c20374e233b522d4253364b5d3d55784d67915675965c7cb25274a3496969415d4f48613f4c623a495b3748532c575130715e3e7164466064405b673d5c6a3e58673b546338657040747f527685716a7e886179965f7a9966849f6d8baf688ac86088db5f8be55f8eeb5e90ee5a8fed598eec5a8fee598eed598eed5a8eed5a8fed5b8fed5a8fed5a8fee5a8fed5a8fee5b90ef5a8fee5a8fef598eed5a8fef5a8fef598eee588eee588eee588eee578eec598fef5990ee588fee588eee588eee578eed588eee598fed588fed588fec598fec5a8fed588eec588fed598fed588eed598fef598fee598fee5a8fed598eec598fec5a8fed5a8fee598fed588fed588fec598fec598eec588eec588eec5990ed5a90ee578eec578eeb588eec578ded558ded558ded588eee5990ee578dec598eee578ded588ded568cec578ded588ded588ded588eed578cec578ded568cec578ded578ded588deb598eec568cec578ded588ded578cec578cec578cec588ded568beb568cec568cec578ded568ce95283d24a6c97607a907092bf618fd9598de6578de85a8edd6493c9749cbd759cc4648dcb4a72a1537681527381314e5854766b4f726e52745a77966188a1686c82546d86597b946084a07b6c88896d8c812e4432283d2c283c4a1f2d36353f2f353b276c6b552f281a28311e3f49315a5b5571716f6a79735675725472762e423d33462c3a4b2c808e6c859f9a6186aa3e57523c5537415a364962393f5544567ea7567fb54965785667537b8d62849e757c9a817192826386765778684f7275507a9a5681be5585d85486e25386e75286e85386e85286e95185e85185e85084e75084e75184e75285e75184e65084e75285e65085e55285e75083e64e84e45184e55184e65082e45084e55083e44f83e44f83e45183e45183e45183e45284e55182e45082e35183e44e81e24f81e25082e34f82e34f82e34e80e14f81e24e80e15182e25283e25081e25080e14e80e14e80e14e80e14f81e24e80e14e80e14d7fe04f80e04e7fdf4e80e14d7ee04e7ee04f7fdf4e7fde4d7ede4d7fde4d7edd4c7ddc4d7dde4c7dde4b7dde4c7dde4e7fde4b7bdc4b7cdb4b7cdb4d7ddc4b7cdb4c7bdb4e7cdc4c7bda4b7ada4c7bda4d7bdb4c7ada4a7bda497ad94b79d94b79d94c7ada4c7ad94a78d84b79d94a78d84a78d84a78d84a78d84b77d74a77d74a78d84b77d64b77d64a76d54a76d54976d74876d44976d44a76d54975d44a76d54975d44975d34875d54975d54774d34774d34673d14773d24672d14773d24773d24874d34773d24773d24672d14672d14672d14571cf4772d04772d04570cf416fcd406fcd4271cf4571d04370ce426fce426ecc4470ce446ecd436fce416dcd416dcc426dcc436ecd426dcc416dcc416dcc406bca426dcc406bca416ccb426bca426bca3f6ac8406bc93f6ac93f6ac9406bca3e69c83e69c83e69c83d69c83d6ac73c69c63f68c73f68c73e68c73d68c73d66c63d66c63e67c73d65c53d65c53c66c53d65c43c64c33d65c43d65c43e66c53b64c33963c13a64c23b63c23c64c33b63c23a63c23b63c13b61bc3754a02e3f75374d884062b13c63be3c63c03c63c03a62c03961c03961bf3960be3860bd3960be375fbe375fbd375fbd365ebd365dbc385ebc3a5fbc385ebb375ebb355cb9355cba355dba345dbb355bb92d45922b3e76385caf345cb8345bb8345bb73454a55e678f5464a1365bb5365cb7355bb6335ab5335ab53259b63259b6345ab63258b43359b43459b43459b43459b43258b33258b33259b43358b33457b33256b23257b23357b23357b23055b03256b13256b13156b03055b03256b03155b02f54ae3054ae3154ae3054ae2f52ac2f53ad3053ad3054ae2f53ad2f53ac2f53ad2f52ac2e52ab2e53ac2e52ab2e51ab3052ab2c50a92c50aa2e51ab2d50aa2c4fa92d50aa2d50a92d50a82c4fa82c4fa72c4fa82c4fa72a4ea62a4ea62a4ea62a4ea62b4ea72d4fa7294ba4294ca42b4da4294ba2294ba3284ca2294ba2294ba2294ca2274aa1294ba2284aa12749a0294ba22949a02949a029499f28499f27499e284aa027499e27479d29499d2a499c28479b28479b28489b27479b26469b26459b264599264598264699254599234396244397264598254497254397254497254497264496274396274396274396254194254194264295264095
31413d2e40412a3c3e263841243b3e1e332c1b2a2419271e1c281f232e212932242930223132243b392c383d30353f2e39402c494833534b36594f3c5e52405f5541554f393e3a2d2c2b31282b4c38417d3f5b9b375f962b54892045731335490f202a151d221a1e2d1c2347192c5e1d3266223b7424417b23417525426326424b2d454135493c31422c2935202429191b1e1112130c15160f21221a3338293c4733404d3b4a5842626f517483546f7f422b3f132c3d18243512323e1f5b72385678305b7b385e7c3c6381443e592f3b4c2e3c4c2f3a4a2f3041282234221b2a1d1c2822222e2c2335391e34411e334124353527342826342424341f24331e2736222634231f2d1b1d29181d29181c2917212e1929361e303c24333f27323b25313a27303b28303c2e31413b3a49464655504d615d4b6471496262465f46455b453950472a41372439262638252a3927253221262e21293126273733233b3721392f223a3b213948223d4b274051253f4d2641402a41352d423434453664563c896d53766f6b5f6d675e71576a7f636e856d708369788466707b605d6a50525d4157614869745177835b788865677e59596d426163398771478f75517e734b6f6b3f726c42786f4d736d5955564c4141313a38244444304c555250627c566d975674a15a79a26081a16585a868879b6786896582866882856882805b7473536e5953664451653e455b3344552e4c5731465033444c3345503147553453653f566c424e643d4c603950624144583f3a4a3437432d3141362b404b22385a1f375d213d53273e522d425440515b495c634b657c46668e4e6e86506f934969864464553e584a42573b506039535d3d454f2f46492b5a5437615f425d69415b6a3e59683c526035546035616c3f6b78547382706c80795f76735a75765a7a875d80a45e85c15f89d4628fdf5e90e75d90ec5b8fec5a8fed5c91ef5b90ee5b91ee5a90ed5a8fed5b90ee5a8fed5b90ee598eec5a8fed598eed5b90ee5990ee598fed5a8fed5a8fed5b90ee5a90ed5990ed5990ee5a90ee5990ee5a91ee5990ed588fed578fee578fed588fed5890ed5890ed5a8fed5a8fed5b90ee5990ed5a91ee5b90ee5a90ed588fec5990ee588fec598fed5b91ee598fed5a90ee5a90ed588eec588eeb588fec588fec5a90ee598eec5a8fed598fed5a8fed5990ed5990ed588fec598fee578fee568eec598fee5990ed578eeb588eed578eec598fed578dec578ded588eee588eed598fed578eeb578dec578deb588eec598eed598eec5a8fed598fef598eed598eee578cec578ded588eed588fec578eeb588eec568deb598fee588eec588dea5688db42639d394e655c768f6891c65f90de5a8ee8578de7588edf5e8fcb5e85b14971a640699b2b485f4f6877314c4e5c7e764b727e4061556e8d5a78945a6d895a789664809c687e9a843e5b602237311f2d212028292227262f37253a432a625d482b2417252e1c3f42333c3a3a5656545a676f55758b3e5d5f24372f3a493a6f7e6293ae9d8aa8b3708b8e41583a3c522a455e362e4328465d6158798b5c756a66815d5a764e445c394c69494361504967764d75a25380c35685d75988e05f8add678dda5b89e25486e85387e95286e95287e95286e95185e85084e75186e85286e85387e75386e75185e85186e75185e65285e75286e65085e55185e65185e65185e65185e65184e54f82e55183e45183e45082e45082e45283e45183e45284e55183e44f81e25082e35183e45082e34f81e24f81e25082e15183e25182e35182e15182e15081e25082e34f80e14d80e14e80e05082e14e80df4f81e14f81e04d7fde4f80e04d7fe04e7fe04e80e14e7edf4d7fe04f80e24d7edf4d7edd4c7edd4d7fe04c7edf4d7ddf4e7fde4c7edd4c7ddc4c7ddc4c7ddc4b7cdb4b7cdb4c7ddc4b7cdb4a7bdb4b7bdb4c7bdb4d7bdb4c7bdb4a79d94b7ad94d7bdb4c7bdb4b79d94a7ad94a79d94b79d94b79d94b79d94b79d84a78d74b79d94b79d94a77d64a76d54c78d74a76d54977d74a78d64977d54a77d54976d44975d44a76d54975d44875d54774d44673d34674d24673d24975d44774d34673d24672d14974d34773d24672d14672d14672d14672d14671d04772d04571cf4671cf4472d04371cf4471cf4571d04370cf416fce4370cf4470cf436fcd426ecd416dcc446fce436ecd436ecd436ecd416dcc416ccb416ccb406bca3f6bca406bca436bcb426ccb416ccc416dcb406cca406bca406bca406ac93e69c83e69c83e69c83d69c63d69c73f6ac83e68c63e69c73e69c83c67c63e69c83d67c63c66c53e67c63d67c63d65c43d65c43c64c33d65c43d65c43d66c53b64c23a64c23c64c23d65c23b63c23c64c33c64c33b63c13c63c13a5baf2b3f7c394c834763ac3e61bb3b62be3a61bf3860bf3961c03a61c03960bf375fbe375fbe3860bf375fbe375fbe375fbe395fbe395ebc385fbc375ebb375ebb365ebc355dbc355dbc345cb923367c2e4886375eb5345cb8345db9375db83a56a0676a8d4f61a4365bb7355cb7355bb7335ab7345cb8355cb9345bb8345bb73259b5345bb6355ab53459b43459b43459b43359b43358b33459b43459b43459b43257b23458b33358b23156b13358b33356b13357b13457b13256b13257b13156b03154ae3255af3155af3155af3154ae3154ae3154ae2e52ac2e53ad2e53ac2f53ac2e53ad2e53ac3054ac2f53ab3052ab2d51ab2e52ac2d51ab2e51aa2c50a92b4fa92c4fa92d50a92e50a92d4fa82d4fa82d51a92c50a82d50a92b4ea82a4ea72a4ea62b4da62a4da5294ca52a4ca42a4ca3284ca3284ca4294ba22a4ca3294ca3294da32a4ca3274ba1284ba2294ba2294aa12949a029499f2b4ba02849a02849a026489f26489e29499d29499c28489b27479c29499c28489c27479c26479b24449729489b26469b26469a25459826459828479a29479a284599294599284498264295264295274396284497274396284497274297274196
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
1c261c1f251d212b22202e242331242a362926352919281f15201b141d1b1c2321312f2d544440745a507e64567c6655725f4e67564754443e40384d3e3f814b5ec4567de75585ea5585ea5587ea5687eb5687ec5386eb5486e95384e75081e14c78ce486cb0466186424e57272e281417131514121d1c1d24222a2b2c3a373a4b3c4251343f4a243237242b322e3335353e3236423335462f3b4b3246553b48573b3b4e3236472d3c452e4047313b432c39412b364427394e254c5523a08c536e6f3824351b27391e556b304a6b1c455c154e641e2a431b1a28231927241826231e2b2335452a374f22304b212239221d2d221b291e1a251a172216131d14141c14121911111811131812171c151921191e292428383f334b653d558b4666a74d6fb74c71ba486ea93c628e35596f304e452c44322137251e30241f2f2620312625302223291b252a1a26301d28361e27381f2a3b222a3b2125361f2a3a2336452c414f354f563d525c454d5e41656b47a68f69c1a88da09e9368796f65796d6a7f6d6d7c5f717858767a5c6f79576272495b6a3f5964395962395d683e5e6b3f5667394c5b2d49562947532747512a454b2d4247303c442d404b3248553e4a594740564440553f4253394051344254333e4f303c4b313c49343a4833414f384b574055624f56655456694f5c714766784b61744b73754b7a7148686b43505e364555304959384e584050533d4d52394855374b5d3b5a6946626f4c65714c68754e64744a6070425a6d3f53663b485a324858315d6b3d7b89547d8f5f6981535b7143546a444c6642475e3554633b555f42535b3d4f5536525737565938585c3a555e39505d365868385c6f404d65414d62394e60355c6e416c7b517685627589806d8eab6894d66698ea6197ee6199f06299f06399f16299f06199f06098ef6099f06299f06299f06199f06199f0629af16199f06199f06199f06399f16399f16298f06399f16199f06199f06199f0629af1629af16098ef6199f06199f0629af16199f06199f06199f06199f06298ef6199f06199f06199f06199f06199f06098f05f97f06097f16198f16199f16199f1629af16199f06199f06199f06098ef6098ef6299f06199f06098ef6199f06199f06199f06199f06299f06098ef6199f0629af16098ef6098ef6199f06199f06199f05f97ef6199f06199f06199f06098ef6199f06098ef6199f06198ef6198ef6198ef6298f06199f06198ef6098ef6098ef5f97ee6098ef5f97ef6098ef6098f05e96ef6098f16198f06298f06098ef6097ef6199f05f97ee5f97ef6097ef5e95ef6197f06197ef6197ef6197ef6096ee6096ee6196ee77a3ed80a3dc688dc85e8bd46094e86093dd7095b27ca1bf6697e05f96eb648ece617484495b63304755223530475e5a5d8ac05e95e66f96db9fa9cab4b1bf6b6661384651537ebe517ec5283c5b3e56444f6e44465d3128341d60614f383b2e1c29192d4124283c25425968547bb05982be4a64753e5441344c32314a322d46432c464f294031314236495c482637263a4b473c5869597b897f9e9c6e8b8252738a5c89cb6192e36a96e35f91e66092e66694df7098ce83a3ae8ba2827e956467836b5f86a35e8fd95b8fea5a90eb5a8feb5a8fec598eec5a8fec588eec598eec598eeb578eea578eeb598eeb588deb598eec5a8eec598eec578cea578eeb568dea588eec588deb568be9578cea578cea588deb578cea568bea568cea578cea578cea578cea568be9558ae9578bea588ae9578ae9588ae9588bea5589e85489e9558aea558aea5489e95489e9558aea5489e95689e85588e75488e85388e75488e65388e65388e65588e65588e85488e75388e75286e65186e65486e55587e65486e55587e65385e45587e65586e55586e55485e45485e45486e55284e35485e45485e45485e45284e35183e25183e25183e25183e25183e25384e25384e15384e15182e05082e05182e15181e05182df5081e05081e04f81e04e80df4d7fde4e80df5080df4f80e04e7fdd4f7fdd4f7fdc5180de507fdc4e7fdc4d7edb4d7edc4f7fdc4e7ddb4e7edb4e7dda4e7dda4f7ddb4e7ddb4b7cda4d7cda4c7cda4c7bd94e7cda4d7bd94c7ad84d7bd94c7bd94c7ad84c7ad84b79d74b7ad84a79d74978d64b7ad74c79d64d79d84c79d64c78d64a77d54a76d54b76d54c77d64b77d64b77d64976d44976d44976d34a76d44875d34774d14875d24875d24875d24975d24874d24773d14773d14774d14672d04672d04673d04572cf4673d04572cf4672cf4772d04671cf4570ce4570ce4671ce456fcd4870ce436fcc426ecc416ecc446fcd446fcd436dcb436ecb446dcb446dca436dc9446dca436cca456dcc426ccb416cca3f6bc9406cca416ac8446cca426bc9406bc93e69c7406ac94069c83f68c74068c73e68c73f69c74068c54169c54068c43e61b834498a3b47784a64a54568bd4066c23f67c43e66c23f67c33d63bc283e7f3c5b9e3e67c03d66c23b64c03d60b54d578368709e4364ba3d64c03c63c03b62bf3b63c03b62bf3b62bf3b62bd3b62bd3960bb3b62be3a61be3a61bd3c61bd3a60bd395fbc3a60bd3960bd395fbc395fbc3a60bb395eb9395fba395fbb365db9375db9385eb9365db8365cb9385db9385db8385db8375cb7375cb7355cb7345bb6355cb7365bb5335bb5355ab5365bb6355ab5355ab5365ab53358b13659b3365ab53459b3355ab33358b13459b23358b13358b13358b13357b03457b03356b03457b13256af3257b03256b03255af3356b03255af3255b03055b03055ae3155ae3154ad3053ac3054ac3054ac2f53ab2e52ab2f53ab2f53ab2e52aa2e52aa2f52ab3052ab2f51aa2f51a92e52a92d51a92d51a92d50a92e50a82d4fa72d4fa62c4fa62f50a72c4ea52c4fa42c4fa32c4fa42c4fa42b4ea22b4da42a4da32c4ea32b4da2294ca02b4da12b4ba02c4ca12c4ca12b4ba02a4a9f2b4ba0294a9f28489e28489d2b4a9d2a4a9d28499c28499e
2b2e232d3227263323222f1e2531212a372825352719261d141f1c161f1f262a2a463b376b5248836557886d5e826b5b74614e5f4e40463a3d37355a414a9c546fda5984eb5786ea5787eb5688eb5688eb5486e95588eb5587e85284e34b7bd24a6cb14c60844c535942403726251e1215131314191c1e31242a53303b76394a8e394e8f2e457d1d365c192b461f2f3929372d2d3b2d30412c34452b3a4a303d4b31394a3038442c40452f3e442e363f282e3b2230402532432637472364643396935a2a391b1827153d4b27506d214960184f661f2d441b1e252119231f1a261c2f3d23365021314c1f1c321917281c17251c18241a141f15152015141e15151e15141b1312191212171114191318201a222f332a3d5a2d48743857844261934b6baf4a6eb83f66a04063883d5d7a33505227412f2238261b2d231f2f25233227273024282d1f2c32202f3a252d3d24293b22293b2226382022321c283820334128404c324d533a49543d495a3b676c47a69069b9a2869796856b7b6069785f6c7b63717a6083886b82896f6d7f5e5a6e45546236555f335a63385e6a3c60703f61713f5d6b3c5965384f5b304651283d45243b412b3f473a4a56494b5a4b4252403f4e37465437505d3d4f5f3a495a3344543243523444523a42513b46563e51614559674b5c6c50566a45566b3e67784965764a78784c776e45696b4355613a4858334b5b39515940575a4351563b4d5c36495f3653653d606c466d774c707b4c6d774968754662724254673c4a5b344d5c355f6f407e8e59829467718961607a50516c4b445e42495b36585f415357415257395258386064416b6c475f653e5562384f5f3651633954683c4a63384c60345365376575457484597d927975939f6c93cc6596e66398ee6298ef6098ef629af1629af1639af16199f06098ee629af0629af16199f06199f0629af1629af1629af1639bf2639af06399f16399f16298f06299f06399f1629aef639bf1639bf0629af1629af06199f06199f0629af06199f06199ef6199ef6199ef6299ef639af0629aef629af1629af16199f0629af16098f06199f16199f06199f06199f1619af1629af16199f06199f0629af16299ef6399ef6199f0639bf16099ef619af16199f0629af16199f0629af16199f0629af06199f06199f06098ef6199f0629af16199f0629af1629af16199f06299f16299f06199ef6199ef629af16199f06199ef629af16299ef6399ef6298ef6299ef6199f06199f06098ef6098ef5f98ef5f97ef6098f06198ef6198ef6098ef6098ef6098ef6098ef6197ef6198f06197ef6298f06197ef6097ee6098ef6197ee6197ee6096ee6297ea6494d76687a9677f956d92c36698e36192d57094b0799fc66296e55e94e26184ac7291a2516b6b25332b2d3f315b7e8d6195d85e94e86492dc8095bc6b726d53573e41465d5b84c94670b020344d4157465166452631215157482f36262b361f3040233a473a51719e3d69b23b5e87334c462b42262b3f2722332f2f44513043482f413250665b3a53432d3f306a7e6e576d703a515944606153789a5c8dd85e92e57295ca839cc680a1d68aa9cb7897a06f8d786d8a5f5e7a5057746d5f88b85e90e05c90e85b90e95a90eb5a90ec5a8feb5a8fea5b90ec5a8fec598eea5990eb588feb588feb5a8feb598eeb598eea5a8eea5a8eea588dea588eea568dea578dea588eeb568dea588deb578deb578deb588deb578deb578cea568ce9578cea578cea578dea568cea568be9588deb578bea598bea588ae9568ae8548ae9558aea548ae95489e9558aea558aea558ae9578ae9568ae8558ae85389e7548ae85589e75588e75688e75688e75589e75489e75287e75488e75688e75688e75587e65587e65486e55687e65586e55788e75687e65486e55385e45385e45485e45485e35586e45486e55184e35284e35183e25284e35283e25384e25283e05484e15282e05283e15283e15484e15383e15182e05182e14f81e04f81e04e80df5081e05081e05182e05081e04e7fde5080de5180df5180dd4f80dd4e7fdc4d7edc4f7edc4f7edc4d7edb4f7edc4f7edc507edc4d7cdb4e7fdc4d7edb4c7cda4e7dda4e7dda4c7ad84d7bd94e7cda4e7cda4d7bd94c7ad84c7ad84d7ad84d7ad84c7ad84b79d74b78d64b78d74c78d74c78d74b78d54b78d64c78d74c77d64c77d64b77d64a77d54a76d54a76d54975d44a76d54976d34a77d44a77d44a77d44a75d34975d24974d24874d24773d24874d34774d14673d04572d04673d04673d04571cf4671cf4672cf4772d04772d04671cd4770cd4971ce4570ce436fcd426fcc4570cd4570cd446fcc456fcc466fcb456eca466fcb466ecc466ecd456dcc426cca416dca3f6cc9416dcb416bca426bca416bc9406bc9406bc9416bc9426ac94169c6416ac7416ac7416ac74069c6426ac64269c64068c53f65be3a519a324379506bab4569bd3e66c13d65c13f67c43c61b82a3f813f62ad3d66bf3d66c13d65c03a549b5d5e785c6da73f64bc3c63c13c63c03b63bf3a63bf3a62bf3c63c03c63be3b62bd3b62bd3c62bd3a60bd3c62be3d61be3a60bd3a61be385fbc3960bd3b60be3a5ebc3a5fbb385fba385eb9395fbb375eba385eba385fba385db9385dba385cb93a5fba395eb9385db9375cb7365cb7345bb6375db8375cb6365bb6375cb7375cb6375bb6375bb5355ab4355ab3365ab4365ab33358b33459b33358b13358b13358b23459b23358b13457b13458b13357b03457b13357b13256b03356b03256af3255af3154af3255af3055b03155af3155ae3155ae3054ac3255ad3155ad3053ac2f52ac3155ad3054ac2f53ab2e53ab2e52aa3154ac3052aa3153ab3053ac2e51a92e52aa2d51a92f51a92e50a92d50a82d51a82f4fa62e4fa62c4ea52c4fa52c50a52b4ea42b4da32a4da3294ca22b4ea32b4da22c4fa32c4da22d4da22b4ca12c4da22a4ca02b4ba02b4ba0284a9f294aa029499e2c4a9e2c4b9e2b4b9e284a9e
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
41412c3a432d37452d34432b34432d313f2d2737291b2b22172222252b2d4a3f3c73584e8e6c5c9677648e73607d675366553f4c3e333530473a41824e63c75780e45382e45484e65182e55082e65182e85688ea5789e95585de4d76c3405e874349494641333a362824251a181a1613191e151c37212d61293d852f4da3325ab0365eaf335aa026518126455d2a424632473534482f36482f35462c3a4a2f3a462d3d472f3c432d3a402b3a442c384a3032472b2d41262a3d2524362120321f313c234b572f1a260f14191345512b49601c506422394a2521211c3a352d3d482a344d1f1a2c14152117131d17111b15111b13121b13131b13171e161b221a171f17131c130f160f0e130d1217111c212427334d2c4173314c8137527848607b566b885975a151749e496b7748675e37544b2a4633233a23273c27253a2722312222291c292d1e333c2537462a2f4226283b202e3f2534462b2c40272e3e26313f263442293542293241273c4a2a5e603c8d7957af9172a490737e7f60646e4f67715370785878805c767e546973445d68375c6634626c3a606d3d5c6b395c6c3a5e6c3b5d6b3a515e33424f2c333e21343b223e44304952414c5a48495b3f475734495634576242626b5461715c596b4e51624149593841523942543c4153394d5b3d55623e5a694453673c506537526938566d3c4f63374a592e4a552c4e5a354757384352344f533b5657445358464d5b3c4c5d365462395b663b646d3e6d71406f72436a70436673435a6d3f5163374f62345d703e7184507b8e65718670677e665b71525b684e50563d4e52384c4e305555315b5f34616a3a616f3f5d6e3e4d61354c60384b60384c6137485d315566376674436c7d5070856b738d987094c76898e66498ed649af0649bf1629aef639bf0629aef639bf0649cf1639bf0649cf1639bf0639bf0639bf0649bf1649bf1639bf0639bf0639bf06399ef659bf0649af0649af06399ef649bf0639bf0639bf0639bf0659df2649bf1659bf1649af0649af0649af0659cf1649bf0659cf1649cf1649cf1639bf0619af0639bf0649cf1639bf0639bf0639bf0629cf1629cf0629bf0619bef619bef639cf0639af0639bf0639bf0619aee629af0629bf0629bf0619bf0629bf1629aef639bf0629bf0609af0619af0639bf0639bf0639bf0619af0619af1629af1629aef649bf06399ef639bf0639bf0629aef639bf0639bf0639bf06199ee6299ee629aef6399ef6399f06299ef629aef629aef629af05f99ef619af06199ef639af0629af06199f06098ef629aef6299ef6298ee649af0649af06299ef6199ef6098ee6199ef6599eb789dd198b3c984a7d46899e75f98ec5c96e9588ad140619143617f5c84a8638db36385a86b99db598dd9496ea56994d36597e3628fc8526e774861566e94a56899dc6296e95381c0425a57646c574c464d4a4b6a647eb9628ecd37505f2433233c4432303c2456663a605e3dbba88f6a626130404d25382c324b46476e7c162f3b3a5067364c592d3e366077656281742d3e30798e7083a27f5b7c795d87bc6294dd5e8ccb587fa3667e71839572728868718762667e5d4f69624b6d8f5a87c95e92e45c93e96697df85a1bc8ea1b27097d95c92eb5d93ed5c92ec5d92ed5a90eb5a91ec5a90ec5a90eb5a91ec5a91ec5a8feb5b90ec5b90ec5b8feb5b90eb5b90ec598feb598feb5990ec5990ed598fec598eeb588eeb578dea598eea598eea598eeb588eec578eeb578eeb568dea568dea588eeb578cea5a8eeb5b8deb588deb568ce9568ce9568dea568ce9568be9578ceb568be9578cea578cea568be9568be9568be9578cea568ae8578ae9558ae8568ae9558ae9558ae85389e95589e85589e75589e75688e75688e75789e85788e75588e75588e75587e65487e65387e55387e55587e65587e65687e65486e55486e55385e45486e45386e35385e45486e45586e45485e35686e35585e25384e15485e25283e05283e15183e25082e15283e25283e05182df5283e05283e05282df5182df5181de5081de5081de5081de5180de5280de5180de5080de4f80de4e7fdd4d7edc4e7fdd4e7fde507fde507fdc507fdc4e7dda4e7fdb4d7dda4e7cda4f7ddb4e7edc4d7cda4e7cda4f7eda507dda4e7cd94c7ad84a7ad74b7ad84c7bd84c7bd84c79d74b79d74c79d84c78d74d79d84b77d64b77d64b79d74b78d64b78d54c79d64b78d54b78d54b78d54a77d44b77d54a75d34a76d34b77d44976d34975d34773d24874d24974d24875d24875d24a75d34a75d34873d14974d24873d04974d04973cf4a74d04772cf4571ce4772d04672cf4873d04770ce4770cc4770cc4872ce4771cd4770cd456ecc446fcd456ecc456ecb446dcb436ecc426dcb436ecc436ecc426ecb3f6cc93f6dca416cca436cc9436cc8436cc8416ac6426bc9426ac8426bc7436bc7436ac74269c64068c54068c33d5dae30447f425a944465b03d66bc3453a23147824267ba3e67c33e67c23e62b84c598a7174994465bb3d65c13c63c03d64c13d64c13c63c03b64c03e65c23e64c13b62be3c63c03b63bf3a63bf3f65c03e63bf3d62bf3b62bf3960bd3a61be3b60bc3a60bd3a60bd395fbd3a5fbc3b60bd395fbb395fbb3a5fba3a5fba3a5fba3a5fba375db9385eba375db9395fba365db8375eb9385db8375cb7365cb7375cb7375cb7395db8385bb6365bb4375cb5365bb4365bb4355ab3365bb5365bb4365bb43459b23459b2365ab2375bb43459b23257b13459b23358b33257b13156b13357b13356b03357b03356b13357b13256b03356af3255af3256ae3356af3256ae3155ae3155ae3355ae3156ae3155ad2f53ab2f53ab2f53ab2f53ab2e51aa3053ab3153ab3153ab3052ab3053aa2f52aa2f52ab2d51a92f51a92e50a72e50a72d4fa62d51a72e50a72e50a72e50a72c4ea52e50a62c4fa42d4ea32d4fa32d4fa32a4da12d4da22e4ea32d4da22d4da22c4ca12b4ba02c4ca12c4ca12e4ba02d4ca02c4aa0
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
4147293b462b39492d3e4f32405135384a323041322c37302f322f4d403c70584e856c5b8a735e84705972644a5e583e4a4d3a3f4740414c5e3f567a33587e2a5073284a6627415e213d601f395b33436c4c577f5e617962605f584f44423a342f2d31292d3d28304925354f1a324614283f11213e12254614284a21326331478839589d395c9133585e3e5845415a413a543a354b31414e3749543c484f373e452f35412e364432324231283e2e22382424362029382325351f1d2b191521151e25196c764989a34f8aa2574c6136394a225b73302a4214364b251d2e19181b18241e1927211c1c201f181e1e151d1a121a15121912141a131d22172127191e2618171f13161d121a21171a20191e2620232d3526344c2c3e613a5077465e85546d8658747d557676526f813b5f7028494320341f202d1927372629352d252e2523291b282f1f343d2739472c32442930432834452a35472b3144283546292e422627391e29391f2a39202a371e3e3f25584b336d5d3f6e6b46666f476c785175825d7a88617e8e61768757687c4a61733f667441717f4d717f4d6b7a4862703e57663547562a3442202a321a262d1b2f3526353e2d38452d4351314752304a53364e573b4f5a404c5b3f495c3d495c3a4f613c4c5d3d485a3a4856394f593b54603d5f6d43596e43526c404b663b5169405167414b623c485e37495d364c603a4259344b573455563a4b5136475431505f3755633b5c684056643b5562395c653b586338506033495d304a6033435c333f562e495f31495f35617446667351646f4e535b3a4c5230525430746c457f76536e6e4a5e673b4e5e33475a32475934425332485b33455b334559334859364b5f3b4e6543556f5864808a6c91c66c9ae5699def669df0659df0659df0659df0669df0679df0679df0679cf0679cef679ef1679df0679ef2649cf0659df0659df1659df1669df0669df0669df0659df0649cef669ef1679ef1669df0659df0669ef1669df0669df0659df0659cef659df1659df2669df0659df0669ef1649df0659ef1659df1659ef1649df1659ef1669df0679ef1659df0659df0669ff2649ef2649cf0639df1659df0669df0669df0659df0659df0659df0659df0649df0649df1649df1659cf1649cf0649cf0639bf0659df0649cef649cef649cef649cf0659df1659df1659df1659df0649cef659df0659cf0639bee639cef649cf0649df1649cf0649cef649cef659df0659df0649cef669ef1659df0639cf0639df0649cf0659df0649cef659df0639cef639bef649cef639bef639bef629aef629aef629aef649cf1639bef649cef649cef649bee659cef659bee639aec5b8fcb4d77924368834f79b56497e6649bec689ae06a8eb95d83b040698e3e5b685574704c6557394f506492c46298e341689c34474a4763606586686183723d5c5d3d52425c82ad6098e85d93e24a6eae41527a2530382a392734442a4752378c876c554f3e283126202e2850698c5e87c2324a6d4259785a88be2a475b657d66657f5b516344596f4f748a65738c627a8e63768c60617c4a576f4c6c85714c686b557eaf5f93e15e95eb5f96ec6295ea6b99de7aa0bf819f916c87605a7b7f6091cf6096e96095ec5e94ed5d93ed5e94ee5b92ec5b92ec5b92ec5c92ec5c93ed5c93ed5c93ed5a91ec5b90ec5c91ec5f93ee5e91eb5c92ec5d92ec5d92ec5a91ec5b91ec5b91ec5c91ec5c91ed5a90eb5c92ec5b91ec5a90ea5a91ec5990eb588fea588fea5990eb598feb5a8feb598eea5a8feb578dea578dea5a8feb588dea588dea588deb588deb598eeb598cea588deb588ce9598dea568be9578cea588deb578cea578cea558ce9568bea578cea578be9558ae8568ae8568ae9588ae9598be95789e85789e8588ae95789e85789e85688e75689e75689e65689e75689e85488e75488e75688e75587e65788e75587e55486e65587e65587e65487e65386e55588e75587e65586e45586e35384e15485e35486e55385e45485e35284e25384e15485e25384e15384e15283e05384e15283e05283e05283e05384e15182e05283e05283e05081de5182df5182df5180de517fde517fdd5180de507fdd4f80de5080dd517fdd5180dd507edc507edc517fdc4e7edb4d7edb4d7edb4f7edb4f7fdc4d7dd94f7dda4e7dda4f7ddb4f7ddb4f7cdb4d7ad94c7ad84e7cda4f7dda4d7ad74b7ad74c7bd74d7cd84c79d64d7ad74e7bd74d7ad74c79d64d7ad74c78d64c78d54c77d54c77d54b76d44c77d54c77d54b76d44a76d44976d44a77d44a75d34a76d44974d24a75d34a75d14974d14974d04774d14873d14873d14973d04a73cf4a73cf4871cd4671ce4671ce4772ce4973cf4671cd4370cd4670cc4770cc4871cd4771ce446fcd456fcc4770cc456fcb456fcb446eca436dc9446dc9456eca436cc9446ccb436cca436cc8436cc8446cc9446cc9466dca446cc9426bc7436bc7406ac54063b8303e6b54586a4b5c8e4269c04169c54268c4435aa2676a914f69b24068c43e67c33f68c44069c34069c43f66c33f66c33e66c24068c43f66c33d64c13d64c13d65c13d64c13e64c13d64c03c64c03962be3b64c03c62bf3d63bf3a61be3a61be3b62bf3c62bf3d62be3b61bc3a61bc3960bb3d63be3b60bb3b60bb3c61bc3a5fba3b60bb3b60ba385eb93a5fba3a5fba395eb9395fba3c61bb395eb83b5fb93a5db73a5db7395db7385cb7385cb6395cb6385db7365bb5375cb6385db6375bb5365bb4365bb43459b3355ab4365ab3365ab4355ab43459b23459b23459b23358b13358b1355ab33257b03358b13358b13357b03256ae3257b03356b03357b03256ae3256ae3256ae3155ae3155ae3255af3155ae3155ad3155ad3355ae3054ac2d52aa2e53aa3154ad3052aa3153aa3052aa3052a92f51a82f53a92e52a82e50a72f51a82f51a82e50a72e50a72f50a72d4fa62b4ea42c4fa52c4fa32c4fa32b4da32b4da42c4ca22c4da22b4da32c4ea42c4da22c4da2294ca0
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
252f1e28342125321e21301c1f2e1a24321e26351f2a392329382227351e26331f232d1d21291b1f26191d25181f2a1a232f1e293621303e2735452b3244292b3e2422351f2130202730232a30242a2f262a2e27232a25171f1d151e1c1d262625312f28302a282d282a2e2d282f352c364431414f39485441564e3e5633384e283a4c265363374c6234283c1f20341c1b2b1a1c291d1e2c221f2e25212e28252e2932332c2d312823312724362b2d3e2e2a3f281f31212635262130211d2a1f1d28201d271f1d271f212c242231271f2e271c2b26182420151f1e151f201822242633313747413e514a42524847544946534a44534c434f4844514b46554d485b4d4d634f4c654e475e463951372538231d26191f24192c3120566634758e337a952c7b932893a73b707c2b4241146e7c327290307595376d923b62843d4458344152353e4d2e414f2f4856334b5834555c3c636448676c4d5b6a415e76366083365d82335976323d50223343213345243748273649292e422526371e2a3a202e3d223040252e41272d40252a3a222a3a2326372124341d28371e2a391e34422435462934472c32462b3b4d2e51613d5669484a62463d553c3a4d313d4f30405333465c374258334b5b374552343d4b2d3a45273e462a4a4c3156583e4e523c4a4d384a4c374f513b50553a4a54334c57314b5b334b5b334859304a582f5a653494824dc4a172bfa8809e9a70707a535869415064384c5b354a54364b522d4a522b4c57314b58324555323c4d2b3444252d3d212c3e232d402633462b35482f3b4b34404f37424d36454e3744523f3e53483e54474359455163465969485d6d495f6b456a704a6e734e666d4d5e684a5e6a4d5e7058637a6f688389688da86a93c56f9add72a3ec76aaf577acf777adf978aefa76adf979aefa79aefa7aadf67dadf279a5e76d95c8698c9f6e848475837475836e7789827890957b959b82a1b181a8d77daef27cb0f978aff977affa77affa76aefb78b0fb7ab0fb7db0f97caef47ba9ec83abea89aff183b0f77baff979b0fb79b0fb76aefa76aff975aff977b0fa77affa78b0fb76affa76affa75aff977affa77affa77affa77affb77affa77affb76affa75aff977b1fb78b0fb77b0fb77b0fa76b0fa77b0fb76aff978b0fb78b0fb77affa78b0fb77affa76b0fa76b0fa77b0fb77affa76affa76affa76aef978b0fb78b0fb77affa79b1fc78b0fb77affa77affa77affa77affa77affa78b0fb78b0fb75affa77b1fb76b0fa77b0fa76aef977affa76aff976aef977b0fa77affa76aef977affa79affa79aefa79affa77affa78affa77aefa78aefa77affa77affa76aef977affa76aff977affa75aff975aff876aef85c8cc74d6c7b4b5f5127382f2a414c56798e5e8089465f4a465d592f464d7093a376aae56092d95d85b0748d89485a5153719275aced3a60871a2e292b3e2e405b69638bbc5b6c915d5e77827472867f65514c39c5b4988475648b867f696967404b49324136415846354b39637b5e6a814f4b6239384b406588b37babe995b6d298b5b16088a74662607d926f738b68687f5f799a9876a1c8688dae7792998caab67ba2c966879f6c92b970a8ec70a9f471a9f571a9f672aaf773abf672aaf570a8f570a8f570a8f571a9f66fa7f470a8f572a8f572a9f670a8f570a8f56fa7f46fa7f46fa7f46fa7f470a7f471a9f670a8f56ea6f370a6f46fa6f46fa7f46da5f26ea6f36da5f26ea6f36fa7f46ea6f36da5f26ca4f16ba4f16ba4f16ea6f36fa5f26da3f06ea3f16ea4f16ca4f16ca4f16ba3f06ba3f06ca4f16ba3f06ba3f06ba3f06ba3f06ba3f06ba2f06da2f06ca1ef6ca1ef6ba2ef6ba2f069a1ef699fee537ac15d7ec46b9fed6aa0ee6aa0f06a9fee689eee6a9fef6ba0f06a9fef6a9fef6a9fef6a9fef699eee679eee689eee699eee689ded6a9dee699dee6a9dee689ced689cee689ded679beb679cec669bec669bec679ced669ced679ced669cee669aed669aed679aed679aec679aed679aed689bee6799ed6698ec6496eb6497eb6498ec6599ec6397ea6397eb6397eb6496eb6296ea6397eb6397eb6195ea6296ea6195e96296ea6094e86295ea6395eb6495eb6193e96193ea6193ea6194ea6194ea6193e96092e96092e96092e96193ea6193ea6193ea6092e95e90e76090e76090e85f90e85f90e85f91e95d90e95c8fe85e90e95c8ee75d8fe85d8fe85b8ee75b8ee75c8ee75b8de7598ce55a8de65a8ce55b8de65d8de75c8de65c8de65a8ce55a8be55a8ae55c8be75a8be6598ae55989e3598be45b8ae45988e25a89e35a89e35988e25988e25a89e35887e15787e15788e15787e15887e15786e05986e15a87e25886e15684de5584de5684de5684df5684de5683de5784df5583dd5683dd5482dc5583de5683de5684dc5583db5482da5481da5482da5380d95482da5482da5381d95280d95381d9517fd7537fd85380d8537fd6547fd74763af3539595d74a2537ed2507cd5517dd6507cd5507cd54f7bd4517ad3527bd4527bd3527bd44e7ad34e7ad3507ad2517ad2517ad24f78d04f78d0517ad24f78d04f78d04f77cf4f77cf5179d14e77cf4f78d04e77cf4d76cf4d76d04e76cf4e76cf4d75cd4d75cd4c75cd4b74cc4b74cc4a72ca4b73cb4b74cc4b73cb4972ca4a72c94a72ca4971c94a72ca4972ca4c71ca4b70c94b70c94971c94970c84971c94870c8476fc7476fc7476fc7466ec6476fc7476ec6476fc7486dc6466bc4476cc4456dc5466cc5476cc5466bc3476bc34360b0424a7a4967a6456abd4469c0456ac2466bc34469c14368c04267bf4368c04267bf4469c14368c04368c04267bf4166be4166be4166be4165bd4166be4165bd3f64bc3d63bb4065bd3f64bc3f63bb3e63bb3d62ba3d62bb3e62ba3f63bb3f63bb3f63ba3f62b93f62b83d61b73b5fb53d60b74062b74061b73d60b63a5eb43b5fb53b5fb63b5fb73a5db53b5fb53c5eb53c5eb53c5eb53c5eb33b5db23a5cb33c5eb5
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
3e492d4950355a583d69583c6e5136634b2f46442835422832432937472d454f364c533b4b56364a58344859343e4e2c3645273542262e3b202c3a1f2c3b213240283a48303c4d3433482e2e3f2e2a3a38374455666482807fad798ece6791c886a19e899f7661764c5b6f444b62344d62365267413a51392d423e2a42412238321a2c2814221a0d160e0d140d1219121921191c261f202b25232f2d25343821343b2534352e36312e342b27312124301d24321e24331e26342022301f1a2819192419172017181f161e221929291e423a2b4e42303d3e302c382d27332c26322a313e2e3d4932454d354549344042313e3d2a3b3b28373c27313a24313d253544263b4f28364e202c4415293f1431481738531a3e591c3d5b1f3c581f39521f334d1d283f18233117293a2323341e25341f21321d192e18182a141b2b142533174b552d788046777a4b6c6345563829704b3c3d3427232a1e262d1c675532c4b55d54671b495e1c7c903d5a7727506c29253b17222f1b253321232f1c232c1a242d1b29321f3b442d3f4c37374a3139482a4b503551574267624e685f516d62586c625c6061564b543d40492f464e334552314655314a5a3447573144542d3d4e263c4d213c4d2147582d4a5c324b5f33435a30364d273a4c2547582d55643b53643c4f6237465b2e4a5e305d683d636847575c414b52344c5233535e3b55653e4f64364d60335263365c6d405e71475c7146566b3e4d6235475e314b6035495c384557384455364356313a4b293a4a2a435333515e3e5a65476e714a9a875b9584628d876b727c615f745e566d55587052576d4c5d6a4b5c67485e694957654254653e596a455f72586b8481769ac07caaec7eb2fa7fb4fe7fb5ff7db4fe7fb5fe7eb5fe7fb5fe7fb5fd7fb5fe7fb5fd83b6fd84b2f57ea8d87599a96a8a7a6a7f5c7d845e808265777d666a7a69647b746a837f728d937a9ebe81abe981b3fb80b5fe80b6fd7fb4fc7cb1f979a9eb76a2d57298b66c91a16382935d757f596c69586865546569546b725e7a886c8dab789ed07dace97eb3f480b6fa80b6fe7fb6fe7fb8ff7db6fd7fb8ff7eb7fe7db6fd7eb7fe7eb7fe7fb8fe7fb8ff7eb7fe7eb7fe7db6fd7fb8ff7eb7fe7db6fe7fb8ff81b7ff80b6fe81b7fe7fb8fe7eb7fe7eb7fe7eb7fe7fb7fe80b7ff7fb7fe7fb8ff7fb8ff7fb8fe7eb7fe7fb7fe80b7fe81b8ff81b7fe80b6fe80b6fd81b7ff7fb6fd7fb6fe80b6fe80b6fe81b7ff80b8ff7fb8ff7eb7fe7fb7fe80b6fd81b7ff7fb6fd7fb7fe7fb8fe7db6fd7eb7fd7fb8fe7fb7ff80b7fe7fb6fd7fb7fe7eb7fd7db6fd7eb7fe7eb6fe7fb6fe80b6fe7fb6fd80b6fe7fb5fb74a5dc7899ac9bb4b1a8c2bcb6c8b1a6b39b7f928f82a7c880b5f27eb6fb7eb5fd7eb5fd7eb6fe7db6ff7db5fe7eb6fe7db5fc7eb5fa6a96c578928a81967c43584a3b523b556c527d95974a5f6d404f456477684357597496b35f686cc8b392dfcfb07e756089816dd1c4a7595359545f79637788283c382c414278a1bf5d808549605a7497a343626e2e474b59777c8ca89b97b09755717a355177597ba8839dc49eb6cba5bcbb9ab7c18bafc88aa8a78da68f819c8f81a1ab7a9db37caee677b1f877aff978b0fa79b1fb7ab0fa7bb1fb78b0fa79b0fb77affa78b0fa78aff979aff97ab0fa79aff978aff979aff979aff978aef879aff979aff978aef878aef979aff979aff978aef878aef877aef875adf875adf776adf878aef977adf776adf775adf776adf775acf773abf573abf674abf676adf775abf575abf575aaf674a9f576abf775aaf676abf777abf675aaf574a9f573a9f574a9f574aaf572a9f472a8f473a8f472a4ed556a9c6e8fca73a7f172a7f372a7f373a8f472a7f372a7f373a7f372a7f272a7f371a7f272a6f271a6f272a7f373a7f273a7f372a6f270a5f170a5f16fa4f070a5f170a4f070a4f070a4f070a4f071a5f170a4f070a4f06fa3ef6fa3ef70a4f06fa3ef6fa3ef6ea2ee6da2ee6ea3ef6ca1ed6ea2ee6da2ee6ca1ed6ca1ed6ca0ed6da1ed6ca1ed6da1ee6ca0ec6da0ec6fa0ea709cd69caa95a5b2aa78a1db6b9feb6899e14869a1608fcf689ee7799ec4a3b19093a9be709ee46a9deb699ceb699ceb689bec679aeb679ae96180be53555d8a8361a67659f1b48ff3b890ea9371c96a4dc96b50f2a47ff09979cd97a68193d76c99e96797ea6798ea6797e86b98cfa5b59c86a0bd6796e56396ea6395ea6396e96395ea6294e96293e86595ea6494e96393e86191e76192e86293e96393e86292e86393e86191e76091e85e91e76291e96191e86190e85f8ee65f8fe76190e85f8ee6618fe75f8de55d8de55c8ee65d8ee75d8ce65d8ce65d8de65f8ee65e8ce45e8ce45d8be35d8be35d8be35d8be35e8ce45c8ae25d8be35b8ae25c8ae25c8ae25d8be35c8ae25a89e15b89e15a88e05b89e15b89e15a88e05987df5987df5987df5987df5a88df5985de5a86dd5578c52f33575964865c84d45783db5784dc5783da5985dc5985dc5883da5783da5783da5682d95783da5682d95581d85581d85581d85680d85680d85780d85780d8567fd7567fd7557fd6557ed6557ed6537cd4557ed6537dd5557ed5547ed4537cd3547dd5547ed4547dd3547cd3547cd3527cd2527cd2517ad1527ad1527ad1527ad1517ad15179d0527ad15179d05078cf4f77ce5079cf4f77ce5078cf5078cf4f78cf4f77ce4e77ce4e76cd4f77ce4d75cc4d75cc4d75cc4c74c94f75ca5074c83c4e95282244445c884e73be4d73c64c73c84971c84b73ca4a72c94971c84b72c84a71c64a72c74a71c74b71c64b71c64b70c54a70c54a70c54b70c5496fc4496fc44a70c54b6fc5486ec3496fc4476dc3476dc3486ec3476cc2486dc2486cc24664ba292f72425a90476cb6476bbd476bbf466ac04469be446abf4368be4368bd456abe4569bd4669bd4669bd4467bc4266bc4165bb4466bc4567bb4466bb4567bb4567bb4164b94365b94566ba4464b94364b8
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
495f394055333a4e2e2c41242633202f34253234252d321f2a351f3744293c4a2c394a2a334629394c2f49563a505f3f4a5c363f502e3b4c2a384a293145262c3f232c3d2335432b3a48323e4a383c47393541393a443c444c414e5a494b5a403d48304b593d4e5d4148583d425034504e3557513c4e543f384d342b422523361d212f1b1e2b18131f120d150d090f0b0b100d0e12110e12110f1413111715141a17141a182325223a353238383a2631371f2f4429395832465e3a505c44585d4a5e5a4e624f4b5d3e4a5b3a4d5d3856643e5b6a44566843526741576a4166734c6c785964755d5268574f61504652413b41332a332520281c23291a3032213335233a382a3433272c2e23252b1e232a1b26301f293220313b24343d253341262c3f2022321a1a2516151a121d1d173b322d4e43444144452a352b1b2718182314172013181e131a1e171c1e181b1d181a1d171c1f14322e212d30231e221a1d1f191c2117162012101a10131c13151c141820151d25191f281c222a1d242d1e33392a393c303f4034474539514d404c4d3c4349323d472a3d4c293b4c2743532947582e3e512a374c233c502745572d43562c43562c3d4e273a4a25374824405228485a3041572e42572c4f64314f67374a62334f63364b5d3643552e3e48263c402742442c424730404a2c4d56314a583442542e4052294658304d5e3655643e53633e52633d53633e53633e5b6b4460704c536847455d3a4e613a6f7b52929164b6a77ba69d7c80865b6875435d6e4051643841552c3648243a44244d4f2e5d6039676f436977496a7b4e6e7f4d6e7d4d7080547383596b7d595f755e526b6a516e7c587b9f668ecb76a3eb7faff982b3fc84b4fa84b5f985b7fb83b8fd84b8fe81b7fd82b7fd82b8fd83b8fe83b7fd85b6f982ade47ba0b3728f84878f739c94778e887171755b535f4455664c637565718b8f7fa1c285aeea87b4f786b5f881b0f07daae3759ecf6a91ba62859e71858e758084697a806a808d6983926a88976b8fa7749ac77aa5e27daef081b4f983b8fe83b9ff82b9fe83b9fe83bafe83b9fe81b9fd80b9fd81bafe80b9fd82bbff83bafe83bafd83bafd84bbfe84bafe82b9fd84bbfe84bbfe84bbfe84bbfe81b9fd80b9fd81bafd80b9fd81bafd82bbfe82b9fd82bbfe82bbfe83bbfe83bafd82bafd81b9fc82bafd82b9fc81bafe82bbfe82bafe83bafd84bbfe84bbfe84bbfe81b9fc81bafe82bafd83bbfe80b9fd82bafd83bcfe83bbfd82b9fd82b9fe83bafd82b9fc84bafd84bbfe82b9fc83bafd83bafd84bbfe83bafd82b9fc84bbfe83bafd82b9fc83bafd84bbfd84bafd84bbfe84bbfe83bafd82b9fd84bafc7fb2f46992c75877963e55645a72746d8b9b5f7d935a6a637b8b67758d7d7190a03f566d485b5874929980afdf7fb5f781b8fd82b8fe83b9fe82b7fb5b85c03852706287a65d7b9181add7405f8e2a383d46575d53635b4c554161694e998c7be9d7b6e9d9b7cdbfa696929073797940503d3b50375e7b763b5458516f8a5b84b9355184688dc084aee292b8e093b3c1809e9f6482804256465e757269828b7e99a0819c9a7f9d9e7ea6c481b5f37fb5fa7db5fc7cb3f87eace677a1d17dafec7eb5f97eb4fc7eb5fc7cb4fc7bb3fd7bb3fd7ab2fc7bb2fc7eb4fd7db3fc7cb3fc7db3fd7db3fb7cb2fa7cb2fc7cb2fc7cb2fb7bb1fb7cb2fa7cb2fa7db2fb7eb2fb7db1fa7cb1fa7bb1fb7bb1fb7bb1fb7bb1fb7ab0fa7bb1fa7ab0fa78aef87ab0fa7ab0fa7ab0f979aff979aff979aff978aef877aff978aff978aff976adf778aef879aff978aef879aff979aef877adf776adf776acf677adf778acf779adf77299da7788b37fa9eb77abf578acf776abf676acf676abf577abf678acf777abf676abf575abf574aaf475abf576aaf577abf677abf677a9f577aaf575a9f475aaf474a9f373a7f274a8f374a8f374a8f374a8f374a8f374a8f373a9f373a8f373a8f371a6f171a7f272a7f270a6f070a4f071a5f172a6f073a5f07ba8eb8fafe673a4ec71a5ef73a1db90adc184a8da71a3ed6ea2eb6c99c47a936e718340738d49879d5878916685a9ba73a2e46793d144576f626c5c7b80808a9b90899d7a8da7af769ac4acb9b583a3d46fa0eb6d9eea6a91d7474e6e504f3d553a229a5d40e6a479e49366da805b96553686593cca7556eead87e69b85af8ba87999e06d9ce86f96cb8fa39b7e9fc86c9be7699ae96a9aeb6a9aeb6a99e96a99ea6999eb6898ea6797e96898ea6898ea6898ea6798e5698dbf768ca26f96cb6797e76696e96696e96494e86494e86395e86495e96493e76594e96593e86594e86494e86493e86393e86191e66191e66292e76292e76190e66391e76391e76190e66090e7608ee66190e7618fe5608fe45f8ee65f8de5608ee65f8de55f8de45f8de55f8de5608ee45f8de35e8ce25e8ce35d8be35c8ae05d8be15e8ce25e8ce25c8ae05c8ae05b89e05d89e045549138354760719b5e89dc5b89df5a87de5b87de5d89e05b88df5b88df5a87dd5a86dc5a86dc5a87dc5985dc5a86dd5884db5985dc5a84da5983db5783da5782d95884db5883da5882da5882da5982da5881d95881d85780d8547fd7557fd65680d65782d85680d7567fd65780d85780d7557ed5577fd6577fd6557dd4547ed4547cd3557dd4557ed4557dd4547dd4547dd4537cd2537cd2527bd2537bd2537bd2527ad0527acf5179d0517ad1527ad0527acf5179cf5178ce5073c6344284455f9a4f78c55179cd5278cd4f76cc4e76cc4e76cb4e76cb4d75ca4e76cb4e75ca4f75ca4f76cb4f75ca4e74c94e75ca4e75ca4d73c94d73c84d73c84e74c94e74c94c72c74d73c74b71c64a71c54a72c74970c54b70c54b71c6486abf232f792c437a476fb34870c0486ec1496ec2496ec3496ec3486dc3476dc2466cc1476cc1476cc1466ac0476bc0476bbf476bbf4569bd4669bd486bbf476abd4468bc4468bc4569bd4569bd4568bc4568bc4669bd4669bd4767bc
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
3d5038384a3138482d2f3f2424331e2b34213b3c2a3d3f2c323a2628351f28371f3343283b4c31374b2f33462b3f4d304a573a4e5d3f495b3a4d5d3b4e5e3b3c512e2e4023303b2639423140463940473c354137293a382c403b3c4c424254483c493f46544045513b4350393a483234412b313e262f3e2525361d1f2f19212e1b202d1c1b2818131d130e160f0d140f0e140f0f1512111715121816111717121816161b1b1f2427363538393b462c3a5124385d2f4768394d684258644f635d596a60596a595162414d5f3a51623b51613b4f603b4b5f39495d384d603b596b475f7050606d595c6a58515e4d404f422f4037263329202720272a232e2d253231272b2b2325261e1d22181e241822291c2a3322313924353e254a4f32545c3a4b573a3e4f34283b201822131a1d1637302a4d4441454441252c24151d14131a11151b13141913121611121611131812161b15151b13141b13141a12141912161b131c1f1528271c303229111914131a13181e171a20191b20191a1e1724261e2d2d263031292a2d21292c1d2b301e2c361e2c371c3541213442213747233c4d2845572f3e532b384c273b4d273a49233d4b233d4c263648242f431f2e411d3545223e4f28394e26405328485b2e40572b3e4f27464d2e44492e3b4327343e22313d1f3945243e4d2b3d4e2b485832475936435b3241572b41552d44562e4858314c5e374b5c364959335061375d6e466478535f78585871535f744d727d53978d64b09a75a0967580855d5f6e4b5562424b59363d4c2c334227303d243c482c535e3d6571506473556b7a596e7e576c8157667e566179575a735f4e6a6944627448698f5777ad6185c66793d46e9adc79a1df84a8e18faee39eb3e499acdf8da9e186aeec88b5f789bafd88bafd86b7fa89b4f082a9d173969c7a8d7e9e997ea398808d8c76717c615b6e546175656c848b6f8a9f7391a97f9ebd8aa8d186aad07da3cc749abd658b9e6485976d7f97737f8b6c7b7767796e697f796d89977598bc7fa8e186b3f885b8fd84b9ff83bafe82bbfe81bafd82bafd83bafd83bafd85bcfe84bbfd84bbfd83bafd85bcff85bafe86bafd89bcfe88bbfd87bafc84bafd83bafd84bbfe85bcfe84bcfe85bcff84bcfe82bbfe83bcfe84bbfe85bcff85bcfe84bbfc84bbfd85bcfd84bbfd84bbfd84bcfd85bcfd85bcff85bcff84bbfe85bcfe84bbfe84bbfe84bbfe84bbfe84bbfd84bbfd84bbfd85bcfe83bafd84bbfd85bcfe83bcfd84bbfd84bbfe84bbfd84bbfe84bbfc84bbfc85bcfe84bbfd85bcff85bcfd85bbfd85bbfe83bafd83bafd83bafd85bcfe83bafd84bbfe84bbfe85bcff83bafd84bbfe83bafd88b6f189addb92bdf185bbfa83b7f57eadc77ba4a3749593748e7e768f6c6075524a5d3b5269417ca088779cb059798268887e82a6b187b8e783b9f982bafd82b9fc7baeef33517b243440445b766089b32b3d4d6481a87191c45879a45b60619f9889e4d6b3e6d7b4d6c3ab8993b2678dbb3349493548302d3b2f455c6f5b7ba388b0df92bbdd678691617d8a809da98badc46c9dce35505a6c8577809b8a7188737a9a9f82b0de81b7f880b6fc81b6fc84b5f27ea8d585add884b6f27db6fb7eb7fd80b6fe7fb5fd80b6fe7fb5fd7db5fc7db6fd7eb6fe7db4fc7fb6fd7eb4fc7eb5fd7bb4fb7eb4fc7eb4fc7fb5fd7eb4fc7eb4fc7db3fb7db3fb7cb3fb7eb4fc7cb2fb7eb2fb7eb3fb7db3fc7cb2fb7cb2fb7db3fb7cb2fb7bb1fb7cb2fc7bb1fb7bb1fa7cb2fa7cb2fb7bb1fb7ab0fa78b0fa79b0fa7ab0fa7bb0fa7cb0f97aaff97ab0f97ab0f978aef878aef77aaff879aff879aff979aff978aef877adf778abf3617cb47a9bd57aaef67aaef879aef977adf777adf776abf679adf778acf778acf777acf776abf675abf576aaf577acf777abf677abf678acf777abf676aaf576aaf575aaf476aaf576aaf576aaf576aaf575a9f476aaf576aaf575a9f474a9f473a9f373a8f373a7f274a8f376a9f473a7f272a7f171a6f072a6f073a3e57495b283a9d372a5eb70a5ed709fda6989ae72a1e472a6ee70a2e55e7e95708a6c516749718c557a8c4e57755083a7a97aa5dd709ddf475e7c434c3a647165333c3539524f80a4b398aca388a7cd70a1eb6fa1ec6e9ee96688be6a6d634a311b855638da9164f3aa79d1845c5f3d2aa1755ca95b4190593cd8a07bd8928c9996c97699db7894ab89a1b16d9ce46b9dea6c9dea6b9ceb6b9bea6c9ceb6c9beb6c9beb6a9bea699ae9699bea6799e86995d6748a9c7892ae6b98dd6797e86798e96797e96999eb6797e96596e96396e96495e96696ea6696ea6695e96694e96593e86594e86494e86595e96393e86292e76393e86393e76292e76392e86292e76291e66393e86391e76291e76190e66291e76391e76290e66290e86290e86190e7608ee5608ee4608ee4608ee4608ee4608ee4608ce3608ce35f8de35f8de35f8de35d8be15e8be15d8ae14f6ab03537566176a85e8adf5c89e05c8ae05d8be15d8ae05b89e05987dd5987dd5c88df5c88df5b87de5985dc5a86dd5b87de5a86dd5b84dc5b85dc5a85dc5985dc5884db5783da5783da5884db5983db5a83db5983d95a83da5982da5983d95882d85982d65a82d65882d75781d7567fd7557fd65781d7567ed5577fd65880d7577fd6567ed5577fd6577fd6547ed4547ed4537dd3547dd3527cd2537bd2537dd3527cd1547cd3537bd2537bd2537bd2537bd0527acf5678cb1f225f3146784f78c15179cd5379ce5177cc5179ce5078cd4f77cc4f77cc5178cd5278cd5177cc5278cc5278cc5076cb5076cb5076cb5076cb5076cb4f75ca4e74c94e74c94e74c94f73c94f73c85074c84f73c94d73c84b71c64d71c64561b11d25633f59903e5ca04a6bb4496fc14b70c44b6fc34b6fc24b6fc24a6ec2486ec2496fc2486ec3496fc4496dc3486cc1496dc1486cc1496cc14a6dc1496cbf496cc0466abe466abe496bbf486abf476abe4669bd476abe4669bd4869be
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
171b123428296757506763525761444f60393f502b3244252a3c2128371e343b253f3d2a3c3b282c351f27331d303d2739482f3d50354154373e513444543553613f586a4551673f43573630472b1b2f1b141f141621161923181822181d281f28332e2d393d293c3e253c3720353121372a2839232c3b242a38212a38202c3a2229372028351d2b361f40452e63583f686248545a3c3e4d2d3749293245273141262c3a212b38222733202831202a31212932212732212733212936222f3b2638442c404a31434f344451334451324753344a55354a55354d56394c513a4a4d38444633393b2d3034292f342d3138333338382f3b332437281f2e221b281d1b261c162118111a12101710101710161c141d2518242d1d283020262e1f21291b1c25191c241920251c20231a1819131c1914372d274f43403e3e3a252923181a17151714121411131713161c17161f18121c140d160f0c140d0e150e111711111610111611121712131611141612161a15191c171f211b453b3686716bafa49f7982842e39322934202a38202b39222f3d28303d2a2f3e283143253545263547263346263244243241223741233c412743462c49482f46472f40462a3c47273a482542532e4b5d3850643d485e353c5229354c25314621364a25354926384f2b364f2c334d2a344c2a2e43262f4628273e21263a1f2f3e2335472d2a3e261e31192f382240433055543b56573c4d52394850333d4a2b3a49283a4b273a4d293145243242223946234c562f4b563242502b3747232d4026283a332c3c3c3347453a4e4c4158583e575b3e5755455b4f4a5d51506562546e6c5b77765c78825a77795a755e5e74515a714c536b474c603e495b3b505e40515c414f5c414a5b3f4e644b67828080a1c38eafdb8dafcb84a3aa718e85758471998f779a91788c8c73737e6c5b6f62536c584c66524c6453465a4d48574845543f48563c5260405d6f4f637a62627c72617a735975674b675a3c564c30473d263c372239432539503147604b677f688eb77aa8e486b6f78bbdfd8bbffe88befe8ac0fe89befe8ac0ff89befe84b8f97aa9e6719bc0698b99688078737860877859958464a09d949dafc499b7dc9abae198bce593bff78fc0fd8cc0fe89bffd8ac0fe89c0fd8ac0fe8bc0ff8cc1ff87bffd86c0fd87bffd87bffd88bffe88c0fe89c0fe88c0fd8ac2fe8ac2fe88c0fd89c0fd89bffd8ac0fe8ac0fe89c1fe88c0fd87c0fd87c0fd88c0fd89c1fe8bc1ff8ac1fe89c0fd88bffd89c0fe89c0fd89c0fd88c0fd8ac1fe8ac1fe89c0fe89bffd89bffd89c0fe89c0fd89c0fd89bffd89bffd88bffd89c0fe89bffd89c0fe89c0fe8cc0fd95c0f88cbffc8abffe89bdfd86baf982b4f184b3f08dafde7a8c917b8c576d823c768f497792588baa928db8e088b8f586b8f082b2e17b9fb3829f8e6d89783047506183a485b9ef86befd85befd84baf73e5c83627775637966727c6b9b8e80dac4a1dbcbaed0c3a85c5c4738495380aee378aeec37528c96b7d35d818e2c424134453845563b82976e7b94644c62433b555148647a87adcd84a7aa7490705d724a6a7e5f8fa58a89a29385abbd88b8eb89b7e992b0c292b5cd89bbf486bbfd85bbfc85bbfc86b8f68ab3e996bef588bafc85bbfe84bafd84bbfe83bafe82bafd81b9fd84bbff83bafe83bafd82b8fd82b8fe82b8fe82b8fe83b9ff82b8fd82b8fe83b8fe83b8fe81b7fd81b7fd81b6fc80b6fc82b8fe82b8fd80b6fe81b7ff82b6fe81b7fd7fb5fd7fb5fd80b7fe7fb5fd7fb5fd7fb5fc7fb5fc80b5fb80b4fc7fb3fc80b5fc80b4fd7eb2fb7eb3fb81b6fc7eb3fb7eb3fc7eb4fb7cb2f96993d36d90ce7fb1f77db2f87eb3fb7eb2fb7db1fa7db1fa7bb1f97cb1fa7cb0f97db1fa7cb0f97db1fa7db1fa7cb0f97baff87caff87db0f97baff87caff87aaef779aef77baff87aaef779adf67aaef77aaef778acf579aef67aaef779adf678acf57baef77badf67badf67badf67aacf57aadf679acf579abf478a9ef8aa9d293aed27aabed77acf276a8e57299bf7ca9d587a6ac8fa8ac7fabe06c96d5485f8483a6c1546c8d43564360713d2d3e206081477c964f7e92505568397194766b7f772128222e42286d8d61889f93363f55456ba56fa7ef71a6ed71a0dc7b93928590735b5c4a23261880765de9b083e18e66ba7753634a38b19176d28a68f2ab82f5b48dd7a8a6969bcd7c98d67d9ac58ea2c17ca2df72a1ec70a1ed6fa0ec6fa0e96f9bd1748f8b758f81759dc86d9de06e99d56d8cb75c749350637b4d6080627db07299de6d9ce86c9cea6c9dea6d9cea6d9cea6c9be96c9bea6b9ae96b9aea6b9bea6a9bea6a9bea6a9ae96a99e96a99e96897e76a98e96b9aea6998e86998e86998e86897e86796e86796e86897e96897e96796e86796e86695e76796e86796e86896e86794e76694e66694e66493e66593e76593e86593e86592e76392e76392e66191e56190e46391e6536aab41445d62739b628ddb6290e56290e56290e5618ee3628fe4618ee35f8ce1618ee3618ee3628fe3628de25f8ce15f8ce15f8ce15f8ce15e8ce15e8be05f8ce1608de25e8be05d8adf5e8be05f8be05d89de5c89de5d89de5e88de5e89de5c88de5b88dd5c88dd5d88dc5e83d34a63a46182c65c85d65c86d95d85da5b85d95b85db5b85db5b85d95b84d85c84d95b83d85a82d75981d65a82d75a82d85a82d75a82d75b83d85981d55b7ed13e4582434d77567ec15980d15a80d3597fd25a80d35a80d3597fd3587ed2587fd2577ed2577ed1577dd1577dd0557ccf567ccf577dd0567ccf567ccf557bce557bce547acd5379cc527acc527acc5379cc5378cb5072c32d3d84131d523e609b5174bc3d4c8f4852815673ae5277c45177c75076c74e74c74d75c74f75c84e73c75075c75074c74f73c64e73c44e73c44f73c54f74c54b71c44b70c34c70c44c70c44e71c34e72c54c6fc34d70c34d70c34d70c24c6fc24d70c24b6ec14c6fc14b6ec14b6ec24d6dc2
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
2029181e251618180f27211b30322536412a3e4e333e5335364c2c3445263143272f4125333e263d412d3e422e343b272b372124351e2636212e3f283d4d3240513235492a2d3d222e3c2229381f1a2817162217151f181a211c1a231e1d2824202b2a212e292430272935272b3a262a39242c3a24323f2836412a3d493147533b4c5a405060434f5e3d4f5b394d57364954333d4d2c3b4b2c37472a3040252c3b202c3920323e25303d25273420262f1f2a3121313826303a262c362129341f2d38202e3820313b23384229374228343f273139242f37222d352129311e282f1e2f3427343d353648403c4e4145543c475334414f2f38492d2f412a2d3e2a26372518281a121b12131811191b141d1f161d20161f23172024191a1f151b1c132f25194c37285e453250422e2e2a1e1a1b141f1d1723221d1d1e1b14161310121010121115191a161e2c131f32121f230f181310161011151012141112141111131010130e0f140e0f150e101710141b13161e151821161721151b24161f2819292d205a4e438b6f5f947f6d535143343e2e38432f39462e34432a303e24323f24434b2f464a3147472f42422a383c252c381f29351c2e3c20374726374b28354b25374d263c50293c4d2c3c512d3248243349243f532f465b374d663f435c393652302b45243347293241273f432b3535213436232e35212d3723293420283221313826343e283442273242253b4b2944573041582f39502730461f2b3e1c23341a1b29161c27161e2b18202e192636202a3c272b3c292d412d2a40322d443b35473e3e51414a5e495067515e7354687b536f815b7182626b7d6260735b5c6f54566c4f556d4e546c4a516745546444545e414f59414e5a4456644e63786a748e9683a0bc8cabcd8aabbe81a0a26e8d7f6f846986866c86826a797b61677156586c504f68504d634f4c5f4e4d6052485c51455c4e4a634e4f6b58546f685d787b607c83627f7f5c7a73506e66405b58375255324d5a344e66466587597eb56b94db7ca9f084b6fa88befe8ac0fe8bc1fe8bc1ff8bc1ff8bc0ff8cc0fe88bdfc82b4f278a6d66e98b364899556777a4c655f4c5c484e5c3e5462415d724e6884707699a683acda8cbaf78ec0fd8dc2fe8bc1fd8cc2fe8cc2fe8cc2fe8ac0fd8ac1fd8bc1fe8cc2fe8bc2fe8ac1fd8bc2fd8cc2fe8bc1fd8cc2fe8cc2fe8cc2fe8bc1fd8cc2fe8bc1fd8cc2fe8cc2fe8ac2fd8bc2fe8bc1fd8bc1fd8bc1fd8bc2fe8bc1fd8cc2fe8cc2fe8cc2fe8dc2fe8dc2fe8dc2fe8bc1fd8cc2fe8cc2fe8ac1fc8cc2fe8bc2fe8ac2fd8bc2fe8ac2fe8ac2fd8bc2fe8cc2ff8cc2fe8bc1fd8cc2fe8cc1fd8bc1fd8ac0fd8ac1fd85b6ee7fa2c28aafcb85b9ef80b4f777aadf79a8b980a59a8ba97193ad588aa64c8ca6588aa45b6c84408ca6548caf6780abac7faedd79a9ce4c6b756179667b987183a180607d7c3d577547669e5b80b23a5156536a4e5b6f4b5759426c66599c9b884c4a3ba89b8490817b3f425e212c3c3a48413c514c7c9bb1809c9786a07d8dae9e617b6b8097677e98676f8c7a7ba2b85c818e576f5e677a6b63819885b6f086bdfb89b9ee85a9cf82aada88bcf58bb9df88a9a17f977993a9a08fb3d589baf687bdfd87befe85bcfd85bcfd85bcfd85bcfd85bcfd85bbfc85bcfd85bbfd84bbfd85bcfd84bbfe84bbfd84bbfe83bafd83bafd85bcfe85bbfe85bbfe84bafe83bafd84bbfe83bafd83b9fc84bbfe83b9fd82b8fe83b9fe83b9fe82b8fe82b8fe82b7fd82b8fe83b9ff81b7fd82b7fd81b7fd82b7fd81b7fd82b7fd80b5fb81b6fc81b6fc81b6fc80b5fb80b6fc7fb5fb80b5fb80b5fb7ba8e8748bbb85b2f481b5fc80b5fb7fb4fb80b5fb7fb4fa7fb3fb7eb3f97eb3fa7fb3fa7fb3fa80b3fa7fb3fa7db2f97eb2fa80b2f980b2f97eb1f97db1f97cb1f77cb0f87bb0f97cb1f97cb0f87eb1f97fb1f87daff67cb0f87cb0f87db0f77db0f87db0f87caef57fb0f483b0f37daef47caef57caff67badf383ade9b3cadc9ab7da769dca829fad86aedb79acee7099cf40536f618cbe8db6cda9beb198b5d17da6dd6573853d4f492b3c2f465d483143311e2f2021371f52754885a67a8396696675417a8e504951262d3c23566940577244697d513d56706ea1e02d42654356424d5b2f1d2714625541a973537a4b2e2e2c153f492f8b6c53c48874bc8874c97e61eaac81dfa287ba9c9ca7ac9597aeb575a5e470a3ec70a1e86b93cc6c87a06e83705667493b51504160885d87c06997d06a91bc5a738a3d5067486b976b9adb71a1ea71a0ec70a0ec6f9feb6e9eea6f9feb6f9feb6e9eea6e9eeb6d9dea6c9dea6b9ce96c9ce96b9be96a9be86b9be96c9be96d9cea6c9be96b9ae86a99e86a99e86b9ae96b99e96a99e86a99e96998e86998e86a99e96998e86897e76897e86796e86796e86796e86796e86896e86796e86897e96795e86694e76594e76493e66594e66594e66494e76592e6536dae3136505f739c6590df6491e46592e56491e56390e56492e66492e66592e56390e3628fe3628fe4628fe3628fe3628fe4628fe4618ee3618ee1618ee1628ee2608de25f8ce15f8ce15f8ce05e8bdf608de25f8ce15e8be05f8be05d8add5e8add5d8ade5e8adf5e89dd5e89dd5e87da4e65aa637cba5e88d75c88da5d87db5c87db5b85d95c86da5d87db5d87db5c86da5d85da5c85da5b84d85c84d95b83d85a82d75c82d6526bb53e457c5b7ec05a82d15982d45982d45982d45b82d55b81d45981d45981d4577fd3577fd35880d2577fd25880d3597fd2587ed1567ed0577ed1567ccf577dcf567dd0567dd0587dcf567ccd567bcb4f68b02a3879273c7a466db2517ac7537bca5671b9565d8d5b77b1547ac6547aca5279ca5378c95379ca5278ca5278ca5177c85177c85176c75277c85176c75175c84f73c74e74c74e74c64f75c55076c65174c65174c64e73c44f74c54f73c54f72c44f72c44e71c34c71c24d70c24e71c34f70c24e70c24f70c24e6fc1
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
23301e2a37242d3b262f3d262838222b35223235252c28223b3b2f33412b34452c3a4a2f39472e3a422a333d2528341e2833202c342144412b56473341402b22271a463e3a373c2e2735222b382833402e313e292d3924293821212f1a192415171e14121a110f160f1118111219111118100d130c0c110a0d120c11160f141a12161d131822151c271726321d354229445136485a3f4659433c5440354939334030303b2e263424222d1d282d1e3033232e31242d2e222d2d21302e232f302324261b1c1f1520211929292128291e2c2e1f4f4831725f44635c48474f38303b252f3924323c282e3a2329371d27361c25341d202d1a1c2718162115111a120e150e0e140d1116101618121f1f18322b21533f337054467e64507c62496650364639232a26151c1d1417181317181312130f0f100b0d0e090b0c070b0c0810100b201f153833203435262b322c1d2726131d1b0f18130f15110e130e0c110b0d140d0e150e0e150e111810151c141b231c222d312433482c435d2e4a662c4656273f3b21362a1a2b201b281b262e1f3b3d265b543a635b4b4f4d453b3e2f292c1d3a342280694aab8f668b80603d3d2d3336263239252f3b2229371e283620202b1a1a23151923151f2a1a25332227362b2a3b332f4646324c56365351334c3c354b31384c2e3b4f313750322f472c243b26253a22293c25273a242335201e2c1c1f2c1c222d1c232f1e212e1c1e2b1823321c374127524f3172603f6d6546555a3b485631495b344a5c3a485a383a4c2e2b3d26223220202e1d26342030402739482e40513344583351643f566d4f5872615675775a757f59737f59707a5970735b6d6f63737266757265796d6b847a728f917697a67695b1748fa6708a956c879269879f61849d5a7e8a56757e5c6a6c5d645450563e46503739463037472d4052353f5444324b482e49442c47402b40422b424a324d5f3755744461894e6faa577ec1638fd1719fe181b0f48abbfc8fc1fe91c4fe90c4fe8ec5fe90c6fe90c6ff91c5fe92c6ff91c5fe91c3fe8dbffa85b3ee7eabd47ea6c8749ab87093a3708b957080876e7f786c817d6a858b6e8c8f7d9da589aece8ebbf191c3fd92c5ff8fc5fd8fc6fe8fc6fe91c5fe92c6ff90c6fe8fc6fe8fc6fe8ec5fd90c7ff8fc6fe8fc6fe8fc6fe8fc6fe91c7ff90c7ff8fc6fe90c7ff90c7ff90c7fe8fc6fe8fc6fe8fc6fe90c6fe91c7ff92c7ff92c6fe91c6fe91c8ff8fc6fe90c7ff91c7ff8fc6fd90c6fe91c7ff91c8ff90c7fe8ec5fd90c7fe91c8ff90c7ff90c6fe91c7ff93c7ff92c6fe91c6fe91c7fe93c8ff91c8fe90c7ff8fc6fe90c7ff8fc6fe90c7fe8fc6fe8fc6fd91c6fe90c7fe91c8ff90c6fe8ec3fb5c85be2a4067425b734d666f8bb2cc91c8f982b5e76287a074928289b0af88b1ce698386719097477372617c5493ab7a91a99597bed98bbef25f7e9e6785a991c2f88ec4fd90c6ff90c5fd8dc2fa5276a3757d75c2baa5dbccaceadcb6d0c3ac47484a85a9d18fc3fa75a1d25c7caa90bff686b7eb6489a07f978785a59e486568425b605c7c9987b8ec8ac3fa8dbad987a194708b8986aed281abca65827760765c7696a18cbff091c4f69dc3e8abcbe7a6cef293c5f78cc3fc8ac2fd8cc2fe8cc2fe8cc2fe8cc2fe8cc2fe8bc1fd8bc1fd8cc2fd8cc2fe8bc1fd8bc0fd8cc2ff8ac0fe89c0fd89bffc8bc0fe8dc0ff8dc0fe8cbffe8bbffd8ac0fe89bffe8abfff87bcfb6891d284b5f689bfff89bfff89bfff88befe87befe87baf58db1d484a5c78eb2dc8bbdfb89bcfd8abdfe89bcfd89bcfd9bc3efc4b79d9e734ac38d68ce8060d8a079e9b7a7a89bb38792af8399a281a3af88b9f687bafd87bbfe86bafd86bbff84b9fd84b9fd84b9fd83b8fc83b7fb86b8fd85b8fc86b9fe84b8fd83b7fb84b7fc84b6fb84b6fb84b6fb85b7fc84b6fb83b6fb83b6fb82b6fa84b6fb84b6fb82b6fa83b5fa82b4f982b4fa84b6fb84b5fa86b7f88cb8f287abd691b1cf83b4f07bacee4d6ebc6a9ae87db3f57aa7de6d82a1779ed15c7fab84aad97ba6de49638781a6c7769ece636f71425145648d9a4c6a8b405b8478a9e04f70955670556b86583f57414d788e68939d889d713a4527283c374e6c62657152222e2428402d6b8a5949592e33473051674d334129212d141c25181a1e1846453c514f3d767c69d7cfae9889656f6f4c77704d8d72575d493a686a6c6d82903a4d542c3e3d5b777d8caaa9a0b4989cad8890a89798b1a2a5b79aa0b2a387a9c97aa3d07598b9698294516772475d6f47628052749e668fc473a1dc75a4e174a3e472a4e772a4eb72a3eb73a3e973a3eb73a3eb71a1eb73a3ed72a2ec71a1eb73a3ed71a2eb70a2eb70a1eb71a1eb72a2ec71a1eb6f9feb70a0ea70a0eb6f9feb6e9eea6f9fea6e9eea6f9feb6f9eea6f9eea6d9de96e9eea6c9ce86e9dea6d9ce96c9be86c9be86d9be86d9be96f9be86f9ae96c99e86c99e76a98e66a99e66c90d72930514b5d776f97d86a98e66a97e86a97e76d98e76c97e66a96e76895e66896e66995e66b95e76b95e76894e56894e56a94e66794e66793e76794e76794e76592e56592e56592e56592e36491e26390e26491e46390e36390e16590e3658fe16690e26690e2648ee0658fe1648ee0648ee0628cde638ddf5b77bb5f77ac668ed5628cdd628cde628cde638cde628bdd628bdd618adb618adb5f82cf384281587ab8608ad6618ada6089d96089da618adc6089db6089db5e87d95f88da5e86d85e87d95e87d95e87d85d86d65e87d75c85d55c85d75d85d65c83d45b81d2475aa5121244314672557cbb5b83cf5b84d25c84d35a82d25b81d25a81d25973bf4c51835e77ac5a80ca5980d05980d0597fd05a80d1597fcf5a81d05a81d0597ecf587dce577dce567dce567dce577ecf567ccd577dcd597dce5a7ece577ccc5479c9567aca577bcb567acb557aca557aca5479c95578c95679ca5578c95578c95578c95377c65377c55579c75477c55476c55677c65677c7
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
1c291a27312338402f564f37675a3f48513238492d2d402426331f202a19222b1a1b1d122c2b21313c262c3b2426342023311e1e2e1b192417292c264a4746393b3a2b2d2839372e383c31343d2e39443138462d3a48313e4c33475138414c3434432e2f3c263235232e32241b231a0f160f080d09080b060c0e0a0d0f0a0e110c11150f151911151a13171c161b201a20262129332831392b36402f3c46333f4736454735534b395b4c3b63503d604e3c5b4c37594a33554b304f4e2f485031404f32394c3030422a27382124331d25311b242d192b321e313a2337442c3c4a313e4e32414f35384831283b251b2e1b1b241a282a223c362d4e3f365c493e624e3e664e3a5d48374b432f3d3c2737382142432941462b3f432d3c3b2c3b342a322b252f2923231f1a1e1c171818131617121819141c201b1c26221f2c2b243539293c4c30466338526e364b683842613d54663f574a4355403e4f3833422a27351e1f2e191f2d1923301a29371f2c3921323c283942303c41373c3e37383c2c3037212b331e26311c202d191f2b1726321c2d3923303f252b3b202c3a1f2b3b1e2c40212e3e212e3c212b3a222a392224331e22301c1a2618131d14111a121e1c15513e29957650c1a47588836a303a2f18231a162118121e14111b13131d15172118162017131d14111a121017101219111118101019110f19100e18100e1810141d14161f16141f1615211715201615211617231918241a1a271e1e2d28192b2f172d341c3039273d403c56625674a76388d8648fe55181d23761a52d5286284a762444682a486239546f455f714b61624d5f5246564442503b4152394252384351383d4a303c492f3d4a2c405031445837495e424d634f4f675c53706d607c847488947d898d76827c758a9a7696d079a1eb7faef383b5f581b4f684b5f787b8fa89bbfa8bbdfc8abefc8dc1fc92c6ff93c6fe92c3fb8fbdf386b0e37aa1be7b938b7d87707d846d7e8e7f7f979a83a2a98faebe98b8dd99bfee95bdeb90b7df8ab0d37ca1be7294a87187996d82866b80837088957693a67ea1ba87aed58fb9ed95c2fa96c7fe98caff96c9fe95c8fe95c8fd96c9fe97caff96caff97c9fe96cafd96cafd96c9fd97cbfe97cbfe97cbff97cbfd97cbfd98cbfe97cbfd97cbfd98ccfe97cbfd97cbfd98ccfe97cbfd98ccfe97ccfe96cbfe95cafc95cafc96cbfe96cbff97cbfd97cbfd98ccfe96cbfd98ccfe97cbfd97cbfd97cbfd98ccfe98ccfe97cbfd98ccfe98ccfe97cbfd97cbfd97cbfd96cbfd97ccfe96cbfd98ccfe98cdff97ccfe97ccfe97ccfe96cbfd97ccfe96cbfe96cbfe96cbfe98ccfe97cbfd98ccfe97ccfe98ccfe97ccfe95cafc96cbfd96cbfd97ccfe96cbfd96cbfd96cbfe8abcec678599738e7e71876a92ab9ea2c9e9abd2fa9cbbd47589818f9f7da4c3c698cbf791c3f0789ba87f99745e7a726b8dba94c3f89bc5f04b60643242315363509197938c8380bfab8fbfb18e52543c6f735d706f6c8c979e7a96b799bfe78eb1be6d8a70597159748d87788f856a8157617c4a43593a6b847b7e9e98627b598b9e73acbf9f97bbd194c9fb95c5f589b0d990beec92c8fc91bee69bb6cda1c9f194c3f181a3c492bfed93c8fd92c9fe92c8fe93c8fe93c8fe94c9fe94c9fe93c8fe92c7fd94c8fe93c7fd93c8fe92c7fd93c7fe93c8fe93c7ff91c6fe91c5fe92c6fd91c5fe95c7fe96c7fc92c5fd91c6fe8fc3fb84aedc8db0d47693a996b8d397c7f88dbdf67ea2d88cbbf16b8ca26d91ad8fc1f85a7da44e687767848b64795a8a8c75a47e5ad89e6a8f6d3970683a4948265f59387369419f8053c78965796b6c85addf5478ac8abcfa8ec0ff8dbffe8ec0ff8dc0fe8dc0ff8dc0fe8dbffd8cbffc80acdd607b8376866c94aca896b9d3a3bfc8acc5d097bff28fbdf98dbdfa8abdfd8bbeff8abdfe8abdfe89bcfd8abdfe89bcfe89bcfe89bcfd87bafc87bbfd8ab9f87599c98cbaf489bbf976a1d2657f87455e887f9cbe59666c65848d718fad7fa7d886b9f787b8f6536a9a1c283e1e313c3658876387b5576c6e37433325342d4869803955622436351c2e21192b1d28444c678f9b6b8d6f5c6f4d363f2c222f1c21331f243a202e492b698f61485d5e6791c7546d96282c37272f2b71735f6f5e49362c273636312c2f254140334343385658482e3125171f171621171b27251c292e4a59536777646a7c5b6579555062486678617e9171869a7493a57c94a6788596676d7b4f515f363c4b29364634344339222e1d15201229362d68786d8696827a8b7c64787c64819a7299c87ba9e579aaeb7aa9ed7aa9ec7aa9ed7ba9ed7ba9ed7aa8ee79a8ef78a8ee79a8ee78a8ef76a6ee78a7ee78a7ee77a6ee76a5ec77a6ee77a6ee77a6ed76a5ed75a5eb75a5ec76a5ec76a5ec78a5eb77a5eb74a3eb75a4ec74a3eb74a3eb75a4ec73a2ea72a1e974a3eb73a2ea73a2ea73a2ea73a1ea72a0e873a1ea73a1e9769be138395e596482749ee072a0e9709ee9709ee96e9ce7709ee96f9de8709ee9719de8719de8719de86f9be66e9be86e9ce86c9ae66e9be86d9ae76e99e66d99e66e99e76e99e76d98e66e99e76e99e76c97e56c97e56a98e66c97e66c97e56c97e66b96e56995e46a97e56a96e46a95e46993e36894e36a94e46e95e3404c894056886894db6993e1688cd74a518749527b668ecc6992dd6992e06891e06790e06790e0688fdf6790df6690e06690df668fde668fde668fde648ddc678eda5972b627316c344a826087c6648dd3658cd8658cda638cda628cd9638cda658cd8668ad5667cbd585a8e5c72a76388c9638ad5648ad5638ad7628ad76489d76289d76188d76188d76087d56087d66087d55f86d55f86d46086d46087d46086d36086d35f84d25f84d25e84d25e83d15f84d25f84d25e83d15d82d05d82d05d81cf5e82d05c81cf5c81cf5c81cf5d81ce5c80cc5e82ce5d81ce5c80ce5c80cc5c80cc5b7fcb5a7eca5c7ecb5a7eca5a7dca597dcb5b7dcb5a7ecc
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
3b492f3344293042242c3e212b3a2028351e27361d2b38202d3a2436412b424931444c323e452d323e252c392127351d151a0e1f1c182c3223373a3031302b2e332635452c374a2f2f42272e3b224046304751394151323c4d2f3d4e2e3f50303c4b2c4251344c5d3955673c586c3a5a6f37607534637834677a376a7d3a6377385268304c602e5064345265364c62324c63344b6333526939556a3b53663953653956673b5264375264375364375a6a3b607141607243596d404f6238455933394e293749253a4c263f4f2a3d4c273e4c2842502c45522f44522f3f4d2b404b2c5154356760416d67466963415f57334d44282f2d17242916222c19212c1a212c182d2e1b53412a72563f78614a66563f4d412e312c1d252016222119252722282d27252e26202b21232e26212f2a1f302a2633292b392931402b35452b3b4b2e43503055583f55574657594656534049372e58463d4f5244404d3539492a404f2b4756304b5a3444543042532f3c4c2a3948293f502d445531475933485b373e53342c43261d2d16141e0f10180d0f160e0e150d0c130c0b120a0d120b151a111d20171c2217192015151b1210160e0f150d0f150d121910161f141c27191e291b1a25181722161720151b23181c2218191f15192016182015171e16141a131017100d140d0e140d0f140e11140f1c1b16523f2d8e6f4aa58f6b68685b1c2526141c1917211b1b29231d2f291b2e2b192b2b1b2f3020343e25394c2a42562e465e304a67304b68344f6538596a476c8b5a7fb56389d2618bdb6490e05f8bd9557dba5072874661613f5848545f3d7c6c4585755171724f5b69435369434c6346435c49425b4e4b6162536d7d5b7a8c5777815a7672576e605667515f6e6173878d86a0b48fb0d094bae998c2f499c6f999cafc9accfd9bcdfe9ccffe9ccffe9dd0ff9dcffe9ccffe9bcdfe99cafd92c1f58ab6e07ca4c57999a7738e856881705d775b5a725552685b5066604a615a4b60555165515a685163705c68786a7188847a96a685a7c68db2dc95bdf097c2f693beef8db6d78bb1cb87a8c080a2a4819a8f91988aa1998ba1988b9292847b8980738c8779979880a3ad89b0c593bcdf98c6f29cccfd9fcfff9fcffe9ed0fe9ed1fe9ed1fe9ed1ff9dd0ff9ed1fe9ed0fda0d1ff9fcffda0d0fe9fd0fd9ed1fe9ed1fe9fd2ff9ed1fd9dd0fd9dd0fe9dd0fd9ed1fe9ed0fe9dd0ff9fd1fe9fd1fe9ed0fe9ed1fe9dd0fd9ed1fe9fd1fe9ecffd9ed1fe9dd0fe9ed1fe9ed1fe9dd0fd9dd0fd9fd1fe9fd0fe9fd1fe9ed1fe9dd0fd9ed0fda0d0fe9ed0fd9fd2ff9fd2ff9ed1fe9dd0fd9dd0fd9fd1fb9ec8eb9dc2e3a2ccf29fd1fb9ed1fd9dd0fd9fd0fda0d0fda0d1fe9fd0fd9ed0fd9fd0fe9fd1fe9fd1ff9ed1fe9cd1fd9bcefa839fcd9fb9e36e94c32f3d709fb6dcb3d6fa9ebfd77992902839334f6465617f8c4053698eafd27ea4ca2732496b848f5368797b8d8b939a85b9ab90d6c1a1f2e1bae8d6b9ccb8a37d7d80596e75404f494455404056463a506d89afdf7ca5cd4a66744f654f3e5433536455b6cdc7a9c0a8849c71738b7095b9c67b9fb5748e9e9ac5e688aec8758e918cb0c69cccf79bcefe9bcffe9acefd9acefd9acefe9acdfb9fcdf8a0cffd9cceff9bcefe9bcdfe9bcefe9bcdfe99cdfd9bcdfe9accfd99ccfd9acefe99ccfd9accfd99ccfd9accfd9accfe99cbfc9accfd9bccfba0c6e3a9c8daa3cbef98ccfc95c9fc74a2d37298b8597067697e5c5a6d5553685181977748583d28392a4b5a466f7a4c8599536c813e3f572f4a604c5e724f43552c4957337b7a5c5c5e3f384828354a34597a7e86b1cd95bfe65d767b637c5b6f895b7d936382966b8d9879b5baa5a1b0b1a1c0d0a4c4d3a0becc90aeaf7c9986708a6b73907c82a8b28ebde692c5fb94c5ff93bef49bb1e09ac3fa92c3fe91c3fe93c3fc647dad2c417787b4d46c888b83acc592c3f97696cb44609f88bbf288abbda5bea8a2c6df91c0f886abd490aebd6d87a3495c62475c4a5b72564c6259658c978abdec8ebefb8ebaf26479964a5d58374534293826203421546f5a68776f4d637953747380a78e43514c17221b2a402e4156351e2c1e212f221f2c214953429b8b6e73553f3c2a20665f5088755a544d4540403a1d231d2b362d41504436413c2d372b313f2f3e53543a494e2c3437313d49354b633c4f6237443e384a35415b3a566f4b61785e63776b708d9979a0c07ca2c47593ab6883945c778c475e71354d5d344c603953704c6c8f668ab2729ac6769fcb7199c66d8fba6c8cb17d9cbe9ab6dc96b6ec84b0f383b1f383b0f383b0f383b0f383b0f383b0f384aff383aff383aff383aff382aff282aff282aff282aff380aef181aef180adf180adf180adf180adf17facf080adf17facf07facf080adf17eabef7caaee7caaee7caaee7daaee7daaee7ca9ed7ca9ed7ca9ed7daaee7ca9ed7ba7ec7ca7ec7ca7ec7ea7eb474d7346414c7592bd79a6ea79a6ea79a6eb7aa6ec79a6eb79a6ea78a5e979a5e97aa5ea78a3e878a3e879a4e978a3e878a3e877a2e876a2e875a2e775a2e777a2e776a2e875a1e875a0e677a2e876a0e7759fe6749ee6749ee6729ee6749fe6749ee6769fe7749ee6739de5739de6719de5739ce3505e9b222f586392cd709ce2719be2719be4709ae4709ae4729be57299e47299e2708fd4465591282f614357876088c56d99df6f98e16f96e17198e37097e26e97e26d97e16d94de6e8ccf6a77aa5d5f84647cad6c95d66d96dd6f95de6e95df6c93de6d93de6d93de6c93de6d93de6d93de6c92dc6d93dd6c92dd6a90db6990db6990dc6a90db6a90db6a90db698fda688ed9688ed9688fda668dd8678dd8678dd8688ed9688ed9678dd8678dd6678dd7678cd5678dd6688cd6688cd6658bd4658bd4658bd4648ad3648ad3648ad36389d26488d26388d16387d16387d16184cc6080c66183ca6587ce6487cf6486ce6587cf6285ce6185ce6386ce6485cd6484cd6484cd
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
343d26363e2730372527311f293220333c2c394231353f2e40443352513e615c4a816d5789735c5b6142354024303c2329331e24291c312a282e26232d2e21343c252f38222a331e2e3721343e25333e252e38213338213b40263d49293c53262d451b27391928361c27351b32411f4d5c2d5965344b56293f4b2447562b596b375a71365a7035546a324c622e465a2a4354294756314b59364c5c37455b353a512d334a27364a2a36482939492a435032505e3b52623b50603a4f5e384b5a344a59344f5f3b4e613d3f542f2e3a1d4741286854387e6c479186598e865d7e7b4e6463355c5d32585f36545e3e706e5096866d9f9486807d705f5e534e4d38534f344b4c2f44482a454a2a4b54384f5c4857685e5b6d78556b854e6a7d3f5d5c354d49283e301c2b1c1a24171e261a262f202a33213b3d2d5452465e595257584e4c523f3d462e313d233d4124625236554431433d2d434931424f2d44532e4a5b35526239606f4468774d60734b4d633e364e2d25391d1f2c181e2817272e1c353a264749345453415753475857494e533d454d30434a29454e2e4551373d4c373e4d3440513140543043522e6261398b6f4ba079587e6749514e2b2f361c2f371e353d223c462a3e492d424e32303b2b1f2c21172216121a13121a12111914141c1717211d1b292a18283016252d16242217231c17221b17221a15211816221816231718221720271a322e226a4d39aa8660a99b7e4e616527495f26485c28455d314d6841618b4d6faa577ebc4f77b9446faa315c8b2e536a2f4a5032444531403b29372c222f211f2b1d1c27191f2c1b25341f2837212938212b3a22314227384c2d394f303b4e323d4932424b344f55365f66426a75556f7e6271866b76908f7b99bc88ace098c1f99ecafe9ecefea0d1ffa2d2ffa0d1fea1d2ffa1d2fda1d1fda1d1fea0d1ff9accfd8fbff480aedb7da0bf74919f667e815e73754c626c425655394c3d35473231432c2b3c262d3f2833452b3b4e323f5333465a384f60405c6b4b67775770806871816e72857470867f6c867f6b8a875c7f854f6f6e4f5f51535f4d5c6a606a7774768981869d978da9aa93b3be98bdd59fc9eda4d0faa6d2fea5d3ffa4d3ffa3d3ffa2d3fea2d3fea2d3fea2d3fea2d3fea2d3fda3d4fea3d4fea3d4fea2d3fda3d4fea2d3fda3d4fea2d3fda2d3fea2d3fda3d4fda4d3fda4d3fda4d3fda3d4fda3d4ffa2d3fea2d4ffa2d3fea3d4ffa3d4fea2d3fda3d4ffa2d3fea2d3fda2d3fea3d4fea2d3fda3d4fda4d5fea4d5fea3d4fda1d0fd9ccafb9bcafaa0cffda2d3fea3d4fea3d4ffa3d4ffa3d4fea2d3fea3d4fea2d3fda3d3fda2d4fda1d3fea2d4fda2d3fda2d4fe9fd1fb75a0c35571747e927181957695b1a1829e817d95758ea594a7c9daa3d3fca3d4fea4d5fea0d1fa7097b18d9f845e754d87a28b9fc6de9bc9f985afd155706a59735a749161546b393f4f3b88a2b196b4d8838683cab495e5d2acdac6a67f725d47483d96997f8a9d85a2c8dba0cef87297b34d625c70857693b4ba4460664c6150809d95a1cdeca3d4fd6788a85a717f7595a9556e8099b6c4a6ceeba1bfd19bbdd38eb8d67c9fbb9ac4efa0d2ff9ed2fe9fd1fe9dcbf7a1d0fda2d2ffa2d1ffa1d1ffa1d1fea0d1ffa0d0fea1d1ffa1d1ffa0d0fea0d0fea1d1ffa0d0fe9fcffd9dcffd9dcffd9dd0fd9fd0fea0d0fe9fcffd9ecefe9ecefe9fcffe9ecefe9ccdfc89b1cb9abdc4a5c8d2a5bfb4748c765e796c6d96b392c5f598cbf38cb0a8637e5a4b67485d794f48623854736766877b729065798577a29d8c62644355604173796ca6acb3919b8c5d70455b73443f5631485f374557354e5d3b5a704958755f7ca1a082a3b17594a059705e4a635864869e8cb8e296c8fb9cc9fc9dc9fc99c9fe97c9fd97c7fb94beea93b7d995b1c0a1af98a9bbc99ab4b89fc0d19ac8f996c6fb6c8ac04260a78ec4fa89b2da829fac94c2eb85ade25a78b394c3f75f759746607186b4de8cb4e42834575a7c9b4153572c3c2d2231214c67494e69595e7d6d88a88f333e493f578388b7e669839453655a253b262134221a2b25233b4d5c7d9d2837451f2d25374740878d85b7a58a8c6e553f2d23332d235b57421e2323354d695a7a9b617b9f7192c67ca2d88baddc7a99b57393b2627d972e3d42212f262c40315e7c5670925b728e595e764c3c5140334d554e6d855771874658612a39332a3c3b435560617b9487b3e18bb5e18cb2da90b4e08fb7ed8bb9f38db8f28db7ed8cb4e58aaddb82a1c77089a9596c8c4355763e51794d6a9b769acf89b3ee88b6f488b5f688b5f689b5f687b4f587b4f588b5f687b4f587b4f587b3f487b3f487b3f486b2f387b3f485b1f286b2f385b1f286b2f386b2f385b1f286b2f385b1f284b0f184aff183aef283aef283aff183aef183aff281adf082aef182adf082adee82aef082aef081adee81adee82aeef82adef84acee6073a63a3543767a9483a8e27fabec80abed80aaed81a9ee7fa8ec7faaee7eaaec7fa9ec80a9eb7fa9ec7ea9ed80a8ed7fa8ed7ea6eb7da6ea7da6eb7ea6eb7ea6eb7da5ea7ba5e97aa5e97ca6ea7ca4e97da5ea7ca4e97ba3e87ba3e87ba3e87ca4e97ba3e87ca4e97aa2e77ca2e65f6eaa2a38626996cc799edd6278b2283063232e5d4a699f709bda77a1e476a0e4779fe4779fe5769ee4769de3769ce3769de3779de4769de3779de37690cf6a6c976a749b7498d3749ce0759ce2769ce3759be3739be2739ae2759be4749ae37399e27399e27097e07197e07298e07198e17098e07198e07298e16f96df6e96de7096de7096dd7197de7196dd7095dc6d93da6e94db6f94db7095dc6e93da6f94db6d92d96e93da6e93da6e93da6d92d96c91d86d92d96d92d96b90d76c91d86c91d86c91d86a8fd66a90d76a8fd66a8fd6698ed46a8fd56b8dd46a8dd4698dd46a8cd36888cd6886c56a89ca688bcf698bd1698bd2688ad1698bd2688ad1698ad1688ad1698ad16a8bd2698ad1
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
0d120a0c10090a0e08090e080b100b10150f201e182d251c1f2215171f101b25151e2a181c28161a22163c342b43382b23231a1b231a2a35204757265d732d617b2c5f7a2c5f792d557028455e2446552b4f54394959313c5625395220495e2262752a657b2d596d2d51573445493a303c272934222833222e3828303d292a39222b39222c3a2328382125371f2636202b39223240283441283744263745233b4a264556314659354c5e3954643e566841495c364756325a5735705c3e836a4b7e694a7e6643776141615d3b58613c68724c6d7b5269754d58633d4d53363d402c262c19272518302f233a3c2d4643364b483c4747393e3f2d47442e554e364d4a33373a212a2d1837371d5753316c6a447c7354776e55746b526b63437265437265427163417d6949977a5b987e60867a546b6b4654593e5a56455c554c544d48463c3b51494552503f585a46525b443f4f323243232b3a1d2e3c1f2d3d1e2c3b202a381e29361c2b391d303f21304023303e21494f2c5b603b4a5b39394f2d3147262f4325304324334825344b253a4e273f512c445333424d33464a34484d3b4b564841514a3c52463c513d485b425a6c585f78655775614a654e445a3b3d502d5f623785794da1896096805971724f53613e4453323a492a464e345960435b6349606149907950a08159b9895fc0865ca87851806b4262633e4755373a4b2f394a2d374930384c37334b3d2942372039282b3d234655344a5b394f5f3b545e48585e5556604d58644657684d586e58536d5c4b655748624b3e553e3349362c3f2d202f201621141720141f2719293321323d273a47313d4b36405037414e376d6256bca69bc3b8aa72766b424b3c3f4a343d4b304655364c5f42586848677956718467768a7d6f8581768b858397a187a1bb697e9c8a9ab684a3ca6d94b85e838a5e79645c725c55684f59684761704c697a5a657b5d68815a6a8359637c596a7e55697a52667353636f4c626e4a64724f667755627655586c4c6d704c907c55a5875cab90629e916795956998a171929e75959e749ca16ca3a677a6a890bc9278e3946eda8f6ae9a079efa97f966c3ea87d53d88f69be74507459374752323c4b2b7d8c70b6d6eab4dcfdb3dcfda0c8dd6f8e8b5567508da1856f8974738c735d7656788a6194ab95859b8bb0d1e2b4dcfeb2dbfbafd4efb1d6f2b2d2e9bbdcf6b8ddfbb5dcfdb4dcfcb4ddfdb4ddfdb4ddfdb4ddfdb4ddfdb4ddfdb4ddfdb5defdb6defeb5defeb6dffeb5defeb4ddfdb4ddfdb4ddfdb5defeb4ddfdb4ddfdb5defeb5defdb4ddfdb4ddfdb4ddfdb3dcfdb1d8faa8d2ec9fc8de94bbe07fa4c281a69e91b3be9fc3dfa3c7e5adcdf3b5d2f1b0cfd9add0d3b0d6f0b1dbfcaed9fa8db5da6e89bda6c6ec95b5c87b9280a7bf9995b2a7778a9ca5b6cab5ccd6aec1bdb6ceceb3daed9ac1d3425a5c748b8e90b1a885a075a8bf96adcad8728fb79ebedb637c8c7f918d4c4e487267577f6f5a3a2b25b7a38ca59c7733402f546871a3c2dea7cce4839da364795c597146485f4d6d8d92a2c7dfb4ddf9b4ddfdb4dbfcb1d7f7b0d4f6b1d6f8b4dafaafd4ed7e95a24858687f9fb8aad3f2b0dcfbb1ddfcadd4ed8197aaaccbe5b3dcfbb3dbf9accee39cb6bfafcddfb3dbf9b1dafcb1dafdb1dafdb2dbfcb2dbfcb3dbfcb1dafab1d9faaacfed8da8b0678370496c563f694e466f4a5d7f5c7a976e809f8178998d6d8a609dbcac9abdbe6c84635d795390b19d8eac96a3c7d0a4cae58ba8b75f756e526845495b3b717b69c6ba9e8d86564e5b366d7e75728687475b546a88917c9caf82a7bea5cde898b4bf8da9aa85a296859d85809883839c83a2c5d2a9d2f8a4c8ed9dbbd792adc7819a9f86a08690aba5a4cdeaa9d4fbabd4fcafd4f9657890879f8bb0c7b45a6771859b8b7b8d7b889da13f5479a4d0f5abd3f77b93ad617b8aa4c9de4254657ca0aea6cde5798c8f2a3b336c8d754d5c4b2a332b212d2e677b6d576c5026382c3a5047334c518fb0c044484b272d2c43484438362e3f3a34989275b092685a463043493d4251543b464f444e434554304a5d3553673d5a6d445d72475b73435e784e6b856b677d7141513e5b786980a19f89a3928da27f8699768aa1948ca4ac839ca684a3c08babc789a4b98098a574889167767e55656c45545e384651303d4b2e3f523a51634861735976867090a385a7c093b7d79bc3e9a2c8f4a2c8f8a1c6fa9ec6fb9fc0f05d739b8fb9ee9ec7fb9fc6fa9ec4f89ec4f8a0c5faa0c6fa9fc5fa9ec6fa9fc6faa0c5faa0c5fa9ec4f99fc4f99dc4f99ec4f89ec4f99ec3f89dc2f79dc2f79cc3f89cc3f89ac1f69ac2f69bc3f79cc1f79cc2f79bc0f599bdf294b2dc89a4af8aa7b796b6dd9abef09bc0f49abff49bbff59bbdf398b9ed94b6e395b8e898bcee98baed7b87af5751604a4e5c799cc493bcf296bcf298bbf197bcf197bcf197bcf197bbf198bbf297baf196baf196baf295b9f095b9f096b9f095b8f095b8f095b8f095b8f096b9f095b8f094b7ef93b6ee94b7ef93b6ee93b6ee92b5ed93b6ee92b5ed91b5ed94b4ed93b5ed91b4ec91b4eb879dcf4a4362383e547ca3cd90a8d76869953a436e6c8ab68bafe28eb1e990b1ea91b1ea90b0e990b0e990b0e990b0e98eaee78fafe890afe890afe88faee78eaee78dade68eaee78eaee78dade68cace78cace78babe68cace68babe48babe58babe48aaae58babe58aaae48aaae58baae58baae38cabe48baae389a9e389a9e389a9e389a9e388a8e289a8e289a7e289a7e388a6e287a7e288a7e286a6e186a6e185a5df86a5df86a4e085a3df86a4de85a3df84a3de84a2de85a3df84a2de84a2de85a3df83a1dd82a2dd84a2dd83a1dc83a2db82a1da83a0da829fdb819fd980a0d97f9ed7809fd8809fd8809fd87f9dd97e9cd8809dd87f9dd77f9dd67f9dd77f9cd77f9cd7809bd57d95d16c80b96883b87894cb7e9ad37c99d37c99d47b98d37c98d37d99d47c97d27d97d37e98d37e97d2
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
070b07060906070b090b100d171b16232620292d262429221b1f191619131418122726203d332c2e2b213b4526384e202d421b3f50285a6b3d6c784f66754c4159311b2916131b141117140e13110f121125201d624841886d639d8b7c979080656f5d4447394a4340534c594b4d66444850454132444826495b23495c1f3e4d1d35391c36321b302f192d3119303a233d4a35475740435941425b32475e2948602c435b283f58253c55293a512c3049272a3a1f28321d262d1b29301f27331f24331c27351d2d3d232a3c222a3b22313c2a3c4236333f2e28361f2e3b1f3c49264a5c30465731475634434f31434a2e42492a3c43263742243542243c4825585e2e6a7235667632596e28495d1f3a4d1b3a441f383e252d382324311a23301928331c353d21494e2c575d38616840686f45656f45555b3e3d3d364e533d56603948502e3740262e3421292b1f21231d1d211b242620342e293a342f2f2e2926272125241e24241e22231d1d1e191b1c16191c15191e171a20181b2218181f16161c14161a14161b14181c15191c161c1d1621221a28281f2d2d252b2c2731342a3a4230303d2c263322212c1c212c1b232f1c2e392240432e846e568179564d55374c56335f643c6f6e46696e4658663f4e603a435a373e52314655344e5a3c5c62455d5f43605b4058533a4f5033545b3559673e586a41596a405b6c4462714b616d51565e4b46503c3c493335432c37432d434f374c58434d5b4e495f4c445b3c4153304a5a345c6d3d697d40687d3e5b7133566a30606d3d5e754a59754c5772474d6845435e41435a3a415233404e30424f2f4857315363385c6f45617652677c5a7487647d917885958599947aac936eac97719d9679929a7d8b9a768b9976899977818c7672786d65645b79685f91817a977c7285806b5863455c6b455e704d6273566b6a586b6e6454695b536a49566b4b5a71625b70675d745b586e48596f495268435267445b6d4b6772526f735675795e7f8667778661738561788a63809272889c898da19183967982977072875b738c58537049485f3d586b4a5066424963373e572d3a4b276b795750604464614164674c3a40263e44315a5949626d62485c3e475c366f8071b7d1e3bbdffaa2cce26386773a523543583b506542748c6f7f9a8a728f957f9ba78fabb58ea5a0b5cdc6b8d7e4a6c4d1b6d1e0a8c7d3819a799db59facc3c6bfd9eab4d5ed94afaea7bdbbc0def6bde0fbbee1fbbde0fbbee0fbbee0fbbee0fbbde1fbbee1fcbde0fbbee0fbbee0fbbee0fbbee0fbbee0fbbde0fabee1fbbfe1fcbee0fbbee0fbbee0fbbee0fbbde0fabee0fbbee0fbbee0fbbddcf8c0d9f5c4daf3c5def8c2e1fabfe0f8bde1f9b8ddf396b7bc7a8f78899b8091a59f95a9a594ab9591a781a9bbb0bddcf2baddf66e89aa75839abad4ecb5d6ef758ead3d50584657406b827f8caab257715960774523382422331d62773f657e3d8390648082754b433fa99077857654807957bbaf854749327d7e6395a69497ab978a9f6871884b415333324036586d6485a0865b755166827884a09896b4b4b7d9eaa0bed277888995b2bab8dcefb3d1e27f959ca5b8ac9eb1a0a1b49ca6b991adc29db6cdb9a9bdab9eb3a4a2c2d582a3caa2c3e0b8d9edb5d6e9aec9cfa7baa5859878647b5867805684a2779dbca1accdccb7d8e7697f7b758a69a9c4b87a929f8ba6b17a9594a1c1c2b6d7e2b2cdc9aac1bea0b9bdabc8d2687b65536b44758e6987987d818e58a0b064b6be729eb14e9ab5489ab84399bb449abe529dc05f94b85a83a84d759b4973957ca2c4cd91a8918fa86d7f9873a1bfb593a99a596a55758f72657d50789259a0b575879b6587a17f7288635d6c452a3c2c8fa7a1303d41364d5e9fc4de8aa2b75b7284abcee4b5d6f8b4d6f9b3d3f58498b42f3d5398abb1848a89586e4b3244222334244666678aa6ab4c5f6586a39696af8275825c3c44313843324d564471725cbda983ae957a9b907a777459585941464d3e4f687a8eafcf7e9ac2a0c4e79ebeda7c8c95414c41333f39445f7a7da0bb89a8c695b5d7a4c7e9aacbee9ab3cf59678133456b789dcca4cbf5accff6aed1f8aecff4adc9e79ab1c7798b9f59687c58717d7ca1c0a5caf0adcff6accdf3adcbeea5bfdd8ba1c1687aa86c89bc97bbe5aaccf4aecef9aecdf9aecef9adcef9adcefaadcefbaccdfaacccf9a9c6f2a0b8db9fb7e0a6bfe9a9c4eca8c8f1aacbf8abcaf68c9cba9cadcfa9c9f6aacbf8a9caf7a9caf7aacbf8abccf9a9caf8a8c9f6a9c9f7a9caf7a9caf7a9caf6a8c9f6a9c9f7a8c8f7a7c8f6a7c7f6a8c8f6a6c7f4a6c7f5a7c7f5a7c7f6a6c6f4a5c5f4a6c6f4a5c5f4a5c5f4a5c5f4a6c5f3a4c1efa3bfeda5c1f099a8d34b485b4b5c7289b0daa1c5f1a2c2f2a3c3f2a3c4f1a1c2f0a3c3f0a2c2f0a2c2f1a3c2f1a2c1f0a2c1f0a0c0efa1c1f0a0bfefa0bdeb9eb6dc9cb5d6a0bae4a0bdec9fbdec9fbae89eb6e199b1dc93aedd93aed891abca90acc392b4cf9bbbe79ebdec9dbced9dbcec9dbbec9cb3e2504b6a3938456c859e99bae79abaea9cbaeb9bbaea9bb9eb9ab8ea9ab8ea99b7e99ab9ea9ab8e99bb8ea9ab8ea99b7e999b7e999b6e89bb7e99ab7e999b5e798b5e799b5e798b5e798b6e89ab6e89ab6e899b5e798b4e698b3e697b3e598b3e599b3e699b3e698b2e598b2e597b2e494b0e294b0e296b1e396b0e497b1e495afe296b0e394afe295afe395aee394aee294aee194aee194aee193ade193ade193ade292abe090aadc8ca7d88da9db90acde91abde91abde91abdf90a9df90a9df8ca3d98399ca7d96c08099c386a0cd8aa5d48ca8da8da7da8da7da8ea7dc8ea7dd8ca5db8ca6dc8ba5db8ca6db8ca6db8ba4d98ba5da8ba4d98ba4d98ba4da89a2d888a2d888a2d887a2d887a1d789a2d888a1d788a1d787a0d687a0d587a0d587a1d589a1d589a0d48090c8697bad6d87b6829bcc859dd1869dd1879dd2869dd2869cd3859bd0869cd1869bd2
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
3345173243163b4a1b2d3b1a332f27453c363e372f433b32443b334a3e3b493c38352f2a21221a2c2e245e60496b765c4a5e4528311b3029192d26172723162624192d2b1f2627181a1d0e0f130a0f110b201b152b211b28241d1b1d16181b14171a14191b17292724473e3d3b423c1c281c131b12131a12151d14171e16171e16191f171d221a1d2318192015181e111d2013212517262c1b2e3321313c252e3b222d3c232e3b23353b25393724393a25363d272f3e243142263442284c5035554e3a4c44353838243540243d4d2d3a4e2b3147232c40202b3f222b3d2326361f242e1a222717242213282818252c1924321d22311b26351c2d3b22313f2734412b3a403150463d54473e6354443e3c322b30222a2e1c30321f30331e2d321e2d351e2e38202b3c212d392340413133372b2631202b392029371f1f2c1a142014111b131118111219121218111117110d130e0c110d090e0a080d09090e080a0f090d120c0c130c0c130c0e150e0c130c0b100a0b100a10140e161a1318201619241a141e15111b14121c14141e161721171a25192634203140243b4c2c445533495939595d42615c44464d35424f364a5a38445534435837485c37536642445c3a3b512e4955305f663d6c74436771426d7848616f43617042666a3fa58456c8946ac09268c79b6cca9d7ed7a17dc4906c85664937341732361848522650602b4a5b2941512535412238422b4a53415b655d5260624c5e5a47584a4f5b4b49533f4752353b482c34422b303e272f3d262e3b233d4429484f34495738415332394a273a4b28394b2c30422a2433222331211e2a1d19251a182118171f141921141f261629341f2f3d223a4c284856315a623f5c63445e694860744d647a536f8057818859949061959468858b63788359707e5367764f5e6d485a6a445968465767475a69485a6a495e71505e73566277546b8054707f5a7d7665c4ada5cac1b59698858790697d8d606e7f48909b5c8b9b626f7e5775765b7a7a657d82687a8860617b4356693d6a77498090586f834d6f824c70834f84955f869b63556b3f4351336f7a5254674946574e5b6a6d728278869e8e8aa59f8ba591879d7e9bb19e819c8d6d806977776859533f5f5733cfba8dcbc6aa7982714c5c434655367d8a689fb7a794b0739ab3549ab54993ad4c647b367e9165b4cbcabfddf5bbdbf583a29f8ba1806b8564566d4f657854aac0bca9c8de7f958e9dafac9fae979c9a93a297a1b8cbe4a5c4df879d90a6baabafcbd795a8a6c1d9e6bad8f3b0cfe6b0cedfb4ceecabc6d79eb89ba0b991b1c9c3c2d8f1cadef7c8ddf6c6dcf4c4daf5c2daf4c1d9f5c1d6f1c0d5ebc3d8f1c3dbf8bbd1f2b2c4d7b6c9d9afc7e58fa1aebfd3dec0def47896b4899ebb9eb7d2b4c8dfc7deedc3e1f3afccda9db0b6b6cdddacc7de5c738e758a9e394d655d686e95ac8d738a5b5c6c3e7a8f577c904e606f3d5c6a437b885c68754b313a21564e3cc0a276b69f6b5a5b3a3c45283a3f2b6763535e5a4b383b35435052819aa5a4c1d088a09e7f978890a7985e75504d663a506a3c697f5087a163748c55576d5690aeb8b8d7ee9bafc16f828ab2cdc5c4d8c8a8b595848c61757873a7bbd1bfd9eec1dbf4c0daf5bfd9f4c1dbf6c1dcf6afc9df91a4aebcd8eb9bb2bf9cb1aa9cb4a6bfdae6c1def5b7d1e4899f98bcd9e1bfddefa9c1bea1b7ac97b0b67c8e81728471758e97a7c3d4495a4b4e6542717b556f7054515b43a6a58ac0b49da3a68b81945981965d809887a2c0ceb3d2ecb9d5ebb7cbcab0c2a38ca07094a7705e6f3c70875782956c9bb4a4adc5c8b5c9bd99a67d35452e556c4ba1b47e9aa96e6e814b6074465f763f91a94f738b4b4a63305673422c4021485f3d52624226392e69856454664f7d947c889b6d7b8e5f485d332f452c617068373c4220302e80a1a063646849403d40403238402549523ba8a07b997a55544d4688978a4f5744303b302e4033354739546b6587a47c9fb88197ac7466795c79959d97b4c397b1ba8ca3a07b907d54644e3948322e3d27323e252b3827394e53829ea898b2b49cb5bfa1bacda6bcd1a8bfd7a9c2deacc4e3afc7e5adc6e5acc5e6a4b9d498adb99eb9d5afcbedb2cef2b3cff4b4cff5b4d0f6b4d0f7b5d0f7b6d0f5b5cff4b3cdf2b3cef3b4cff5b6cff7b5cdf6afc7ee9fb3cd91a7bd9ebce7b0ccf6aec6eea3b8d89cb5d6a9c8f2aecbf1afcbf2b0ccf3b2cef5b2cef5b2cef5b2ccf29ba8c49eacc8b1ccf3b1cdf3b0ccf3b2ccf4b2ccf3b1ccf3afcbf2b0cbf2b0ccf3afcbf2aecaf1afcbf2afcbf2afcbf2aecaf1aecaf1adc9f0aec9f0adc9f0aec8f0aec8eea5b3d2655c6646393e657b96a2c4edabc8efadc7efadc6eeadc5edacc4ebaec4ecafc6efadc5eeacc5edacc5edaac5edaac3ecabc3ecaabfe8a4b6dea0b3d69fb4dba2b8e1a9c0e8a9c0e9aac3ecaac3eca8c3eba7c3eba8c3eba9c2eba8c1eaa8c1eaa8c2eaa6c0e9a7c0e9a9c0eaa8bfe8959abb4736453832377589898a9a848aa07c91aa8d95aba095adbd9eb6daa4b9df9caccb859499687f76687f867080895e6f76596b72596c6e546866556a6b5f747b5f727a59696953625b5a70686b848a7e95a88d9fbb8ea0bf8ea1c091a4c392a6c692a6c992a5c793a7c896a9cc96a9cc95a9cc98abd09badd597a9d290a3c98da2c893a7ce95a9cf93a8d096acd59aadd794a7cf8ea1c58d9fc28ea1c290a3c58fa2c690a5cc96abd59aaed99baed899aad594a4c98c9dbb8798b58696b28495af7f92ac7c8dab7987a16d7c8e64707c5b62625c60595b5c5663645e64675d60695964706167706365716467777170808475828c76848d768791798c977890a07b90a87b8aa66f7991636a765b686b5d6c6d65747468727465707166727267727165706a606b63606f686574716a7a7a6c7e7e6f838a798c9d7f92ae8495b8818fb37c8aa87b8ba97b85aa676c915e70907587a47f90af8695b78795ba828fb67a82aa6b708f5e697a
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
1a21161b23171f2719373e27555e3b485b332a4121212c1c2c2c243129252f242158483a7e6f588272587266466a6642565e314f5e275162295058285a5a33545c3346572f53563558533644472a30391f252e1a19241410170d121810141913141913151a15232423463f463c475113271e0e130d11140f14161115161015150f1817121a1a122725183431202d301b202715181f11151b0e1e261625321d293720323c24393b284341307061529c8c7fa398888c897d6a71645d694750682b435f233a52253b4e2a3d502f3a4f2d3b4f2e3a4e2e364b2b354829425030414e302f3d231e26141d2415262e1b29341e2f3c2536432d3f4a3950564b4d534e3c45324443315c504a4d494d3036282b321e383c2565583f7473553d4e313b4c2f39492e39462d4b493767584c6c5f505450413a3d2f37412b3540282e3923212a1a1a2216151d111b201521251a23261a26281c23251a202318171c1411160f0e140d0e140e0d130c0b120b0c130c0d140d0d140c0d150d121910131b11151d15131a12171e151b23171c251624311c2a371d2c3a1f2f4021414d2e656646857a5a5660424a5f3c4658354151324757364253363a4f323d4c2e465233585f3c57633e4f5c384a563246553141532e3c4f2942542d495b32495c344c5a344f56325c5a35695d387b6b457c71496c6c3f616935616a39777e546d795058653a4b552b3e44222c31172a2f192a321f25301f1e2a181e2a16232d192b331d33401f36492131481f283b1b212e171921121a1f1325271b292b2026291e292d20252e1d222d1a24311a2c391e3342253442273b482c3e4b2f45543748583d4b5b404453393f4e3333442a2f3e262f3f23364527444d2c65613f69664c61654d5564405668405c71485c72485f744a63774b5f73486070487e8a5796a666667b435465305a6a3b52673e4b623b4b623d475c3b4155363d5130434f305c5939989057978b53a28558a48359986c51886b60c7ab9ba19b894d53462931224a55305d6c3b64674470765259683f4d5d2d6f7a4572804f607344889867a3b48776884f74874867804b45582c73844f71864f848e5a93a66f859576798a826b7d6f6f835e738d585c7641566a3961744c536848485a3c4c5a38878751c1b080777243beb47dcdc7a8938f825c645649593260743f8fa3727c94646d7e4b8ea36f5c7942748c3b8ea1477f945a61763c5f7140626d51999f9792a1969baa8eb9cabea8b99f8185736d756494a39f8696a09eb0ccabc1dfacc3e0adc4e1adc5dd84a09660794e869676a1b1a7a1af9facbaaea8bec4a4bcc6a5beb1adc3a6bad0cdc2d9f2c4ddf9c6dff9c6dff9c6e0f9c4ddf7c1d2ecbcccd7c0d1e0c4d8f4c6defac5dff9c5dff8c4def7bfd9f4b9d3eb96afb694a89db0c8c4b8d3e5afcadf8ba3b1adc1cfc0d8f0b7d0ec9fbac88398815c70532f443548584b818f778c9885767a6fadb09a75815561713592a150a3b458a1b564797f4875684c29321c4f613ab2a981eacd98dfbf8da593738e9b7297a77d8a9a715a6d424e5d457382735a6b4d7c94628ba374879c805b6a536d7a61505f4d879d8698ae8e8fa473768d57779074a0b5b27f88867a71788f89a3bbcbe4c1d7ef9aacc0b7cbddc3dbf4c0d9f2a3bbc67c907d9fb2aabcd1e2b7cfdfadc8d589a0a1495d4279957eadcbd2a2b9b9a5b5a0a4b4ac92a493b7ced6bad2e74350546e836a95aaa57b897c999d8c767455727453555a3b41563f5d777393a9b193a3ac5464748da1a88e9e81798b5874854e6373516f85675e6d5358645c70807d8ca09265775c6e835c728760b0c2a9c1d4d2bdcdc7a4b1a489987e88987e77906893ac76879c746d84578ca86080964a5a6f3a91a56c5764421c28185d6c416c70413c4229334a3844584635473f53614f1f2717364b387d94767c7d63988469ac8b67634e365c53408b7a5e9d80638d7f6f4040332b3222202c1f586e5e4350422a36273f50324d5a3a859b9fabc0d1b4c2d6bccfe8b7cbe0a5b7c58693996f7f888394a69eb1c19fb3bea1b4c8a3b7cf9faec69ca9bf99a7bc98a5bc96a7b594a7a097ab95a0b5bda1b4c79eafbe9bacb199aaaca1b3b5acbcc59dadbf92a5b6a2bce3b4ccf1b5cbf0b4c9eeaec2e2a5bbd3a2b8cda2bbd5a7c0e1adc5e7b0c8ebb0c8ecafc2e5a8b8d2a2acc09da3bb9facc898a5b3919da5909ca78d9aab8b94a1848d8e90a0ae9baecda5b9d8a5bcd4a5bcd5a9c2ddadc6e8b2cbf0b4cdf1b2c7e99095aeaec0dfb3cbf0b4ccf2b3cbf1b3cbf1b3cbf1b3cbf1b2caf0b2caf0b2caf0b2caf0b2caf0b2caf0b2caf0b1c9efb2caf0b1c9efb1c9efb1c7ec9297b05f575d8992a3a9c5e8aec7edafc7edafc7edb0c6edafc5ebacc1e6abc0e3acbfe3abb9dd9ba5ad85966e8ba47299aca299a7af8da3b397afcc9bb0c78e9f997d92737b999a8ba6b690a7ad8fa6b89bb0c896a9b58da39c8da9a69ebad2a8c0e5a9c0e8a9bfe7a9badf74708b322a3634424c97b9dba8bee5a9bae0a6b2d5a3a8ca989ba9848c70788c667b927b7a8d7c718772708979748d8a78919279908e7c9479748a5363763c495a353e4f3a3c4d3e40503b4657364a65324f654054634d5260484d5d3e5163445c6f5b6e807e7e91a28e9fbd94a4c192a1b98f9db18c9aaa8b98a78b9eb994a6c899aacd97a6cb8e9aba7f8aa07684948091a2889cb58b9cb88898b18291a97f8da17f8fa48397b08a9eba93a7c898abd29aabd594a5cd8b9dc38899ba8595b08191a98192a98594ab818a9f74798166706a5d6960595e514e4f424e4c425253485a605155604e545e4d555a4c565d4857644c5e6f5e6776736b7779657171626e675f6c64606b65626c64636c6560675d565e4d4b52404c543f4f564851594b515b4a5561505b6962626d6e68727b6c7886737f8f7981917e859779839a77839877869b7d8ba3818ea7818ca47d869e7b8297787d946c7086545665455556596c726a77886f798f6f7690696e8a62677e5f66775f6776
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
373c233739223838203b3c20575b3364653d50533a363b2b2f2d2825251c3742233b4a2443472d70654c7d7a61636b3e5255296c633c917c51a48d60978957655e303a3f1c2d381a2d3a1b313f1f2d3c1e26311a29321e28331f1f2919152013161e13212b1c313a2d5554515b5f682e3f36161d12171a121e1e152020161c1e13191b101c1e1429281c29291c282d1c272e1c25321d2a38213142293040272b39202a311a36321f3c3727494334544e3d595f3b495b2a39501f2c41192b401b32452041532b4a5d32445931374e293346283245293143272c3c2225311c1f27171e25171d26171c28171a2615242d1d4244374f534d404c3e323e2448482d6457408978636f67604d57512c3c23374428364627303f233239215f4f3a7b685057533c4b4532474131383d293943293b442b39432a3843293a482a39482b3544273341252e351f2d2f1d292a1b2424171e20151a1d13181d1314191012180e141a10141a10141911131811151b1313191114180e1d20131a20120e170d0c150d111a111b2315212a172d39212d3c252332202d3120735d46938b6946543948593b465839475538404f323e4e2f405132475337484f36484d314e53324a543247583345543047512f4956334555333c503134492b36442a404b31404b33353f28303922333d242e3b1f3546213c4e2641512e4f5e3a5865405e694358623c4a52343a40293537243233213437233a402644482a574f2e5d4f30594d314a452b3a3b25323624313527323329323328373929373c25343c21333d1f3c43214e4c297c6039bd8258cc9470b8906b96805774734d5d6942495b363f502f3543282f3d232f3d272535231d2b1a1d261530351f42462a565433816f48927e597b7658666f4d5d6d496274506276545c724f596c4457694053673e51663d566b4054683a748048aab57c7c93674f66434b5a3c50593b474f335251386e533b9e5f44dc845fae72484b451d7d5d35a360379f9b5f8aa26d3f5333615c4cbca89fe3d5ceadb0ad5463584655387e89559cad73869a5f84945d7f975f5e754364754385935793a568738a555f72455f713f5b6e3a626a3f888d57849a568196518d9a5d9fab70808c5a727e50929e6ca3b4838c9c6b83935f748852829a5b7d905d807b55c2a8829793703d4a244252284d5c316f7f506b7e4a73874d788b58758d58647e4a6b814b657b436b81329eb34598a94c6d7e4a74864e8090637b8a6973845d89917f767a6f8385808f908c949892808a7b5f734c4e68334c69304b672d516e2f5271315271315873375971415a70486070526a735f6f76656c7264676d61656b60646b606f746882847c898a8985897e838a7684917691a380819a74718a5e6a81506f86527e946f84989285989782948684957f8c9c89859d923a50524553408f9f83a7bbbea1b7cc708a9a384c4e273527525f367b7c61575956242c27737468838d6e6d7c4968744843543056694173855387a35c87ab5988ad5b85ac5b85aa5b89a65a7d925561744553634260704c9faf768792668c986992a76e95ad6f7f94563e4b2d667754748953657c4e798d6d768b6d788e7b8e9f929aa68b8c967275785c6f6d5c9096738a99728c9e8598aca494a48e8a9c67819972a9bfaaaec2ae8d9e836e844b8fa86da4bc8aa5bd8faec8c0a2bcca7792755b6e518ba1aaadc1d14a595b90a99eacbfb3b2c7d057645f5c674b485034354527545b39b4ae8c83878178919a9baf928d9f5f6b804c708879677b858694919fb092a1b7a88c9ea04c59498ca28aa0b4afaabcbd91a1948e9f7a737f63586e51536445768875a1b2be757f882634302f463d67776a667469495745767f625467485b714b6176615b6b46404e2e222f1f2d433a7a8f8c36413b4b5f45243223303d37414a3e53513d3a34285c53467867515d4a36a08968927d557b7e5e303428384233566350505d424d5a485763503f45304d544d8d9a92a7b0adb7bebdbec6ccafb9b7a2b1a19cab8b99a681919d7785936d788a66798d65778b656c7e5f4f5e4e50615a6a7e7081937e899b888d9f9d8d9d9e83907e7d8b6383926090a45ea0b06c9ba78d919b9e8c979d838e917b8983869aa39aafc09eb1c39baab791a0a68d9fa091a3b196a8c39badbb97a9ab9babb299a4b68b8ea477787b73757673797a727876727970767e687983667c866d787e6e7d7e778589928992a48592a282929a82959a8ca1ae95a9c09aafc99cb0cc9eb2d0909cb685889aa7b2cfa5badfa5bbe0a7bfe4abc2e8adc4ebafc6edafc5ecaec5ecadc3eaafc5ecb1c7edb0c7edb0c7ecb0c6edafc4ebaec2eaadbfe56c667d6b63738b9dbea1b8e1a4b9e3a1b7dea0b4da9fb2d89dafd397a4bf8c999d869491879699888f9e7c838f6f7b6e71877b8297ac8ea4c995aad39baed79cacd69eaed79dacd39aa9cf98a3c38b94a37f84878b8e997d858c6c74647c876a7377656b6a5c31312b191f2263839499b4d5a2b6daa0b2d29baecc9badcd9db1d59ba9cf888da6697276686f5f5c6c3e5d7442637953657d696b82817083896b7c806e7e796c786b5e695450603d485b28405b1e56752b6a802d6f842e7490307792306e8630627731576b30566a345a6c375e6f39637442687b556f806f6e7d746a786767756d6f7f7875857f7d8a8b818da08591b07f87a8696c814d574a3b4f273a51213d5528455b314c65436076676f808575859379889d7f8ea68090ab7c8da87f8da38291a58897b18d9bba9199b8858aa6797c926f75876c748260646b54554c59584e5b595360625e6064605a5e54515a474e58424c563f4c563b4f5a4054604e57625a56615c57645b5a69655f70786471836d788e6d7b9a6d71965f5c78484752454644484a474b4d494a4d46484e424953434853424c584652615057665e576062535056564c4e6359526262526165585d6761596562545f59515e515a655c62666a5956674646524a4f5651575e54586051525846494a4043403d423a3c4238
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
394a23273d20252d23413c384646344540294a3c2f4437293e372057602c5166294c6129586b325a6f2e5b672d66633864633c444e232b3c1724341328391631451a39521c40591e535f257267338b73418f844f6b713b53602c616a368f7c4e9c81576b673b303c1e262d21403d3a424c3a2f42242336191f2d15232f1b2d38252d3623333828403c30474035433c30343324252b1b252e1c323a264449355e5d4c6c666453585934412f25331c27361e24341c20321b1b2a161b2715242f1e2935222d38233540273b452d37432a2e3c2327331c242f19212a1521291629321c2f39203a412a4547393c40343844263d4d1e3e4f1f3f4c1f4d4d2d76664e796d5f7370745e6b66374d2d283b1f23321a26331c313c24343e283b432b524b374b47374c44326d5744554e3a514e2c695f3768633c5058313d4e2c3a4d2d3a4d2f394e2f35492b3344272c402128371d2a331d373b243e452c435031425330435732485c364157333e542f44552d767244a3965fb1a76c9a9a5c6a723d4c5a293a4b23334220313d21393e2662533e6757415254334c552f47502b484b2d46482f474d30555b3862633e5e613c4d562f5861355e6c4459694053623a55633f55654250603d495b384253334f54345d573c5e583d53583947573241522c41522d41522d46552f55653f58684b45583e35482e2b3b24273722303f263c4a2c49573450613c4e623b4b613a455b374158343e532f3d4e2b41502c47572e49592f4b5b304f60355063394d6039516037666b3f6f7446757e4d758351788151857b4a9d7c4dbb8d5fc49668be96659e85547574415a6536616c366b753d707842555e3030391c1a2110141a0e1e261529321d2d39223542263d4c2d465737465434525635565736595f3d5a6941566a3f5a6f445f764d5e7553516a4a48623e455a374b5c376f8249495b343d472a60603e928a69837657413625805c4386714d43401d575a2da68255af7d5770704630431c35451f5160314e63373b4f275e754a415b363c5028798d5d4e643c5f624fada29fded8d499a398687b536178484c62325a6d3a5269385d75406c7f54899973778960616f4a69784e6c87487493497a9b4b779b4771923f667e3c6c7f486b7f406379356f823f677f39657e2f6f813b72814a7d8c5498a86298a75791a84c88a64586a54388a84490ad498fad4987a74788a84885a34685a1468ba54c849f478ea75c92a757a0b3547d9353435f325672376f834d8e895475763d5c6d444a5c3a4d5e3f4352394050344c573a545e405e654a63694e666b526e705f6d6e61696a5e63655b5d615a5d605a75756b928d90918991898374716f606c6c5f7974626d715555663d4a63344c64324e6a315c72378a8d4d979657858e506275405c70404257312a40244154316479456074475d6d4b49593d34412b303f2251603b5d66486a675168685565774f88a0618b9e6681945a889c665f744a48533a6964486a5b468170517b7949c9b3859c926175894276a04270a03f78a84382ac4980ab497ca9457ca643678c36698642647b3b8296588b9a577884465d693a5c6a3d64754892a5787e905c62713c94a166646a43645c40867f58a3ad75748243728248737a4e757a54777c59616c4680945e84965a87925d87985e8ba258869f519ab26d98b064869c6a4f61425a7551627a557c9664abbd9dabb2a6a7ada0c4b89f837658a59e7dab9f6b707e43465f354b693b6283427c9e5c99b3879fb18994a6797a8e545e743a556e41596f5671895a7d93567688625c6c4d4d5f3a65814b6c824c8e9f635e7233374d1f5b7840778c5b8a8f6b4f54363442278991775b636292a3807b8b554d5d386477563b523756725d8699908694896d76635f674c5b65504e533d857861c2a782b895708e6b4a4f362357513784805663623f2e34212f3d2f5c6849636b4766714c83945b7f8a4a737c427284507e98627a90595d6d3b333e1c1e28132839274b5b4a5560545e6a5e687065686f635c6356525b49515c45555f435a63405f6c3c63733a5662305f6b3c5f6c3c5d6c3d5767374f5f315c6b38626d376d76427580487b85487683426c7945606a48535a41525d4c636f5c677056626a4f5e6d446274436979496e7c5068715166685160604c5a5e47535c40525941545b4a565c4e59604d5a63495d67445d62425e5d425e5c45615c4e66625263624c62664967704b6d73537377526768405657345356345256365056354f5838656e6397918a636a4f5a6c595a696459676652615e4e5b534c5947515d40565f3e5f68435b673e5867425d6c495f6e4d606f5057614a4e413e725d5662624d5a655357635454614f5d6a4f6170556974575c68525862585a5d58595a585d58566658566456555b55555856565a5b59575b55535b55525c55525e57525e58515d59515b574f5b524e5b4c4d56493a38353a33333939344a5a4c4a594949564549563f4b593d55643c5b6a3a5a693751603653613f5d6854656c67666d6e5e64624d5652546065676a796b67704e4e4d3e4440353e36323e2f313e2c2e3b253142253243242d421c2c4b1c3558223c571f4b62275a6f2c667c3363792f5f762d5f772f5f75315d72325d72345f73355e6c36535c33535b36535b365661385a6b395a683a4d583148542f49552d4d573349503846493942463443502f47572f4b5a324a5635464f39474e3c464e39444d36444f35455134414d2e404d2d434f30465035454f35444e35464e36454c363f49313f462d4647344a47364f4e38474c30434b2e43493042452f41442f424530464934454a34454c334a5034474b3044472d3e442d3e462f3e452f3e432e3d412e3e412e3d402d393c2a3537273536293d3b2f403f2e3b3c28393e263a45263d4b28414d2a414c2a414b2b414a2c424a2b434c2a434a2941442b4843354e48394448323e472e3d462a40462a43442d474330443e2c47413150483652493444412a3a3c263739243236222d32202c3122
3a4b242637223234334a46464047333f3d2747382b46382a534a3055612d4055213f53244e602b5a6f2d5a692b5d5f3062613a3c441d1d290e202f13283a153248183d591d4664204f6826637034847b479784589187596a6d3d63653589754a8c744c57552d283219252a213b3a3b3c443e2d40262b3a1c27371b1f301827301f2f36253335243233233333232e2d1e232517202617242e1d303a26595a448e836f89877c50614f2a3a212b39212d3c2226391f20311b1b29172633212a36242635212c3922333f2735402739432b39432a2f37222a331f232a19232a1928301d2f3821414731484b3a454d33465425455422495b294c5e30565f377b72536b6a4e676d585462453a4d2a3549262d3d202c3a202d38213e452d464a334e4a384545343235245e47327e684f4a462d4f4b2d525331404c2a35472837482937492a31442426381a2a3a1c2c3c1e2e3d222e39213d4329424a2f414f303e502e3c502d384e293d522d3d512d46552e7f7849a69761ada1698f8d546f78425666374559313e4f2a333f22544a327b664d554c3160603c5f663e585f3955583852533551533660614063663f5a623a48542b5b673c606e455e6f4359653e525f3c4c5a37535d3a4f5a384e56386a64416961465f5e434b55343f4f2c3b4c2a3e4f2c4457334e613d5b6c4d5a69534d5e463b4d322a3a242636232f3e2638472b4357354c603b4a5f3c485f3b475e3a44583440512d3e4f2c41532f40542d40552e43552e485931495a3143552e42522c5059315b62396670406a77466e784b79724793774ab28a5bb99264af92619a8c5b818759707e4c76844a747f476f794157602e626536656b4056613e4652333e4a2d3543283644273b4a2b465736495939535c3a5d5d3c5e613e58653f54683d586d435c714a59704e4c6646445e39415534424f33657444566c3d3b492b3c482e484f33645d404237248b5c3fb0825e544527424121866f44a7805b84865241582a42582e394b234559303a4e284e643d3e552f42532b7d905f485f3254663b666a50bbaca5d9d2cb9ba396667c59485e31576b3a556c396379467e8e68889877717e5769794c687b466f8b4872924680a04e789b47779a4366803c697a42687f39647d31627a2e5f782b6c7f3a677146687052889463a1b66a8ca85285a24586a4478faa4d8aa74988a54787a04a88a64c8dac469bb853718f39456020687c40798d4fa0b270a5b85d778e43415e2e617c3e637f3f86894b8c8c4c556639596c414558334151384e5b3e6a76505f664661664a575e424e563b70735f7071616b6d5e62655b5e635a63655d817c7392898e8e8986807b696f716274756573705b646b4952663b4b63344c65314e69305d7336898b4b969354868f4e73895068824b667f466c8851506b404f6a3e5c734a606e5059654c46513936442d313f227a8d5f626a4d676158636852788f5460693d6c704681885b81936447563d74736a6d655983725866653dbda67cb99f796a6b3d80984b739d406f9f3c7ba74485ae4b81aa467ba74279a4427c9e499fb4708598586e813b70833c7081487a8e5a84986a92a270727e4965733f7d8a5182885c716c4d8d8c607d854f6673416e7f4677844b7179486f774a636f43687d497c92586c7b43708145839b568fab5c9ab46194ab5f7a905464764860784d5f75467a8f649ea78d808264b0a98bc9b18f7a6f4da29976888855687a4a506b3e4a6a38618538789b4289a3538497546d84455e783f6c86537c955e566c3c6d884876904c8799656b7f5d60794759723e72885386975e49623246603558753b7b97538595595a60353848286c7f5370785698a8716f7f495a6d4aa5bc91798f5886a566849d648599678991695a6040434d37747357a98e68bf9b6daf8b60705737615e3a999f5e6d6b3c343525363c315860467f8856757e4a7d8c55707e4154662f687f3f6f8646536335313d2147552e5c693c464f2e39483770796b6f73666970636d75677a826e808d6e8b9e729cac789097695f623d606c3f5863363c49255c683e5f6c3e616f446472475563344f61256b79377680437c8643808e3f80923d7e9141727f445a613f58624e6671586a714f6a704565723c6577436c7a4b6f7949666a4662634b5f614c5a5f46575c41575c44555b49585e4c5c644a5d664060673f5e623e5f5f406562456b654c6d6d4e6b6f486369406a73466f774e71764b6263395858335456345256355157354d55345b63587f7a6f5e67465c6e525e6d5f5a665e546157525e4f505b44555e405960426065485d66425765405c6b465d6c485b6a464a52385246426054425963415966465a684a5a6749626f4e6876506e7c50606c475e634d5e5d515b5952605a55685b57685a575f5a565e5e56585a5255594e53594c505a4c535b4d545c4d535b4c51584c4f594a4e5a463b3e3226211f3635305d614e525f3f4d5a3c4a583a4d5a3b515d3b55633b566639556536505f364c59354e5c3757654a5c66626368716266645b615e6c6f7e746e815b55513e423437402f36412d36422b3a4a2b3d51303a4e2a2f451e2e51214162274f6a2958742b5f77285a702659712a556c284d6527546b2c58712f5e7735657a395e6c365259335157335056334f57344f5c37555f3b525b374c56324d562f4b5030474a36444736444835454f32485630485630495533485139494e3b4b513a4b533746513144502f3f4b2b3d4b29414d2e4751354951354952334c5534485332414c2b42462842432b45432f484b2f474f2d46502f464b3043452f40412e41412f41443040463040472f464c32484c324448303f442d40462f3e432d3c3f2a3a3d293a3c273a3d28383b273739273b3b2c3d3b2f3f3d2e3c3b2b383c263943273d48273f4a28404a294048293e47294047284149284249283f44284546314c4a394548323d472c3f482b3f46293f422941402b3f3c2a413e2d524937574b3847422e3d3e2b3637253033212d3320343a24
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
21232135343b56515a59624d48512d4e483e4d463f393326433d2544412541482936462b4b4f4550593f39491e636833736f40717347343f1832401d3b5220445a1f4861213e5a1c314919293917233117222a173e352479593d9c754d90724b6954353a321b2b2a1c2d30272630261f2b23202f1c334123394b29263c1e19251527271d4c423a262b1d161d101c24151d2717212d1c323c2c4f51465958525e57444a462c29311c1d2918222e1c24321c23321c1f2d191c29161e2b1827351e2b3a212c3a222e3b24333d283a442e343e292e3724272d1e23291a262b1c3e3f3051523f646b4a62733d556b304d602941532546592b4d572d6b63476b6453776e70656b7146573f3d4e2c3c4e25394b243649263c4429574c3a5c4f424745363a42293f46265048285d482c7e6c4f3d452a29371f25351b23331927371c2f3f223347283246282e41233445263c4d2c4251334352333a492c334527334628384b2e384b2f37472c374629494f2c6061356e6f3d616d364f612e4a5d2e4d6032495d2e49582e7c7051837f5c3f4828404827595a336866405f64404c56334c512d5c5b355e613c57623a4e5d314d5b2f606b3f758056717a56586144474a2f5453395b5a425f5a4162583e5c5c424c57393c4b2c38462b36442939482b3e4d2f4553364050343344282a331c272d1b242e1d1f2c1b202f1b2a3a22394b303d52354155364958384b5a3a4b583a47553440512d3e502b41532d465832495b334b5b3449593345552f4a5832525e355060364f6135596d3f50663e4c603a4f563561593f6f6045776c4c716f476b71446772416875466371485a68434858363948292330181e2514252a1b2d35203a42274f5335585d405b61445059394f5a31535f31656c3b73754571744c67714c536446485d3e4258353d50313a492e39432b373f28373f274d59325a7244354a285853396e57434e3c2b402f1c6e3e26553824292b165f5a405e5e465c6a395a723a364c2137482735482d303f1e576b3c42582f5f734d607f4a344d2646592e5b6e4074815a778164a29a8fdbd2c3a9b2a0576c447c904895a94f9cb0499aae4695a84890a3488fa3448da53d8aa53b8ca83e8ca9437ea2407c9f425d763474894b6983346b82357b8d4e798c4e8ba0528ca54f88a44d829e4b7b95497187456b7c436d7b486a683f8b714bcbab7ce4d0a8a5a27d93927785905c8aa04981973e889f4d687f37889c5d7c9148788c496b8346415e272c421c31351b45502b5c74456479516e7f556c745065674c65674b5c66404d5d31686d467a77557a775d7274626e715f696c5a696a59726d587e8359839158808a577f8857717a4b616c38576b2d5f7630677d356b8236758c367f92378e9b3e96a34794a74c91a75a8aa262778f555a73384e692c5c733282965899ab72b7c895b7c99f94a785606e54485437586d3f556b393a4a274f5c3d798b4e6b713d6055385d523e6969655b6264504a3b90785b7a6b4a8f8b6ec3b5924a432e9a8e6ba69a647d9244789f3b77a3387fa83c8eb3448eb34582aa3e7ea63d7496396c833d7f945196a9648996596d7741707b448394577f93547d90506d7b3b717d3a73853a6f85396c81387081426776416a8047798b4c6b7842626b3e6372437f9762586b34637e38829f477e964582984e93a65c849c4e788e427b9342778e4170843c85915292935866753163793a8da05d8395517b94524e6f3a4b6c385e793f576b357c915d627544687e4a73874c8f9f5e7b884663773d687e426376414f613864783d677e3e546b417f916c94a46a7d925a4b59395161427b955883995a46542b3646283f55386f87588da2634c6032566d44515f3f828f59727d5a959f818692667a845d7a7157565941858a668c8a5fbda773999453707c414849327e8062747f485d6f365466304e5d30505e35697a506875506671463d4c255e77569ab37d98a6608f9f585c6c37576a434c5a3628351e45553367764a717b4f666f476372416778446c7c4670804a75844b6c7d3f5f703549582a54603a5b653f5f6a436e7c4980964c8ba24c829041798a3c7f9044788345696e3d656e3a69743d717c44737f476a7243676d456b6e456e7346767b4a737a4869663e625b385c5b375b603b5f65416265466060445d5d3f5c5e3b5c61395f653b61693c636d3d6c7644757b4a7475487b824e87984e869745798a3e6f7f3b63723b626f3b5d67375b6035575936585d3a565d364c552f646d607e76665960385e6a3f616e43606c40606e3e647240717c46757b44686c43696f4d666e4d646f47606e3e4d542e4c4a395a4f4b6b5b506163405d643c5e6740606a45636a48636548626448696345685e3e655b3f6460486b67577a706882726e776a61656350585b45565b445b60485b60475b5f475b60485a5e46585e445b61464647321e1b151f231f4750395b6043686a4760673a525d35515e36546037535f354f5a30505c32525b3652583a54593d545a3c51583850573652593a5b604c5e60596969687979867e7a84595a43495332475231424d2b374722344a21374f26465a3d4e5e484e6038506b305576315c722e475625515f395d683847542130461a3c59224662254e642b536130586036595f36555b33515a314e5b304d5d304e5b314b54314b4f354d4c3a4e4c3c4c4b39494a354b503547522f47542f495233494f374b503c4d513a4e55374d56344b5432474f36464b3b474a3a474a37464a34474f324b523249512f494d2d4b4a304d4b324f4e334950324a56344a5435475135444c334349313f422e3f3f2f40402f3d3c2b3e3e2f3f402f42423043453044483141462e3e412c3e402b43422e43412c413f2b413e2d413f2f4240323d3b2d393929393d283d4528424929444b2a44492b43472b44492c454a2d454b2b444c2a454f2d464c304f493c51483b46492f434a2b454c2d4148293e44273a3f263c3a2947412f4b45314b48344546303f422c3c402a393d27383c25
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
292527322b292b291f3239212f3b1e232f182430192f3f1d46582445591f2f41151f2d121d2a132633182b3721534f54898780595e4667684f5c5e4a49493951453a5d4b44584c434a4b3f3f4b3043532a696f419888619e9268737d4338521b21351224321733391f5350356468475b6d354c621e42551c3c4c1f3f502237461f43412a46402b293819484b31857863575c422d3a212f3a2439402a42443251483c5b4f424b4e3b2c382320271924281c28301e2b372133412837442c333f2b2d38282634211f2b171d29151e2a171b26141c25141e26171c25151d2516242b1c3a392a9989766d6a5a74716b74766f5f6a474d572e4250274253284a5a2d4c5b30505f33606b3d696b4953483b715b49957a5b7a6d4b4c522b3f4e2a3c512b344a24314420354623455530364929293d2229381e2d3a2125351d313c1f484f2e525b3966694b5f5b4d5d494461594e50533a45502e3a4926303d1f3e452e5052473f3f3a51573d56663e51643c4c61374a5e3a475b384558364154343f51314753325d5c3c665f4057563b4c5132434f2e3c4c2e3c4c2e3d4e2d425833435b343b512c2e43212d4220314523354726324222314020414e295461395c6d405f70415f714351663c3f5830344c2733482735482a344629293a211f2c1a212919252e1c2936202b39203847284c5c384f643f50633a5e6e3e6f7b4a7381536e7f51607349586f4551663d55663f626a476466486565475a5d41535d3a4f5e3853623f5363404c5e394759344154313f502f3e4c2f3f4d30414e2e475434455334455132545a3b6066455e65445d62416565466f6c567270616a6a5d6668595f655059624b5864455962406969437f784d897e558b8358868159807d5a75745470704f6e6c48767b4d6a79456269446064485a5e425c61435c614263664372704a847b57887f5b9588659b8f74a09382a19989909276848c647f8a56859155808b567c8351857e4a90824e6a673a2d361c3845284a5b354c60355a723f5164383847252835172931142d33153338183840213c432c3037293a43305c603a90846380755b59552b9c8b57dcc7a2e7d4b4d4cbaea2a0865d604c3e47333e4f36253b1f182f11233a172f471c374c1d2937132c39193847254a5a37546639697c4299ac71859c5c95a9659caa8a8f99957f8575b0bd988d9d73747d595c72504f65385c6e3f49592f4d612e657c406d824d616d4a6e70566f6a4b7a7051b5a7908788765f5b4aaba598929583747a555965335d6e4065704f8c917e68774d92965ca5a16b727c533142213d50313d55364d5d3c999d81adab9877766291927b93947e787a5b959676767557a6a9898b976358683e505b3d5f6d484f5f3690a15da4af5d9a9c57949658a1ac68b1be7594a75d657a4085925fa9b66e7e984c69893a698b376385344d6e2b3f5a26506434747c517378579ca1739294695d60457d85558e9b54526a384f623c7886499fab5a909f54616d4251583e827552a0845877553b6a42337a46348f51399b583c99543a8b56387164376e763e5362355a6c436d82494e66365165396b7b3d80914978874d6e7c4a667a5049643d3d57334e663b6780455c723944562c6d834d92a4588da1558094527c8f4d69793d757e4d7e8352878851444b25485633838f567e9046677c32435c1e3b551c3c5f1d385e193b5c1b536d2b748a445b70393d562d3a552b3d582d6b854195ac4893b03979982c5973275b7532869b5264723d6e7f477185452c401e6071464c5734897a5699704c332915302d20ba876ae5a581ecbc94a782686e6053706c52808e51889953343f1d283a24425c36556f413f4c2c5c734a6e864c3f55348aa67d95a972607445607747546938617b497790595364353a4a223e532b455a2e394720404f2b666d434f5c3359703a3f4f2a6673587583537082486270425361364f6332586b3752603d717e557e934f5b6b313540201622122439243b493a35312d64594e4e43322e321c41513237442a3340282c3c204a5e3953603555673667734a75705985866a6f724a434a23384926506b436a884a728a4170833b737d43757e457f8b4584983c889f3a8ea44190a24d8a964672803564772e5e702c717a38757a3a6e78386d77366a73356f7a3d6f7b3d6f76436765495a5e43555d34596432707e437f8f4584924a848e4c797e477173436e7643707e4278864a727c51646c405862314e5e2755622e6a6f3d6e6b43756b4e766b5253483955694f92a05c8a924f7b805175735e7c71697e73617671626e6865493d4051444362584e736b5274654e7868547c6c5b7c6d5a756a516563446d6c4b7d755c8d7a68826d5d7f6b608370738a7b757e735c7d765e8477656a55473b2c263d3b388b8984a1938a9b897f897c697d7660827d688f8877948a7b9085778d8174847a6b7f76677d6f628f8373978c7fa29689a694848975668a7f70a1968dab9c96ad9b92a694839c927e9d947d9e947ba2997fa99e8aaea293b0a195ae9d92a8998da8998ea99a90afa098b5a49ebaa7a2b6a19aa791829e8a7b9c8c7daaa086a699879d887a806e5d6861516d685969635a67644f5c603b5c633d646b456a704d6e6f54716d4e666448625e4b5c544b544b444c483b57564263634f6b6850605f3e4d522c4a4c2c514e32535938576439606f3f6b775174745e6668435860305467315b703a637337647539727c44666d394f552851522c55522f54522e4e542e556235515f2e4b5b284a5a2847542b4f5733626649797560786e5d6b624f5f59445a59455f5f4c666553686454696252655f4b605f435f5e41605e425d5f4059603b636a44686e486d714d7374557470586b644f5f5842504b3547452e46472e51503a5e564763584c61544a6259506d635d7669617568616f5e5b614c485347405a55486b625477695e7f7066897b719987819d8782927e7a836968543e3d48433a766a547d7055766e55837a63847b5f797a59797658776d556f624e
2f292a2a25262b2a252f3822232d16222b18222d1828361835431c3443192a3716222f132333152a3918313e26544c5198908e60644a5b5f47575f484f5641534b40594a42564b4450534341522a445629747348a3936a9d94696a723c2d44161a2b121e2c183137254e4d3c535e395467264b5f1f384a1b333d1c3a46213a452247422f3f3d2b27391b23331a3839296c5c4c4f4c38323b223e4a284c59314a51324942364b483d3c44311f2918212318272d1f2d372334402a38442e343f2d313c2b2c382523301c202b191d28171b26151d2717222b1c1f2a1a232d1d28301f4f46389a8b75727060787a746a755d50612b46582445592847592a4b5c304f60334d5c31546236555739493d326a5440937859736a4548512a3b4c293247262c4120293c1b31422140502b3c4e2b3044252d3c212f3c232c3b1f374123585b3c7170557e7a6a6e6a61655d51595948373f22424a283c4a283d492c51564a43444348503c5d6d41586d3f52653a475b324358344257344155323f5131414f2f5255365f5b3f57553a4d5034434e304555354155353f52314c5e384c643d465f393a5330314a2a2e452435492641532d3d4e284252295161365b6a426072455a6c3f53673b495e353c542d3249282f43292e40282d3e2524331e1a26161821131d271624301c2937203b4a2b465733495e394c6136626f3d7682517986597484556174495b7046576a415d694465684764654762654558613e4c5b374758334758354858374e5d3b4d5e3f394a3237462d3b4a2e3c4b2e3847283845263c492a444d305558415c62495c61445c5e3c676845706e56717160696b5c6468566169505a65495c674759613f5d613d6f6e407d78497f7f528182587e7f57787854747452706f4b6f72466664446a654f5f604a575a425b5e435c60426367467170497c774e837a539185629a8e729f937e9f97878b8c777d8465626d43505d3155643f4d5f394a562c5f693a57653957633d6e7c5077895865784c53653e57683c637848677e4d6d824d72864a7a8e4e778c536275456e81543e532d424e28707249847753786c485f5b3a8f7e67cdae95ebd3afc7bfa2606051525247728160425a361931121e3414243a13374d1d3243183b4623605e4483796479795a7f895fb3c19976875e919e6ea3aaa69194949da189b3c29e747f58839065546c444559325e6945505d354d633265783f74874f778b5c7a82637b785a7f7a5ba7997f999a89525647b4b0a08183717f80546b773f5f6f3a79765b938877787d548f97549ca1627b8c5373864b576a3e4f603e707652a4a5859da1896c75618387756d6e54a3a38c797a5b727159929378818b6355623c53603b56633a8292599fac5fa1a65d9f9a5d82844a697634909f53a7af638f9b5d7a88568d9a5a70894665823d6e873e657c353b59262b492337522a475c305b68407d885898a0686a6f5161654ea0a96d70844d3e51336472459da762aab06ba19f6da79b71ab8d62976d4b7b4f3b8a5a45ae6c4bca754bd0774cc16c47a86c4792815070743f4f5c32506236778a465668323647255c6e3987995295a36ca3af817d8f5c7e975a6e884d627b435f753d596a37606f4483985b97a75985954987924b8c9a50778c4b7083557f875d7c82426b763d909e637a8e4772883954692642591f39531b3f5f1d42671c496a2459713054692d5b7036586e37425d2c536e3c758d4c8fa54c9ab43f8ead33799b306f8f377a9942839c467b944371893b405b26485b323441275552379a734f563a23403a2cb97f62d99274f0c59ddebd9bc8b2988b89698492566f7c412d3c1d3d53324e663c52613c4054356a88505e7742647c5395ad72859b5c6f864d536936546c3a778f525e6e3a3b4a22354a2231451e24331726361e3a4b2e637543687e47627a43657c486b824e788c506e7c444a5a2e56673a4f5f303a47265767427c8e536a7a3a595d372a2c161d2a1931432f565f5259544a594f45383b293a482c475440434c3532442936492d44573446592a3f502a4851393b432a3e4c2d394d2a54694473875e85945f8799467b903f73853e6e7c3e687639708238798c3a7d903a889c3e95a64b9ca9538b99437587366b773073723575753c6d763e737e436d76446c763f6b7a3c717c44686d435e683e5a673666733a748441798b40798743778144737b426e7840717f4a79845382896478796863674255622a57692a65713b7c7b51827d558079557b7356564a3a4b594584925583884d78764d787059817165847461776c5b63534b5e4b4868524f7162567667527767527c6c5b806e5f7f6d576c6146636146716b517f725a84755f7d6d5a7c6a5d7b6a668071697c715b7e765c796a58403027342f2d777a76a79c97a2918a97867a847a667f78648a826f9489789388778c82738b7f708378677970607e71648f8272988c7b9e8d778f78667f736397907fa59c93aea19faf9e99a39380938c719d987aa09977a09975a69e81aea392b1a296ac9b91a99b92ad9f96b2a59ab7a9a1beafaac1b0abb9a49ca7927b9f8e71a1926fa69b74a39a82a08d818675646a664f69675165625566635463644359613c646e4a74795a78776382796479705c7a6f5e7467585a50444845374c4e3a595944625e45515233454b29474b2c52503653533c5f6546636a49696f5670706164654355612f5d713b6779486d78486b754b747d4b7077435c5e3353522d55522e55542f4e552f535e32515d2d4b5b284556244354285460396c70527f78687b6f626b60515a57465a5b496264526d6b5b706b5d6e6656655f4a5f5d445f5f4461614766674c6b6c50717152717450777a567f7e61857c69837766776b565f593f4e50324c532e565a385f5c43645c4c64594d696157796e6b8e7c77887572715d5d60514b5f5a5372696384756e8c7c7394847b9e8d85a6938da7908b9c888591787a654e4f4e4a447f735d8d7d65867b64867d668780607f8060827f63837b667f7063
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
332a2b2b232b373837141c1011150e141b101820141921151d25182d341f3842253a49232d40192534153131205d4744968585686f4c4b582c4f613258663c555c41545241544b3e4e4c393b49273445226a60459e8868878059484c26333f263a46363e4e3c454c3d48543441541f4759224656253a48204644275855344a4e2c433d2a3133201b2b1314210d1723101c26163f3d34535144465332506232576f334f682c44512b4046303a402d2025181c1d16282b212d3428273226242b1e252b1b242d1b242f1e232f1d202c1a202c19222d1b222d1b222d1c252e1d3637267e6c56796e5e78787b6d7a655a6d335b6e2e556930465d2c40522541522643532746552a4a553042422f41382c6858447162485754363b4726304325273c1d273a1c2d4121304422364727364625334121384323484d2f615f45625f4d6962556762555c5c4a5353385c4e38594f4235422749522f51593c3f453930302c545b4054663f56683d5063374d6236445931394e2b3345253f4529514d334d4d324e4f32484d31404c2c3343253b4b2c425432425733495b3455653e5f70455f73465a6f444e663b475c334c5e324e5f324d5e3253643852633a50643d4b6035455a3140552f3f563332492f2b412924351f23321e1e2b19192517141f13141f131823141c291726321e3a492b4c5d3a52643e52643b6b784c7580587582596b794d64714b606c47616947555e3e515a394f5a374f5c384f613b495c3740533031412329351e2a331e313a252e3a242d39242f3a27303a28363d2b383d2c3b3e2d4548374d4d424a4a3f4f503c5758386160416061495a6147565e41555d4051593c525d3b535f3b545f3c535e3a56623a616d4169734771774a767a4f7b7a54777651706c4b6d6947655e46625f4a585b444c543a4d5538555e3b646744706e4c7b7458827d5d7577556c735066724e64724b5b6c4454663f4f623c4e61395767397374458a835b7f755379744a777b4c7c85567a835578815580835a8a866a9c8d80af9e94b1a297928b7c46462d73754fa0af7993a86092a855788f46566a365764347783457b9046788e467f8e4988944d86994d75913c78913c7788474d5936283c1a1c3511223a142f431a4f51346f6f4c4c442e6c594867483a8c7e638c8f765e684894977c939485a8a78bb8c49a9aa97e899668848f6e42523d4f5b427e8c6f5d74455f73437283566d765275785b7d865e8c9c6893a573858562bcb39d9fa88daaaf998c98737081487185485f723a8b8955cebc929a9a697787527a8a6b5a6e53667e404c5e2d696e439a9255988d538c874e81814f9d9b7ab4b0a19c9e83555944626553a0a58587926448573258634399a17ca2a983a1a87c94956979764a777e527c8b595a6d3946522f81875674814d617a508ba16c9ca872818961657049586a3249632539521e2c441f2d45244057337c8f5a949e6560644f808169aeb583677351777059caac80e9c18ef2c08debae7db27052914e3cb3674be49365f7a86ef09761db794ed07851d1865cbc7d54957a4d5c64363d522d475a33495b2f42532e72825196a5657484455f763f7d95558ea056758345777f4775784a8f9d64909f679aa8689ba95d7686486a7c44799047869b52909a67827c61696a459da6608b9a5170853a50642444561f3c501b3d542339521e405b1f506428697d3a53642d5667373b49233f4c25606f36566229697b3697ad4499b33a92b0358ca4388a9b418698418aa2428da8428ba740829e3f62783575774c8c704e40301e4e4c37bc9771cf9b77c58c70d7af9be5d3bbd6d6c2a9af963e4831425932475f34465431485e3f66854f516a314964376e894c6b814560703d48582d3b4f2a2f422421341a253b1e2a4124425c395975466d864a74874a6e804562753e5d713f566b35495e294154273a4d274150303645284554346469495c6c40687f4266783a56602e535339252a1a232f20374832494d3e564c3e3d3a294a5a363e4c2a3e4b2d4c5f374f612f3f54294c663f586d4241532c35442844574159674f797b618a836c85795f736d465c61305b68315e70325a6c2d56672e61783d798f4d798a457482407e8a47889a478b9f488a9b46878e4581803f7f824679834b8394519ab37da3b78a8d9c5d7a8d507686426275346073346075315d732b63792c6f813b7582447784437a8a467b83547d7b617a74617670566e743f6b79336878346b7639717b43828c568e945d908c646a5a4831332f6b7456817b5d7e705882716188746988756671615256433d6d554d736256726052715d4c7a67557c6d5f806f6377685b736959746a587468546f684c6f6d4c7672567b6e637768617d7263867c67786a55463a324a464388847ca59992ac9d95a593869587768b8272948b7d988b7c918170897a65847c69898072867a6c776b6184786f8c7f708677607d74538383558b8c5d91916aa09e85aba296ad9f97a29887a09a86a59e8aa9a08dafa694b4a89db6a89fb2a49bad9e94aea297b6aba1c0b3aac5b7afcbbab7c6b3b1b6a2969b8a6f9587659789619088619a937b9f8e808777616a6848696b446667425b5c465d5c4a5f6344646a4a757960848274918a829b8e8e9c8a8b917c746c5d4e4a47374245314f543a575a3e595e3f5b5e435d5a4359533c52503554563663673f656b3f61663d5a62385c6a366c7842717944646c3a6069376671396b743c67673f65613c5e5a3451542c575f36575e344e562c485529495a2951642e62744073794f78735468624951513c4a4c3855594161654a6a6b4d6765485f5e426364496f6c5274715176775985816d96897a9787799083718f84719588769788788d807082756674685969604b5e5a3d5251345553395954435f554b675e55776c698673717b66656559576763608278749c8a83a5918aa6938ea99792ac9994af9c97aa9591a18d8a998284795d616158518c7e7097847b8c7c728277687d75627f7961837d64877f69887f6d
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
2d26251d1c1813150f13160f1a1e12252f17394a1d4e6522546f22435f1a3448162e3c18343e213c46273d492b3f48335f625f626d5b303b24373f2d39412e3b432c565c3669703d5c57375e594358513b80664d9682666a6543373f1c343c1e343e1b3e4f1f4d622855682f556c30536b2e4e692b3d5a20293f1819261424272022251d1e2715222d1927331c2d3b1f364424404e2b41512f3f512e465034383e2b1d2a17222e192c38212f3c22303325443b36494440464a3b4655293844212b2e1f24261c24271b2c3022272f201f28181f2818232b1d272d1e5d4f3f84755e515348515b454f5731525631444a2c333b2138441f54612b5d6d345467324e61304b572d403f2a3534252c321f2f3620282f1d28331e2d3a223141252e40212e422330462735482a4c55346665496a6a5461654d5054383d462732401c36441f404d2843532b45552d4853325853484c4f4851514d4b5043505f39495c303f542d3e502c45553149583357603b5e603e585a3b5554354d4f303f4928344322344624394c293d512d4659324c5e335162335464345162335061335160354e5c3338492333421e344523364829394b2a334528354929374c2b374d30324a2c293e2522351f20321d21301d21321f1b2c1b1828181522141722151f2c1b2738222e40283b4d2e465936495c374a5c354c5d3654623963693d6f6e466e68485c5a3e545b3a4755344353344352333f4e30505d385c694067744a68754c57633f42532d2d3b1e1c2715161a1013170f14181112171010150e1315101618121a1b151d1e16252219463625684e3a6e57466356454f4e3842482f424b314b563b47563a4756374755324857314f5d32636f446b754b7679527c7856847b5d837b607a715574684d675c49615f495e6346586447505f3f4959364b5a34525a375c5a3f59544057543f58543f5c5a43606648606f46556840445830414e29575e377a764d847e577a7e4e6e773b6a72366f77427e864d9099579f9f69a5a07fa09d7d9a9e6e9aa167a2ac7ba1b3818ca06873845072814882953e89a23d859d40798e4b6d804c6b7a44687942303e232e332358603864704182846074715c76694381794461682d4f5926373a1f7a6d5b888d714f6138678739709838769d3b7ca34278a044769e496d9748669144678e4478934d8f99618188605a6d48707d5a6878497f875b6a74498e9263898e598592559dab71acbe9265784d8fa573738c52536038969185c3c3c08897787d9654637a3a656e3b7c774a879360899161a6a184a9ad8580965b788a577d8e656c8149758b4a778b4853643648583553673677864582904b8e9953909755717a445d694356633e5c69478e9876a0a789aeb18a9995676f684445442c38412b54613f5e724c556d4057703e485e3630402f2b3b2e4d564b9296688696444a622d22382133482a5f6c3f5a6039454b2e2a3521232f1e3a3e2960563c8c704cc07c54d97d54d9764fcc6744bb583ab15339c56a4adf8257ec8958e27a4dd6744bd77a53e68e64e58f62c7714f9e6b4965643e3d522d3a4c2734432147583461714695a66db9c68896a66d839859616f3c6f754a76894d82955671854b79905599ab668494526a7d45667b45657b3f6d87437c9249879b4c8095466a7a40607145909a6e8983529691588b854e5c6136576647202e1a2638202f452a20331e293d22314122212e1a2a382128391a3d51256f8643889c4893a64495ac3c8da831617b235569313d502b24341a2d3b1b586d3485a0478eab4890ac4984a1457a8f47645d3a6e5343a18877a99a8159553f424d2e33401d2e421f2f4220293a1b25391c364e284a633349623861764f65734853683d384a263b502c4b6940708c5b718652687c4b5b6d3f576b40596c3f505f35242c1529362524371f2b4427384c2d38492f5f6c496e784962723c57663a67704d374425687c514f5335353b2c4b55507773686a5f52aba495c6ad8c6655355359394f60385366405862485f614e6e7655727e52717b55797f59636b3f5666385d7047697b536c7d54697c4f6175425b693f5c624761684a7074577c7a607a7859848b589cb17fadbe88959754686b3768734568764568773e6c7d3e697d366376335f6e3e6c74446d733b747e3f7f87518b82678d77657c6c4f75744f737e426c7f3e70813e71813f6f7d30697e2c7287417684497a7f5082835884865775804276813e7d85579193769f9e7d9e997c9b97709f9f788a7c6a5552559b948e9e8c809986758d7869634d466c58557058577464627a6d6c76656169544c6652466d5b4c7c6a60826f6581706782706a857369827161796d58746b56665c496b635071635a48333028221f6668618c8378907e728a7869897d6d96877b9a897f9583788a796d9080748f7d708f7d6f907f728a7b737b6b6960564c66654e706f55797658797756807f5c83825a87865b8f936d9ca17c9d9e739c9d6f9a956e9d9878a69f8bafa295b2a397b1a096b2a199b7a89fbaaaa1bdada5baa89cb19d8ea28f7c9586719488778c7e668b7d5c8e815f8d80608e8571988b819482788677627c7464897f78928278796f606c695b7772617e736784776a776c536a694872715279745a7d7663756a5e63584b56513f5d5e4c6e6d576e704e6a6e4f737157746b55645e4754583c52583c52563b4b4a32464a294b562659652f6b7539666e324c4d24474129534d3569683f7e8353767a506569445c653b5361334c5c2d4a5a2b4f5b305154355151394e4e35494d2e474e2b4c543761614b706b55746c566a634c5b5b40565a3c5b603e666845726f4f7b795f8f86759f8f83a29185a290869f8c8399867c907d7189786c8c7d719685799a887c96827a86726d6d595550433f3b3431352f2b39363048443c5a564b746a5d897b6f95857c9b89819c89829d8a839e8c86a28f89a18e889d88848e78756f55564b3a3b5c4f418171578a78608877649181749a887f99857f95817c907c778c7a73
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
2f31252e31232e2d232e2d233433273333262f332329301e262e1c262f1e262f1f26301e24301d232f1d222f1b202b191c271617201410140f0e10121913369589ad4c5a401d28151f2a18202c1925331c2b38212e3625483e3476615a7d72634d49332826171e2014382f2058432e5846335e4738523f33272e1b29341b4150285364303d532137461845592140561d4a63215b76255976234e701e4d691f636937776f504a50343c3d2b675849a78e787f7a6136442a29311e2d391f414b1e4c5d22465b214e5830656449515d2f555d2e51562d484927322f1b1e1c152e262b948a8c373632282622322a274d413e504d4836342e2725201b1b16161612201d1629241c2e281e2f271d312a1f343022373425383526433d30837263a29288707a6d30432e1c221528291c3936253a38243236212d331e3139233a412938422a2a392027351e1c231d28272c424539474e374c4f374346303d402b3c3f284044294246294a4e2f4855324657344255303d522c3b502a3a4c28384926414f2d5c684261704d60704a5364384e5d314c572f4c523255563e5554454c4a44443f3935332a29291d221f16201c162121172023171d21161b2015171d12151a11131a0f10190e111a10111a110f190f10180e11170d171d102026181d25151a2213171f13161d131a22161e2517242b192c321e343c223b45263e49273c4926414c293f4b293b4929404e2c4756344b5a3749593545553043502c4550304651324350323e4d3039492c364629344227323f25303d232f3c20323f243a44283e4a2d3e4b2d3e4d2b46562c596d31677f34667d335e77365b72385e7739657f36617f325e7b31657a3577823e868b4a7a8649697b40697d406d84446d85486e834b7285536c7f555d744b576b4054653d59664059603d656343676545656647626748646e486572476b784c6a784a667648606e43616b43676f4a6b714d6b6f4c7676557674597a735a7f735e6960545a5940575c3b5a614059603f59633b6970396d753f646d3f4a58303e4426504e364a4b3e494d3a525a355f6a396173315c692b6364346e6741746a4781734f9080659c937b9a9b7e949f6297a34a919a47868d42838b467a7d457e794d8e7c629f8d7e968b7a98926da7a082b1af9db0b199afaf9396967f4b4b3a9b9791b7b4afb1ada5a8a79d7b7469836a5ba79584979782898a6474745b5e53498a786b9b8f81908872a99b8eae9e8f8d7e727a6f57898067a09786a19f8d7274654b4e35877c67ccb8aab99c7ce4bb89cdaf808a80596c6f458f935eb6b87bbcc684a8bb8180976b88956f91a16b768b567c825e817e5e7176597b8157919b5c97a76097ab629db166a2b66aa0b46993a9678b9d627a8c5a798858b0b67884875e4443363f4137556449778e5d687b458193568da2606f8a54516e442f4b2c1a2e1e1d2a1d313f284557343f462a78573c965c3db86445e1865ff2a475ecac7cc68a69ac765fc79171e5b681eec789e4b37fd99671d08767cd8667d18c6cc98667bc8a6bb29a707e734e333526283822495e3255693556683b74874f5c6d3e404f2f2d3a201c29151b2c194159346a874c748f4f738b4e899f5d91a7608498516d81425f763c4d66335671405b7541576c37435b2e486238627b486d824652643344553436472a36482833492841572e3b4b2456633740542a465b366679406172354556283e4f2827381c1f301c28382049552c323c1d27341d2c3d23202f1724372330472a4253352e3b2431422445502b50522b39441f637234616f2e51602757602f3f402745493525291a2c2e1f36301d3134213d42332e2d263837353d43394851394a5639484f354d533f516245586b485767434959313f502b485b32617745707a4d6b66433d422743583158723e5b703e505f3457643d626e4b7783617a7d5a656a425b683f647847586d3c5b733d80984d7b8c406f7b3b676f395d653258632e617036727e43707c43747d4d757e51737b4e6f77496d75456d75456c774671784e757a53757951797b527c7f527d7d57827e5d7d78547b745f75695b6d66466d744a858a618f905b8c8c4f88874f7f7d477b7b4e929070a8a488ada480a49e669ea054a1a750a3a75b9ea66599a66497a2618e9163978c6d96866f9b8b7b969063898f478b904d928b578f805c8273576d6345625f456c69537c756680766c837962807c628f8a749790768f83688e82699589729f8f77937d645c4538634f437467579593809286757e79527a8143655f2949321a4b37246962507f7d5e81804e82834a7a725183735f8f866a9e97859e8a726e644a7d726a6d554b524b37474031463f3050483d63594e736b567371517376547c83638e937296956e9196608d995b8c985687924885904687914b82854e6f6d4569644c615e4f62684b6372466c794b787c4e7e7e5b877c63857a5c8d8868858464747850818b4e767551747362848b6da4b690abab8b66663a5e603e706a5b7e75637b835d99aa7e7e8551707a446c733b6a6c366a653873733d757d3c7985417d8b4a7f8c4e838f508f9d5898a35e898f4f7175456d6e496f704b6d6d496e69486d6840656a375e633059622a5a682958653158643859643c5f6340656347696a445f683b5f6b3364702e616b304a4e283c3b2636302539322b4743384d493c473e3543392b4a442b586133596a345c6b39545c36454c2d3c4a254a5d295a6d2f6172355a683550593352583a5b5f48666455656154636059625f59635f4e5e5c46685e4d6c5951685c54655c54655e5266615371685d81766d8d817793887c96897b9387788f8373807262655e4a575e3b58623958623b6570456a75486e78517a7c60737255606b3d5f703762723963743e636b41515334494e315a673a64733c6669435b5d40575c3b606344746e59716159675c5a8178708e82778d8476918b7a958a858471776c545c6756576f6564665a5c403e3c2e3c30525b487c7467786662504444403e3a605e51
3335272f32232a2d1f292c1e292e1f2e34242a322028321f2b35222b37232b372327332024301e222e1d212e1c212e1c1c27171720150e130d10121216112a7b6a96717965202d18212d1924321b24321b25321c29311f473d327860588b7d715e5e4b3132202424164d3b2b75543d634f385243303d372229311a29351a4b572d616e373a511e1b290b323d1941531f4d66225a7625597622516f1f677630837e48545931424b2c50493a8b74679b867978797430432c29321c323f1f45522145582040561e49582c65624c4b4f364b462c4b422a3a3721282617241d1b74686d5f5d5927252023231f2524203e33305048443d3b3627252119191613131013130f1a1813201d152520192d29203633253836273e392b54473d8d776da6948a80806c3d48302227192a2b1c2f301f2d2f1c2b2f1b2d32202f34233d412b3b432c333f262d38232124233b39414d4c464b4f384c4f354649303f442c39432a3a48293a48284454314b5c374f653d4d6239495e36475c354358314356324d5d3965724a6a785363744d606e426972486f72536a67556c6559625952554e4a464137302e221f1d14201c1526211a2c291f292a1e2126191b2115181d12191c13171d13171d13161e12162013121c0f10180d10150d11170e1c2013222b171f2916182111171d12191f13191f131b2213222a172731192f391d303c1c2e3c1c333f20343f23323f223644253f4d2d45543343523042502e404c2c434e2f4551334452353e4d3038482b344327313f25313e24303d23303d23303b23343d24394427384627394a264c5d295e732e657d32647c31647c34677f356e8b357290336683315a762e6074316e7a387b8341727c3f68763d677842677c496c814f697d4a6d7f4f6b7e535e744d5a70445767405a66405d63405f60406262426468485e68455f6c42626f42677448667347647045626e45626c48646c4b666c4b6a6f4d7273527473587a755983765f756b6162614b565a3d5c60425e634559603d646b3d717645686e43545e3744482a5351345e5a4c5d5e58595f4b555e3d5968325c662c706e3f766f5678715880774f8b7d5ca69783a69f879ea16a9ba1549fa258989b589095568a90567e7f529184639f8f809f9583a79f7fb7a997beb1a7bcb3a2b6b19998957da19a8cbaaea6b7aaa1b2a498b3a697aaa1917a6d5f8a6c5895886d77775775715c5a5649857465a696819d9285a2928ba3917e8a7762726b507e785c9b9579a7a4869494796569525d5246c5b1a58e816bcba87adab686b9a6797a7a525e633b6f744a909463acb27bb0bc829baa7788966c7c895f80855e9e8f6b90866986845c99965a9da15995a05495a4589dab5ea2b163a6b769a5b66c9caf6d899e64a9b375a5ad6e586540414f365563445d65413e513047603a677b478295537b9250455d3120361f1a291a1d2a1b2b3b23444a2e745b3d915c3fbc6949de855ee29a6fce936c8f594a8b6256bd9876dbb782e2c083d9aa78d69571d79270df9c79e3a57edd9f79d6a37bc2aa7977754f2330242e45294f663445592c51633b6476444c5e36394a292d3b203a4b2b2c4124587344708e507793537b91518195538296527084445a6c3a50633b4d6338697e4c52663940572e415c3457734369814a5d703b3a4b2c3648333c4c3233452b374d2c516a3b6a7f4561733a384b243b4e2d4c5d2f4353233f4d233442223e4e2f5a7550496643304325293d1f2f48253f542e3e4e2d2c3a2450613a2d3b1d323f25495d316f7c41656a314b5826626f314e5923303c192d361e333824273524212c1c292c1d322d1b2e342486866e4740363537343e4833465334434e32393f2b73815d54613941532d3c522d4156324659325c7140727a4c7e794b6263345b6f3d5b764060734373785074764d686f424c562b4a592f46542b4a57305f704064763f657541848f4f7d8742616b2f47572441522547582b5564335c6c3765713d61643f65634f6f69566f6a536c674f69644e6e6a556f6b5879735f756c5d7469596e5f527162526b5b49726152716353746a6573655b67603e6b72487d7f52797d477b84448a954a8895408790468f8e61948e6b85814d7a813c89924b9ea658a7ac58a2a56a9c9f719fa3769a997f9e9376988e719c937696925f9099488a993d818e396f76345758294b502c585a3c616140605e3f675f4a716756847b719c908ba2968c9b8a7b968671918271907c6b755d45554338675447857d658b8567766c5368614158512d3528133c311d6262487d7b647f7a617f7e53838551837f587b6d5482795a98917a968270736153766258594d3b4e4e37474330494130584e3e69614d787657777e5179865789936797987097956f8c8d6b878b6b80835e767a4e74794b7a7d4f7a7a476365315d613d60604a686a4b6f744e72714e776e4f766d50737048717b4979825a76775e6c695078784e8980678a8276888963878c595c6033424a245d6047716a5c7d72627877598a9466737d4769773e6f793d72703d726c3c75743c6e77366b77376a7a3c75874b8b9c5da1ab6c93975b7378486a6e4e747156757156736e5471684c6561395c5f3354582f58612b56652354652a5c6a38616c3f6369446664496b6c455f683958643360693a62673b4a4d2941402a3a36294242314a4939514e404d473a47412d4b462a595d305c6b3157672f4c58293a47243a4b274a5d2b5d723462733a60703e5e6a3f6168496d6f5a767264756d666d656469635d6966566b695672685470605370676073696273685a6c66557872668f847c9e908ba1918c9b8c819488778a816c786d5c645c496061466a6a4f6a6d4f717852727b52767d5d87846b84836279834e7c894d7f884f858c608f8770756a5854553b6771496f76476366435c62446b6f5179786281756973615d6861608c817f9588818f867a8d8878897e78735e635e4a4c5f5450635b58554d4c3339313544335e5e4c766a5e71616157474b36323246473e
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
303c2233412432412336442637442b39452e3240272c39222e3b23303c262a38222734202a36222c392326321e252f1c262f1e28312020271b1b1e171b1a1c2a1d4dbdadd55e6d50151f0f171f121d23171d2417161e12171f141f1f1a3c2c2c4b3b3741372b3c3727594f386b5a426453373e3d1f273019453c2e755f5662674f5c6f3f576e2e45601e455c1f4e602c6a6a438c7856877e4b6172304f65336665557f70705758491e251821241a453d2b4c512e4b5c2e4f622f596a3653683240532138441c35391d3231204d3f395850453330234a393a9d95942b2e2820201824221926231a27282021261f1e231c1a1d18201e1b28252222211e17171511110f0f0f0d11110f1918161f1e1a21201c26231e3e342d473f3d33343225261e27241b322a1f41342749412e48412e433f2b3c3a283e3d2943422e4548303a40282f372033362a4847493e40442c3428323d2638452a3e4f2f3d4e2f3e502e3b4d2b344827324725324724394a283d4d2b3b4b2a3d4d2b485632596241696d5174755978755a776e597166516a6249605e41575a3b4d5434414b2e43482e4f4932554b3763543f6a5946605446554d3b3b39282a2c1f1d2015161b11171c13191e141c2215343a1c535e27718232687f2e4864223249192a3a1a222d142c3519414c243b4f222b3b1c303c1f414f273d4e2733422226331c1e28181b24141921121e2617232c1b2a3421313b2838422e39422d39452e3a472f35442b31412738472b3c4a2d535c3f5f664d5e664e6b6e50736d516c674f62614753573d555f4055643c5a6d38586d30667b3b738d457491406f8834627631515f2f444f2d3f4c2d3c4b2d3d4b2d575b4759644d63704c7079566f7c5b6778505d6d47556741586b42596d435f7045757d50817c5a807757736e4a686f43647247677850687a4f6b7c506b794e6d784b737b4d788055798057747c557076526b7053686b5368684e6c6e4e7774528679658f83768a846b88866889876b88826d87816d86806c837f6e7a776969685565664f5a5a4b5d5b416b633e7c725f786e5f7a71537f77548e876a9c91829d90879b8d849e8e749f955c9b96568e8f55868a55898e58949864989c67969a6298a0608796577782417e7c419a8a66a99884a69683a49377aa997bb09f8ab9a99eb6aaa4b4a9a5aca29d968e897d7d695c664055682d5b7732425c2659563e60594d42463b504f3e9f8a6c9e907460714f485d346b704f97987aadb09f9fa39c6a6d57757759727052968d7dc3b3ae79737087857b797368775f49b88a63c1a0746d664548492c505330837f59aca3856e6f505867433b513330463626372a2538232c3e242a3d223144243345255161366778407b8e508ea66589a56a809e697c996b7290636e8c5d728e597b9358899c5b98a963a3b56ca7ba72a1b97099b56e8dac6871915845663e3c55334253313e44255048256644277b412a9e5036a04e357d3e2c6e3f2f8a5e46b68e69ceac7ccca374c78f69c98d6ac3906fb69276b0997ba3936f7c80558c9b5a7a8c486f83425e6e393d4d303e524293a3819fae7c6d7c586b775363764363783e586b3a5f6f478396678aa1638197597f93576f814d54633b3d4d32526445758a5b7d925e758c536e87485367342e3e23384a31425a364964363a51282a341c47432d645b3839452222341c1b2d1a23371e1e32181b2d1521351a1e31181a2f19293d275e744a74894e62763f4b602d3f542730461e5168314a622c465c293b4c202739193d542a5f73394e5b2f3c3a2c5b4c392e331f202c1e1a261a121e111e2a182d3b1f29381d4a543fa39e7a8c795e5748392c2f2052604a51603f5c673f545c324557283c4f253748263b4e2b4a5c3348572f3342213d532d435a36475231313e1f26321b414a314d5837374626394b386c796e8785677c784e76744a807c588780618881647f7d608287608e9c65a2b56ea2b066888f516a733d5b693765753d757d487477496f734270783e76823d7e8b46858f537f8651787d5073704d665b3c5e4a385e4936524929554f4068624d73705b8382718c86647c7d467a893d87a03c8aa13c8d9b438d9d448b9e4f979d729e9479958b709d9a87afad8faeaf6d9c985c8e7f53948457938959858453797f45747c3e787f3d808f3d869f3e8fab3f8da54089914f877a5b84726386756f95847ea692849d8d75a29c7bacaa85aba27e9a8b677a6c4a5e5937493f2767493d76574c86716666483d47291d462d1a504d32676b4a787b598d907f9d9891958880806f637561516b5a4360533848443065605c745e5763564a6b63597c746a7a6b5a7b67547e6454705449745f4b746447766d49747149726d486a664064683a6f6b54796b667a6f65747161726c5a6d67546962587570637672607b78667d776677735671784f8592648a986b8190546477346c8441768e48788c46667641626d4b6e7151797553877d627d765a7d78597e7c5c8886678f8a6885815369714585816f968b7e9e907f907e628f80569e994f969d418d9738909f3992a03793a33aa4b352acb865aebd7cacb87f9aa2697e88566e7c456779346576306677306d7d396a6f406d6a49616038404f1c384e1b3a4d1d4049244e4a345d5546635e4d5b543d4e4231403229463c314b49354c4c34474a304e52385456405d5e465f6142514e394c3f304a4033534c4258574c686a5d7f7a6f8379707d6f6669625463634b67664a5e5b455b5b475d614964684b6a6c4d6a694c6d6b506a6b4f6f6f56716a596e5f4f695d486360426566446c6d4d737059746d6170675968674d757b51807f52756b506a614d726e5d877c6b857a677b75626c675641462e5b6b4b919079a49a8fab9c96a89993a59794a89998a28f907965686f6d5b6d6f48616a39666f40737f4c808c5d88946d8c937a757763686f517a7c5f7f7b67706b5b595142524e3c4647354b4f3754553b3f44303a472e444f32535c3e66674c726e557b7261685956403e38
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
495b30303922343c2b3d43324143333d4630343f28353d29343e293039263037262b3322283120283020283020222a1c192016181c16282720352f272a2b2116171a28195ac9bed647553c202b191c27171c2817212c1c252e1f3130273a3332504343554d46403a2f523f34735e434d4f234f63235574285b792c5f753167743c67753d6976397c7d45847b475a5e2b3d501f36462266664f9c968071725b8e8d79829674395624303f16514d2a766847626435464e202e3b17313c1c3f4d2343542446582344571f45592047552c9493836b6f6445493e5d59483f452e0f150c12140e151510252520181a151416111416131716141c1b1a1e1f1d1818161b1a13312a213d332b3b342d3430272a281f26251d2e2c272c2b2b1e201b1617111e1f172023181e25162f391c404e28485431424e313f492f39442a3f4d2945532c4554315959465b58574349414550363d4b2c313e23414e2d56643b5c6a4057633b55603858623a555e3b585c405a59435c59465f5845655d4957563c4a4f2f474d2f424e2e41502a43502c4655304455313a4c293846283d44294a4b3058543a575239564e35554b33453f2c3d3a272d2d1e2226191a21141a2214212a1929351f2a381e2f3d1d3b4c20586e2e62792e60782b455e2233481e35492431452232411f45542e5666435b6e48536941415b2e2a421a1c2b1017210e151e0e141c0f171f1123281a292c1f303324373e2935422935442b3343293542283c482c444f334c563b4f593f515c3d50563d5b5c426b64426d68446a6d48606a46636f4c677551647651596d474d5f3b4a59345258365a5c404a4f363c452a3340223b482b535a4856624a54674654663f5c6d47656f4e87866891907f79836f5f6c475c65426b6c487a704d776b4a6b684357613955643c5d6d4565734d68764d63724763714466754968744b676f4b6164455858414b493b4644325d5b3c74725081815e8f91669b9a709b967e999177968d6c9f9476989578828665787f5b6876495866374b5b2f455731425330404e2c575c37726d51736c598a7e62958a7a95918488856c807b6084785d887a61847c65837c69867b6a9382739887809f8d899f91899790788785598480558a7e6697906e9892739893849288838f7e74968170a28f83aa9990b0a399b4a9a4ada5a0a49f8c968f77918a70979a6e81925f3b4d25617e357a9c3b72883d56523e8880784e4e3b6c553fb0906f988a6e616d5259614e65725267744378834b8d925b9b9a6ca69b7edbc7bdb3a299b1a48faca38c98947b7e7d637d80609fa173b7ac86ab9780705a3d695c38957b4fe1be8de9cba1d1b9919a8f6a7176593f46344754315c713b495f2d576a3e65794a71875280985d89a16388a163809b5b7b95566f8a52556e42556c406679446278425c744334492b1e2c1d1d2b1c23301d303d244e60383a4e2726361d24321c262f1f3f34257f553a8b5a3a6f462f5e3c2a604030765949a38f75c9ad8dd0a682c49370c18f72c89a7bd1a484c89e84b08f7da995819b907652574329382933482c4e653b697c4b8094585f743e42592f3a50295c69377a835947573b51663e597043677d5492a879a2b680768c5f627b54678153768f538098517289474a5d35677f516a8354596d422c3d222a382344492c262b1624301e374c2d5b753d4f6a3247613321381e2f4b2c53723e627f3e4562313d5b334867395f7a43677f44627d41647e3e667f3d6a833d6b833b6c863978933b7e983b6f883679843fa69154856d33646e2869802e5c722b607235616e345b6532333e1d2a36223c47303b41282c2b1f47402b282a1a585c4f6e645a5456452d351e1e2c1532422f748a6a839b747e915d6e7e434e5f3043592c40592f556a3d7a8c5681905d6b754b8290628e93696a5e415452323e412c33392237431f4d56293a411c2d391d3845274f573762604370714e8793649cad7ba2a9719085538a755184785979774e656d3d6374387b904090a4488a9645787c427178416b76406f794776784f79715271654d65524859453c513e37685750816f6c8673777563646c67476d783677863e858a5b78714e5f653574884d98a46dada292b3a099af9c90a69587978576766852706f4d75765075745682835e858564807f5f7c7d56858b649ba374a7b178a8af76a3a3679793588c89528a875e857f6280775b857a669b917fa498879e8f818e7f66685f3c443c2d685d5a543f3a32261e322e26504f425e5c4a646159716b5f6b68546b704578855b8286767f785e544a305552418378718274647a74597271567070507a7c6083817b928d838f8d757d7d585c5c324f532c4f562e4c562d525b2f555c375e624d68605a6358524d4c3146502a595c497570697e787688896883875476715371685875735e868672827c6a66634849542b3d4e213b4f1f445b27536735616e4872745b807967837b6b8c846e88836287825f998c7981745d766e577f75678579718c7e7b64564b5c5d3b7a764d8c7d5a958359655b2d6871367e813e6870307c883c878d3d8d94449aa058a39e6f948b6573713848511b4f5a2f606e447687587d844c737341666a4a6b6e5573715c7a75666e675f4d50324151274a4d334744354d43344d3d34473c314d4a3a55533d5d5b3f5f59415c564050533d4e553b5660395c66395c624364614c645c4d62585167565067534d6f5f5d70656369625f6c685c7a786082805f74744e5b633b4d5a304c5a3056603860653d6267436c6f527576587575597471567068516560455e63405c663e6066425c5f3d4a512f414f2b54613c6a6952665e485c5c3861663d6e6a486861475d5a42595b3f4c50323943245e68447876597a79647c766479735d7a7661847b707d69695f5452837d739181788278687b7a6282816888866c85885c7a7f4e57553d3335293b412c46472f4947315552415e5646504c373c3f28424a2d545c3a5b633658612f4e592b45502c4c563359614163694d696b51
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
465626475c2b4a652f4c69314e69344b63354c5c36434e2e2c32201d2318191f161118111017101c2318262f1f25301f212c1b222a1a2b281b28271b181d1212181012161533294171646f5b5b4c1f271518201421281b21271b22281b2f3122433a2c533f305945326a513e524c364c5b382c441d1f31122c381a52582e7870436d653b53572b4d592c5a683a787a5679755b42472b5261335e74404e69394c582a756b347a7837676a305a5f44334535434d3743573634491e424f2a848b535c6f2e4b62327c806d7b7c732b282130271d352f21747154929965626a404e49394d412e4239211f1e13322a206a64463437202c2925393432383233423a394c453a383826262b182428132c341b38422643482f4b4c3653563855613740572f3a502548592565723c89936e838c7a828c71748057546538414f29424a2b50533a555a434948456c67684752443d462b4b4f345c5a40605c465b564349463935362e4043323b452a374327324124314023323f233846273d4c2a3e4e2a3c4f2a42552f50603b5565435464434c5e3c3f512e3243232d3d2123321a242e1a2f39233540293340272a391f24311d212c1c222d1d1f2a1b1e2a1c1c27181e28181e2718222b1a2a3522293520374521586b2c6b822e70802c656d2a54602653682a4e6b294e6a294d6a284b6c28476423425c2041572035461d2735181e2b141e2a15222e162d3a1b33401e28361b222e1b23301b2d39203d4b2b4d5d38596b455b6f4a586c4a536245555f44575c42545940555d3e55613e5a694558684351643d4b5f38455833465533475535474f344448303d432b363f253d442b4a4b3b424b324353324154344050304b583555633f556542576a43687047867b5c877b5e837b507e7f476c7c3d556a2f51642d5b6c3a5f6f4663724a69764d6f7951767d557c805a757859676b4f5f66485a64405d68405c693f616f446b784d728052748351788452969a7795978e8892747486516c7c4b6b7a4a6b7947747e498f8a559a90636b68455c5836888c577e8d4d7682437881437c86447f864585844d8c835a908566908c638d915f83895a747e536a724660643d6e6741978160a391879e98888887618a8553978a61a7967fae9c93afa29caca09b988d87928776938a76929272868e5287914e959770a39b8ca9a092a6a18b9da07885926393a379b1c79f9ab0736f843e4f632265764097aa7d7e8a67716b6190898882756c937766917b6ba4978caba197a19785827b6577765b928b70d1bba6b8ae8da2a36a9fa063969861898f51919d5397a45a909d5679894b5e6e41515b3c52563f373d283e442b45432b655336c39f79ccb0898c795d968d70333a2328311a56663e6e804b839565839c6966834e5d743f5f6d42827e5f8e8e66666f3f6776425a74412e46261827181a281920301f20321d1b2b18192a1623331c2d3d2647593b66774a57703f4663373b52312e40281c2b1d1f251d3830265f42316e48348a5b41b17b59b48561a2806283705b5a52424849384c513b455239677d4f7f8d5866704c4c583d50633c5c6b385963353f4e25304122414c326e6f4e3d452d4c6139667c4055693c4b5f4340593e46583555633643502e4b593d63744b6b804c5a6d3c40553148623c577643466132314626293d243852335a76446b86486d86466b8342677d3d617335586a2e5565295261264a5a22435624546a34677d3b51652c3c55265871354e612e35472849613e53693b76773b73602d515325465f2c4c692d4c662a42572446592a3f4f272e3d212b362037422b3e40254b522f5c6b3d4e623630481e394e2644532d364327404a324a533d4b554535412f22311923341928391d2b3c1e3041203648233c4f27415229424c2538422041502c3d4c2637442139472640532c4659313f52314b573a62633e6b643d494223393d27615b3f685b415f583a5b5b39555a365758385c583e6259426c624568623f5b653575894686944786914d9ca777a6b37e87944d6d783f7577517f7b577c775480786276686169585154453c5b5348696c4f6f7d4d6b76426d6c5b7f796e7f795e8d86689e947390816c7c6b567d7169a39594b09f9db3a09da8948d9984728e7c608f81698a84688a8b78a4a197b1aa97a59d779087627f7567948881998a7c96886b928a63938e6b8c886b8d867892867e8e8272827b688f896f8a8269786c5c80765f7264434d34227e5c4885634e625c3d6d754e869155919c558f9766827c6477725c787755787a5275734d716d5a87766f67544b625b57766f5e7b735e827c6b8b8173847967747255696e4b696e486b64535e514a52523e6168526c6e5d6a61555e4f48554841564b465f54536c6260756c6a8478758d7d798b7c798f818091867b817b68766b5b62594b63615374755c787d55757c51757951726c547063546a5c516661576f695f766d637a726880766578714e696c3c5b6736626e427e785d9181748e7b718e7d707b7060736d527d70548a795b92805d7b7850787d537a7e5481815a918e6483814d71704173734a88856b998e808d786e715c506155485e5f485d61365c622b6460365750344d54345b6a3f6977486574435a6a395b693d47432938312344402e5a52386e63468575608473666858525648424a3d38473b364f48435959516d675c6d615261533e555332616b3d6f744f797260756964685b58594d454844313d45254f5d2e707a41747d40636f3a5b653a595f3d595f405b5d47625e4b6960546a5d54665b4f625c4c64614e655f4c5d554560594564663e5e64426a6952716c526f634a6454396355356d61417b6e5a8f7e779b8a879e8e8d8d7c7d645d51474e30515f3568724b787d5a7a7f5d706e5565624f675f515e51475b564b6f685c70675c5f544d534c415e5a486f6652736a50716d4e6c6b52685f556257496d615173635a776b607e7666766f5f6b6c54676a4c5c5f405b623e7273517973597d746581746d7c6c6a6d605e514b47
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
48542f414f2a2e3e212f3d2628362029332029341e26311b1a231213170d12190e161d111c2315252b1b2b2e1c272919212316302f2053502f5f62333c4c1d16220b1419111c1e1d403843867c75444f30142210151d14151b13121710181b12352e21584533634c335d503164733b566c2f53622a69663a5d58314b582b3d52243b4a266c694e7f74646f69584f6435395622334a1b40551e5b6e26667d2b5a75274a5f295b5e4477775f80895771773e6d663a947b55ae8e64897a4e7d7f64bcbcab77835a516431445728364822464f31859061798952465328383624444232585e376e783f5a64335c6241514b3b5f5441615e44404a2e24271f2e2c27434834444f30414e2d46512e4d5a3054642f5b67315865314d5f2a51622b50602a4b5c29425328404f2f3a492e2e3e2326311a2a321d393d273f402b42432e41422e413e32574e4d3c3c3e282f27282c1e2e2e2027291b212516222a1a222c1b212e1b29352039432c373f303139333541312e3e242f3d223b482a49553946543a4355364958364856364858374253353f51344657363c4f303e513233452a2b3a232e3d242d3b222a3822202e1c1822141b21171f231b21241d1e211927261b26261b23251a2c301c434b235d712a6c832a6e852a64752967753361753851672f4356263c4c26263319172211141c0e181e121e241730341f5f683c31431f1e2315252c1b2a351f2f3d243444293e4d324555384b603c4f663c5a6f4364754c7278547074516469485d61425c62425d66455d684759684452623d55653e57674150613c4c5d384a5a364d59384b53354d57364850364a4f443c4b333b4d2b4c5e3950653d53673e596c3f5d6e416071425d693c76734587774e95815a9e8d5f97915a878e517c8c4d6779466473496473496472476b774c727c53787f577f855f8689678083687b83627a875d78875a7585577586577083556c81506b7d4b697c496f7d4c7f845d787e5b6e7a496d7c476a7b48697a486c7e42758042918b53b6a274c4b08eb0a8878c90667c824e89875e8f89766d6c623f38354f413c5d4b4182685a9c897b988b8491858091817892817994837a9c8c819a8b828e7f787e6e64756456816f61938179a3938ca3958ca2958c9b8f8081855a6b81326c82307d8c49999670a49d7b9f9d70929b6088975081944a6e84416d88405d7d324461222f461d3e553246532e4d502a7a7352706c5c909072a0a6896c725f8c7a797e6464847069a7b2949db0829fa883a6a68aa29e8ec2bbbdbeb9bc958c846d6b5c837c6d9686718c7b61777143727b40728348768a4f758a4e738a4e6f884e607c494e693e3d5835374c2f35482a384a2a4452315261396071406d7e497789547f915b84985e889c628da2678da66892a86897aa679aad6a9dae6c9cad6ba0b274a7b97aa5b86e9eb46699b16297ae608da2567789465c6a36405029293a1f1c29191622161b251a3c442b5a663e5768404e653b2d3d24171d161a1c172e2e28616251808567696c597e7159917b5c86745a80775e746f54454c343645283549253047213c512846552a3441203645243e4e2742532a49582d4f5d3156663663723d5c6c3a4e60344a5c32495b31435630475e366575447a834b6d7f466b8046677b436376405f723c5f733b60763c61773b61783c5c7438546a334a5f2e5f73394c6e283f582867683e444d243d5a2c6a83476d7f42708043627637394c254a633862793c4f632e31422032421f495b313341233238262d361d3a4b2543552832422122301d1f2d1c24311b2c3f21496437293a1b34442d5e6c44626d3e5863384e57384f5b42405231606d4a666645303328323b303d49355e693f73804d5a693f3a4426202a17415b3f79955f6e814567783f6072384a5b2b495a2c495829586134545a39434e2f405030455b355c68426463425e59385d603a57633549552a4f532a63673a626a426263466a5f4b746250755f4c695742625e42726b5e7e70697a6c6276705779725580745e8f7f7298857e9b867f937e728b776d87736d7b6c61726d547077436974336a70397f7d56868b568387568d89709e92899e8d8a88766e74675b8b8180a2908f9c837f8a7265786b5d7b7c51848e4f8a965a90965c8b8e557e8b487086376d7f3e7d8067928681957b738d73628e7b6f907f75816f627669546362406c71497e7e5b8a895f8d8e5f8483535543286647367d5a427a684960624660664e696f4870784c7d835575774360652e545f35656856786c63807d60949f6890917091887d8e8479867a7386797282756c82746a7c6f66796c6a756764695b585647454e443c5a5a4c6b6d5d6f71606f6e5f6f6d5d6a64576159505d53505d52576254586453505e50496558506f615974655f786a696f646a665c616b6670847b898a7d7d8a79748a766f85726a82757380757153522f3d4e1c53683263764264723c5d693569723d69713e4453267a775c7d61537b5d517960547c6a5c7b6c60877b6e978975857e5b787846777545706b3d63672f5b692e616d3b58642f5d71377580556d68506861526f6e528186498e97408f96408b8d457a773f63643a565a36434c2337481d3a482132341d2f31203238233a422a4951305b60416a6b55807762806e5c66524a483e363b352c3737283f43304f50435c544f5c4f4b5d535360565c544d494847364249344d55445e5f525e5e4a5356394a46295350316a6b3e72773d6977345c6e33606e39616b3f62644b615a4b5953445552425c59486a66557c745b827d61877d6a81716675645773685771665a5e5d536e6b617c70677e70698679748879747d6f676d685767665368675464604f5b5c4960604d625d4a7166507764547d6b6582736f8778747e736a6d6458646153605a4f424538454f3b57563f5e5c4f68615b5c544e5e5f4d61674a575d3957553a57533f635b4d70635a645a514e4d3d40472c464e2c5457385f5c445d584a68615b7c6e69796b66686158545942
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
2032111b2c0f1c2a111d2b141e2f151b3013273a1a4253265066275268254e662445612238531e2d3f1a2731192b341d343e1f3c4520424c244755284a5a284b60274e6625516726485c214456213746235c505078715b4955283c491f625d3b645c3b35321c504b315e4e346d5648665c40535f2d3c521e2a3616515031797c4a7f894c6a7c3d4759252738131d26183d3639615c4e4c5d2e505e2e3f4f232a3719625d4c55443d926f74c5aca5a67b67c68f6e8b6237494720574c2f655d325550276542233b3c1e3845263a3b294b5135546835384f2343552e4753345f7042495c323e4c2a44532d55673961784458643e5e67414655312d352723232839393645502e4d5b2d59673267753a7585447c88527985625b734e364a2537462743522f47562f3e4c272d391e242e1928311e28332022301e1a2518393130806b708b7f7c41413b192017182013202816353f214d5c2d6073336f843283903dab9a63b59d799687666b6a43555c3456613a4c56343c472a3b442a36402a303c272c3925263020262d21292c2532342a383b2c34382a2c3224202a1d1d241a1a2119161c14181d161a2018192018171e16171e16181e161a1f18161b1412181010150e12170f181d132d2e1f565944383d342c2e292e2e282e2c27322f284e503768754a53623731372628292324251e363a2842532b5a6c35596c33666f396f67396a6f3c787b4a797a4d736f37936a368376386e7535767d3e64763e374e232c3b24303d2256633574875073875351673c4a59315d663c7a73488e7b5180744b635f3f726f488785537b7b495f6739535e2e686436837148907c5191914f91a4587b98586785435871355367345a6e3669803c6e873f687d3d64783d7a8c5686996f85966f7b865d626d46515e3a515e3b5f6b46656f4d686f4e6c704f6c6c4f716d515d59425252385b593a71694d7b7358776f527470506465486062467870518d806889846c6f78535f7042606d3b666e3e696c436c6b49676546615f3c70673b7f7440908558968f6d9993779e967ea19784a198889e9586988f80948c7ca1958aaca2a0aba39e9a92888f877c8d847493877d9c918a9f958fa19690a0968f8c847c78665b8a6e5f927f69a28b6dab9283a49394958e847a8b5875913d789146848b628f857599897ea0908b9a90898a8570a4998ba9a1938d8d6f7e8e4f7281428e865079813c5d772f748e3993b1468aa2457d8b538d9c7357693562773a7d984b41552541352c6b574e7d7967687252989789d2cac8827e707e7a688a8479847c6e77725b7673527a75547f7c5c7577576a6e4967704c6e7c5c84927096a67f9cad829aad7c97aa7599ab7395a77189a16f7a9874536d5e16251d131f1517221721271b3535294d483b524a3c393726383d271a2616161f152c2b1f907c56b4a768a8a267747448444b301f3021344a33667a508a9b61899c5f82975974874b5e6d3d525e394a583123311a1520161d2d1f455c3a687e4a4f613a3d4d304650333a3f2d30322a2c2f25293121354127494d2c5d4c3767594562624d65624a5f563f403d2c252b1f202d212c3e2f3a50364b64395c783c647e3f6b8243536933465e3224351744562c586a3552662e4054222a391a1d2c151e2d1823361d1d301b293d26556d3f5d723e4a59353e47354a5b41667b4f7286506e834a5f743f516736526c375b753a5e77384b5727282a1521281a3342283c562a46622c475f293c5127546843586b404e6130394d231d2f17283a1f4e62355c6e3a475e2b4257285e69427a73515a583c2d3928475a31495a2b424e2c4b523a20241c292e236860494d4129323c2965664c303321475c3f7d88618d946a5e643968794e77814c514b2b5f6c3c5c733f7692608da467869355838c4f879258797a4a625f3987915996916fa1927fa29084a39089a18c829d887c9d8b7d9e927fa29b7ca49b7b9084666c64444e552c4251263d4f27485a3659644972765f7d76677c745b757c4673843d708036707e3a808b53888a5f918d70958d819385838370646654456d5b5c7e66677b62567d6a688571737058565d473d5b4a3961613f666d4e5f5c463f392b2731162f481e4d6d33708b407b913c748d34849d4389a04780914a73785b7977687677576c75476c793b6c7c345a68294f5c265156306063416c71466e734a676b465f653f3f3921614a3c8d6149765e4a6057475d504a5e4f4c554c4e675c5e6559565b5648524a3a5c4e44615247524b324f512d595a344f4f344d503d575b3e5a5d3d62654359593c49493744463644463946433e554b495b4e49564946564b475b4f4a65544c57494251463d4743374b4c41676d527c885e7e845d665d43564c385f593d605f3c5d613a686e50777463706d585f63414a512c4d543267704f7d84687d855d6e7e416c7f3d7c8d4b8c965a94a16992995f6978447d925e8f9058947f4b7b78385867265b732d7b8a40615f3362593d6f634b79744f716b506559486057426d6c4184914786944270733c5c53405a4f42504c394a49334c4b345657375b5e367a873a8794306f762b4e4728342b1d312d1d414427434d265762365a5f3c5b5c405a583e44472a46592756672a61733077853c839146666e334746253f3923403a2448422d524a356455446250476051465c544268644a626441525d33546832677c3c7884477d8345757a4166653b6c6743736d486c6c4561683c4e5c2d4655255056306864426d723d757842767349776f5a7b6e647d6d6478696170615c67595462544b594d3e47472f4c4e32564f315b603664703e55662c4b5f234e602556632c57642f55622e525f2c515b2d4d51294d4b295954376a674f7d7766887d7081796a7c766675715e6d68596862516e6d4771793f6f7b3d6879376472364c562c44512f4a512f48492c57583d65665975745f6a6d4d4c5c3052682a5e743068763b5d613b3a402c30362c3b402e3d4827343f202e321e463f2d54493a534e3e4e493b
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
445724323a25363e28454d25323d181c2115343324514a2c4d49232f361929361b2b381c2c321a2b331a28371c2b3a1f303d223242202d411930441a394b1d415120323e18393f2152512b5e602f5d6833646b3c69633e5d4e34654f374f3e2f382f2b41412b353b1c31301c533e2e674b3e5e4f3b524c2f4a51284856274051203c4c1e405121465b263a5421314b1c4155245d68397a7c5c7a82637a8361adad9d9b958062563b2b2f18272e1a38341f6641284a2d1a49412a9c7c60464021303c223f522e394a25485a332c3b1e1f29173d492c55643d47582a41502b3c492a3640264c61343c5228374824505f3a60744468794f546742344229222520282924423f385a604276834a798c4b687d375569244c5f1c4555195e6d346170398a9266637542445a203b551c36501b314a19283b151e2e14222c194b443980716e5c5c5556633c6d814c6d834d64723d596033565e315c6635676d3b686a34717134747d3a6b783962703865753c7181416a7d3b50652f384b252c391f3138263f3f334342393a3f31333c273b422f434a383844312a34263135293c443135422d2e3b2625321f26331f2935222632211e2b1a162313141e11131b10131a10161c12202618363827685c5b756c746c6761615f584f55405962377d89587a946b4b6c49333f262a2f20272e1c2f391d4552283d502537472348582d434f255f6735515926515e31514f2c725c376b7539677b3a5c733c415c2b5a6f39506639677e4e5d77414c60316d7d53586a385a6939434d2b4a4f34464c3946523657663c5f71475d734960754570853f7c913b738a3461772660722862752e586e2b4c6021586a267188307f9a357a972f66812551691f44561c4c56255b5c2f6d743a7380367d843c807d478a7d56726d4d5f6341565f3c54613e51613c4f5f3a52623b5a6c3f5e70445f71465e704762714b6b7651707a5a6c73586c71526a71496a72448080578a83688d84768e857a90877e8d83788c8373908574928573978a769085748c8471908971908b6f959370959570959374928d798f8a788e87798b827a8a7f798e827d9386838a7d7a8b7d7495887f9a8f8c9f94919d938b9e938ba29592a49893ada1a0b3a9b5b0a6b7a79b9a9f90878979767b6d5d79604e846259967a6ea6928ba394939b8c85978a7f998f859e968f968d87898174807e667b746083866375784c949c6194ab6f455e2c819852879f4d7b894f666c455d65386b76436e7d4758653a606c475e5c456b4b42734e4a9d8b89a88e898483657b875e75805475814e6f7c4b727b4c7982507d874f808b4d85855590866b9a8c81929082656b518b897460674a616d3d7a8e4e768d4e6f79495e60457c7b6b6a6f52867d678b7f6c918e6f938f6e9288698e8a5d868c56808a516b773f525b313d472827362219281c142118141e161420161722172f36225c5d4492937797a5767c955c57713e58694169765581936e81956e788b5e6f864f5973405f7945748d4f4e663427381f19221719201814181314161423261f424d314d5a366463479d8962b29865756645454532424a384a58425f6e4e6b754e5b673c4254293d52263b5025273a1d293b26354928364d233a52274a6033485f324c62354d60334e5f3645543235422626341c21311b20301c2535272d3f364c5b3f6473405a6a363a451f304222344221505d35586c3432491f2d462239542a415d30516c396580416a813f677e3e60783b546c35475d30495f346075425d73395d7635677d36506427394f20445d2c4f6532506733758d47889f518da15561743a4a5933505e3b555b41464b4046503562673c4b462a51493a6666495b683e566b39536536404c2745502d41522b415324445528505a314b532c3546203446273f493849523b5a684b788264838863727a5059623e5360426979587c83628481658780698e8c698d965185934a767f48646e3b53632e4a5c2a4b5b30677142736f4c635d3a4d54294e5f33616e405d64364e582a515f2d525d2e4d542d565b3d5e624c61634859563f625350715c5272615278655d715d50695f5284816f8f8b71908472836e5b816e5b827b66747a4c6c733c686142464d2643592058712d697e4076815a79824b858d547a6f514648214a5f3270785c857a69877a6983726f77695f77736279746173704c6b694b554539492e1f7d553a8158406e625a877e7c7262535d5f3e5d60415f584a61544d6659515d504d574b4347403349453a595045655649776552796a4f6e694365643f6d6e497073496b6e45727b51737b4c585635544d3c5a4c4452453c4841364f4a425a514c5247434e4240504341504442534541544a3955523154572f4b522946512a56663a687647707a4a5d5f39403d2a3b372f423f38595747727a51848f54717e3f48592125330f2b3b1b3f53253f52204d522460673a747038887e43676e373c521e6b8938758e388199436e8136606135655d42726e4d6871435268344d643149612d5a783f7c9d62829b5d869d68798b5d68704a5d65496b79596d7c535f67424b4b2f3636223a3c263c482a4d5b366a7050828264808251737c4575804d978d75917b6984765b7d7754737449626a3a5562305866325f6f356a793755622a4e5732545d355c6f346073325a6c314d5c2c4d542b5b52386e5e46766c4b76794c76824f6e7844656b396569366c71386c6d42605d3b5d5437645d3d65643964633565663a655d416c5a4d745e556c5b51675a495e5443574e4050473a564a42695955715e5c66554e6257496d5f545b4a403d352a4c473857513c475129475b215268215168225267285863395f604468684d6e6b4f615e40545539535739565a3e5a5d455754454d4b3d4e533d5b62425f663e606935536132626b487a775f847c69887c717664635342425d5949626057645e4d5e5c40525636494f2e4a502e5a5e39545f2f606a3874714f7567595f4f4856483c544e3649552c455629404c2a2c31211e2218
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
34422034422244502c4d5c30455329504d2c4b4128393a24374129403a32393729252a18353c1d495920344c152233122a3b1a34481b4452224c4828382e202b2a20323b253a4c2231481c2436151e28121c2210273016313e181f2d0d121c09242c17565c366776475e72425c604b5551411a1e12252a1e3c3b2d36332e2f302a3d472d465c284c61294a5f2b41532c3847273b482a4147294044293135233637314045462e3c2e1d231b2424242c27212b2f20323f2b4d573f626d474354252435162b3b1e2d3d20394a283f4f2a394d273748283546272b3f223d4e2d4052293e4e26465532687257404a3822261b362c25736b5143402d9f8d775d563d2e252238362f3f4c323545283f4b3358663f546a333f5628364d24334b2036462a323b2d3a45306c795a5a6e3e586c3a505f376061507d787561675574805568774389906887936a707c515a6145797c63576f425b723c425b2a394b225c6139545b354753256269327475397271336e753362753154702d4e6b295b682c6569346a773a6b82386a7c35727e3e68773d526a2c445d21475d26465227494a314447375453355b533d41422a3b3b225348454545433b3f27686f4d5e715f43514246563441522e3e4e2d46522f505a38485235404a2f4b5437585e4568704e737f54727f4f6977464f623343572a3e512740522a3343231e24142a2c1e3946311f2f1a3b4429606c3e728350728952687e4662793c596e354a542f637048697745979d77838b704a5739677052627547657442665b349c5738b55335b85537964c33934a33c86443ae5c387d50316568464048358c947e7d8f625167372a372077825d53653737432129321d404d3355663c4f633551653a394529424633474a39454e354b583467764c52613d3f4930333b282e3626373a2b706a607e78877271775f594d6e6156776a6182726b84746d84746a80726a6b6664595b504448343e412c464530514f3a5d5d456d694f7b725e7f7668827f6e787a6a6c715a6a714e6f724f82724ca17a56ad805ea57e5a957c5b877c5e817e5c81805d87855f8b8364887d66877a678b7c6685795e7e7c55777d4a7c844c7f8750787f4c73764a7b7755877c6790827891837b8c8075817c657879557579527982537686495f6b43535842828e57788b417b963883a23789aa3884a43570852b626121574d2159502e554d3e403f2e364023606d3a939a616a7e387088427f92635e6947444d2c6a77497b83457f813f757d36596c274c66235c6f2f6a764164664a67644e6c6457524d4263554a6c483d9981789da783849456808c4f857f5e8e81787c726850493b6e70625d6b415e6a39646242766b5c7c6d637b745c6a75487e8b577c7e54625f405156414d573b5460384e6037536b3b647b4366814360793c49612f2d41222031201e2d1d212e20324029475532505c3546533034442d31442e5b714d667a4a4e5f302b391a1a24143237283e3d2e232b1b1e2e1a273c214e683a4d67342b3e21202c1f22311e26391e202d172f341a2f33171d2616304228354e2921331c323d295d6c445b70413f552f293f221d2b1b1e261d2c39293b5031485b395b6b476a734e7070526c6a515c5c48515842616f4a6e8151738454687c546072494d5f354b5d305c733c6b8142687e3f566f374a612f5167345a6e36677a3d6e82416c8041657843596b3e67753f5a6b344e62325163375364395466395a663d716f545b5a3b4a5c324f65323e4f253f4c25414c263b482634451f405625435b2a31441f242f1a37452c2d3b25323e2b2734224456355b713a5769325d68376f744279784a7779588989788583766b6c615f6857535b453e462e3c44324952344a512d5a5f426c6d5954583b4e5c34656a425459325d603e635f46605b465e5b445b5c405c5f3d5a5e365d643a606b3c5863345f6b36637237495625485a2e6773426e6c4a6e634d6f6350605846514f3b53584972736b8d897c8f916f848d57707b3a5b6b2e6075386175384e61293d4f1f535d366e61456d5a42615f3c535c3f5a594d6d66627d7269837769907f6d927e6d93887e9b9696a39b9b9c8b7e8d7b6a7c6c596c573e593d285a412f625845766f597f765b7e73668475617b6e4b6d673960572e65533b5c4930462e1a68402869432875704c75764e5e653469723c7b715568563c6b6e3f66703c646a3e73715b7c6d5e695f3b563c1e66361c864f2d8554369b593dc77854b27d66937d6e8e81799c8d879c8d859c8f89a0948b7d7158605e36515430595c4378725f867a69887d6c8a7c69664d3c625a5097908aaf9f9fae9d9dab9999a491909d89888b7976705d596f5e5881736a85766d80706a7d6d657b6d5985786792867690827090806b6a664071833d78873d6d7a2d5e751f7e9b45adc371b7c072989d48849a357f9d317f9e307c9c2e7b9a2e7a972c78942d738e2a6b87286c84336c7a3d5c68364956292d302042493a7b8768858f68898e6a8d8a718d856f7f7562665d4c4b4c33595c496e66586f6451746a5888777083706b61564a3339252c391d3f4a21474d23444a275c695d726b79393d3232392261645267684f5b6a3665743a7782548485655662386076406976416568426b6446645c4571635678665c665c4e6e715a9490938b8c7a7683567078466a6d3e6d6e40767d4e898d5d888d557e834c6f714460613c5359305a64366f744873704c60583b6b6548796c525f564351483c54493e4b42384d453d60554f6d5b57715a586b51505d484863514d5e504a5c544d645a507f7962929777878c6d5e644d4e593559633072813a889a4789934d75754a6668446372436774436a6f4152572c434f26666d46746c587165556b63516e6a5272764c747f476f73505e5651534546483a3d54433f68574b6b5d53675850685a5275675e7a6b6677696569565a503a40735852745f5a6f5e5d6e5d5d6859596556556456556456546254546455575d4f50564c4851503b515535544d3d
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
3f4c1f39452153542f6055333c341d3f41314e533f3e3e302d2e2412170c0d100714180a2027143c442b46572f14250a090f0a21201b5c5039463c262a291d252421322d374c454c454532495029516228556c29576f29546a285266264f62234658213e50204b542c6b65507e74653a4026222b152229182d302533302b4646394b55334b592d49562a454f232b3414282c1638331d3b3a1f3841254f5f3c6171475063343749223141202f3f1f28361c23321c2634203446292234191a25182737233b4e3149613a587144586b3c44552b37482540522d53643958653c42513046503752603c2f3e1f28362035422a3b492a3a4725343a203137232f2d242e2423362e2c383e2d303b264f51487173674d5d3a3246202e3e1f354d2229361b5e6a4974875b3847246477474f642f59663a675c4a7264597e8467818b5d6274386176333b48207e7365817465a5978690976a8396595a71325967325f68375f6437747d4b5b643a3c41214548214a53244659255167275f782a607b285b6d24656c2c777d3486893b878b3d81863e7f8045838450707b3c5a63354c52384e493c3c3a333a36313233283c3b2b4d4d3a58613a99a07ca7b397859b61505c3e47534548583545532d424b2b4a4e3b484d4445484938403738402e3d442e646b4b7f90666c81575a6e415d703e6b7c4d77875f535f376e7c4d708755607a4c485c37475737435a3c2f42255966376c763d7c8a517182543137268c9672637b49697f4b6c7e42737e41838c61717e52737d5562513798583cc86e49af5e3c7641277f452eba6542b45f3d8d623e6768447383527c8d4f697d3f485c2d6b7758404e2c54633a495e322e401f43523142512d5666435b6c494b5a3e5b6d46515d3b4f543c4949364344323b412c353e283b442e494b395b5545786c5f968a8e8c868c76715f7c6b5b7f6b5f7c6b5a7765577667596b5d5471615480715f7b6f66736f5f6763516d6b57756f5b857a66867b687d7861807e6382827575786e61684d5f5d41826f5094785f8e79567b6e486f69467470517a715b7f74608378648a7d648c81628783637675587775577674577c7d5f798157737c4c6f794a6e754c777b4e84835c928873908375887a6a877f6381825578804c696f3e555035564a3c6462436b7542637334889e53a9bf87a9c19890a46c878b52777261696552595b366b6c416c6d436a72396877334f622f3c51335c743c758e3b718b346d82317c85378f8e49898c437b893573843773843c6677366474366b7b466e7f4b72874d6d85443c401f49251d906e648e868076745f6c6943796f5885756967574d82746879785d667544808958878a5d8b846b8a8a7167694e6f7050636e46484b315b5c4f7e7f8983858962683a63693c52583b3a432750643a7e8f52879354828b567d7d5d41442c1923132e4323344d203f562b444f2d4a4635353a272f42273b5436455f3e42593a213021353d332e352e5b6158575b45292e1e363b2c2d3125232a202d3629232e1d171f14111710131b13141c14172018364630607647576e3c374f2e36482a415028233016131b11111911131c143e4e35647546333b2624241c2f2b1d3832204949305960395a64384c532f3d3d2838392e464a38525c3d5b6a40576a35677b405c6f3c41502d3543272838244f603440502b3f4a313c3e293b44243d4f283c5128364a253951285b6f3a5a6e3551652f4d5e294453224758274f6430596d36626638756d4a706949535e3980945867793f6779457388595c7047566d3f5d733c5b7139536c314f652a485b2830391c5656409e8c70ae987e8d7a61867b5a6d6441514b2f444831505a445f6a567175687f796c6e6b4f666b485e6844525e37464c2642351f927d6d8f836a6b77517282526571434c532e3d432532381c2b351a3e502a61764575874c5f6d365768346272406f774c726e4c8a81559f905c8e7e4d6762394d572a4c5e2c64773a818e44898a41948f528e82586e6a4678804f84864d5c622a51632573853f828a4782814f8b7f5f83715c585131404e1e3e501a414a1c4949265151376f6e698883878f8970878068857b677a7450615e354f43284b382f4f41355b4c41705e537c6c557e7c427e8a41777e4c665a3d422c183d281a6b452e6b4127786e4a878756676c38454e263a4222506132646e4346522967734c59593d54553c5450392e281536231276432791552f693e1d5c2c1a633f2a7b6a50a89a8eb49b918e76636d6940666a3a585b30585a35606138697144848e628e8f60777841666936575138392e2a6d655a70604d82716187766a82746c7c6f687a6e6b857a789488869789847b6a67544245534246695c58676449484c327b796f7d6f686c5a50897b637f7748686e35596c294e601e4d541950511b50521c5b602480844478793e676b356068355d6a3950642d445c243d55212f4818233d142842182e431d28341a21251d323d2e50603a63753e74844b7b7f55645b46524a37534f3a63583f65553c534c2e454a29444c2b4e59325a6a3963753c5f6e334858254e592f57593a69644f86878094909c605e4e4f52374f52386b6d5c71675d5a564165644f6b68534352304c6239727650766a4c655b405c503b665647715b55746562796f70696052747050857d6b69654752562c4f5726545f2a646f33717c34696c325d5939605645615449685c4d6e5f507160535d4a43534939656040676b3b5c6531404f2045572c454c2b45412e4c433654453c4c3e3644352e4b4236605a456e67516c6551615b4651503a4e553164644a716454645e415b6236707c3c73813b73823a7c8b44747e42646a3e686843525c2e3d4b1b535f2f6462445d5446564d445951475a53475f5a4c5f5f484c48343c2f27453b2b545136595540504c3d5c5847665c4e5f55475b5746605a4c5f544e4e3f405d4c456658506456505d514c554a4751464450453f524841544b44564b4a4c4243413d394e4a3f57523f55512f
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
17230e27341b333e232838172b3c18354c1f324c1b283717433d284d402a2b26161a1b10181c11192012232f18323c20333b21232d161a2411262f18272f16151c0b151b0c1b230f2732162f3d18334118273416212625665e63655f4e27201323130e110d090a0c08171912302f21353624382e2236221d14100c0d0f0e1515161d1d1f26252a2d282f3e37343d40274054232d421d3f3b386d59524f4e3533362638362b28321f2b3c1d32441e30421c364a1f3c53213f55223d50203f51203343182631142e3a194b5b26526c27547026537026546f28516a2a4c622b4b5f2f5363335767345266315669366273435f724350663a465b314a572d4d5e2e4e652d445c26445a29323f292728303e414646573847593059683f5d6d425f7045657642717b42787e4e625e56635c5f726868927b6eb59577ccab88d2b48cb89d75ad986e565b3246573040572e1d301529271d555c3b42532d5b703d475b28202f16283222363b392d3230545d4b4d5d3c4d6035657a4d5068363748271e271d2d26224632347a7364454a323f3c39413f3e373b3027341a202c151e2a161f2a1c25311f3e46305f60535c6c4b4a5f34474f442c352525311a242e1a2b38222f3f263142292b3b214f533971734f5b643b525e324b592d445029555d376270415f6842706e586f6c5d62604e4d503944482e3d44263b462347512b545d376068426973476b76485f6e4056673b4b5d324d60325164374255282e421d1a29141b27141f2a1642502f4b65311e2d103f4d25384a231925191d231e3439284f5435514a322624183b3e2d474b334f53364b5b3539472a54673d536435485a2d2e3d1e3341263a472941512f2532194f5d375869365d6f3a56673548593045532e5a603e75735f6d715d606c4047552d3f4b2a444c2e494d315151344c4a2f45452b384024333e242d3a202e3a21374329404d304a54364e573a51563b5a5d41686b4d6e72536f74575a5f444d5033655a447562467461457b694e7d69528069518c735f8c7969867a6b84806683845f808a527c8e487487445c6e394b54314c4b33585b365c6a31657730667738606a4164713c6c793c7172496a62535e51495d4e43514a3c4e4837575040524c454a473e424631434a2d4c582d5b6634676c406a6d426d7639707f2e797f316d69335956294f552a5c613d6267456164406266406c724679815581885f7f865b7e8556778052737b51767955867f6c857b796964634342382c291f382e295d55417a7a48878f4286914980865a88906978855f687a4166734565604e75654e7e6b597f716872655c24211c1e21251c1e244541344d552a45502645452150452467623d646b405d653d555b364d522c57593c6a62526a5c526150435746336556486f6458746a5e786f64736b5e726c6184817e878492807c7d7f7668958683a19195a190919b8c889c8d85a0937e8380602d30242a2b2a63635d6e6e6a5c5c5b464a4039423a2a372e3f4a343f44302c2b1f2c2c25272e2927382420331e1a29193148284f6c3b72874d5a673d363c292f3524202b1d1723172838213647273141223849261f2b173442216879356c7f3062782c556b2c5467313f502942552f546836313a1d3732234f3f29533d28654b366c553e5146354746363b422f5d6d4074833f778f566d844f5f733e4355282e411f466135496132596c375d6d365a693259673156622c4e5e2c4a612e46602f5b753e65793d69773b525d2f3b4a273b4f2a22351a3d4f3162723a667938586c2f5267304a612f4c6231555e3b867f647b7057635b37535c2a5a672e454b21434c32323a2749453a5f5e4f5a674f405030485d2e49632d425a22374a1b4e582d6b6d46717e526478426073345c6d355262304959284757245a663b70724f4545255553476f6f5f606d3e5d6d3b5866404f583a5a603a696c3f696f40606d3a5e71365d75324b61233a4f19354c193148182d45182a3c173b4023544e3a5f59405859344e572b4a542946502948522c4a552f4c55354b4e3b4a4d3f4c5239434a2947502f777f7c9994b37b777443492a434b2d4b4c374f4841544a485f54505e4d4857453e4b3b313a29245942396f4c3e60452e4f4c2d555a3755534759524b52553c494e364f58403c4b264e5c344e5a3157593e726a615d5f4c616e4a404c24364226364127525f3f909473c5b999ccaa8a7f63473b3e242d381d29361c29371d2a391e2d3e1f384926354422384928364825425731586b3e6977456b72436c6641a089697e644a665b455e5d435a5f3764683e6f6c4b7b6f59846f6196736b936f6c846b687e6b637b6a648b7975907c788d7a778976738473708879778d7f7f9282818777747c6e6a7c6d698273718777778a7a7a8a787688767489776e8e7d716c5b4c6f62526a56516a535285716d89746e7c6c637c79587481437779567668636f64637162624a3336261f1c324027506d3973935a7c965e72874b60723772813c5f6d284959234256284c63365b6d366577305f6c32495029485232706c5978665774664e6c6e44607235637836687a415d643f4045263745203e482a4444354f453f594c4862554f5e514a4b443a37342b3c3c30454334474330423b285a54447776628492697f8d536d734362573e55433a53423d53433d40342d363026392f282f26223a2e2943322d43312d54424371626f857a92776e72837a85786d7c47443d584e487b6e62897b818c7d80858e60919b51646c314b4a2e41352a3f34293b31293229262c272330322652652f6c802f6b7d2c616e2c4c5a2448492a564136513b3343352e342925312a23594b3f6a4f484c38344d3a344a3a32463b323e322a36282234261c3d30214a40383e34353a2d28392e2642372c48462e494f29454b263c41253b3f293437232a2d1b282a1a2a301b2f351d2829173c3c224b502a3d4523343d1f353c1f353a1e32341c32341b414127645e3c464128242319242d1b1b28161d2915272b192a241b
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
181c0f191b121a2116161d141b1f1837322a41352b362d242f29212625191f27121b240f17200e111b0a151e0c17230f17240f121e0c141e0d182210182111151c101c23151c2514151c101719143536387f7b7a79745a3b481f30431a36491f31411c242b1a1f1f1a2b211c2e1d162c281a222b161b2512202a1435441e3e5224404d2f393d383a3b40323635282f212832192c39191822111a2115262f1b394a274a5f3561644e4e4e3e25301b222e16303d1d1c29121a22183f484372727650584c282f19272f15283c171f3311223314293f17344a19455a213f5a2329421d2b3c232a3c20323a1e393b1c2a33132126121b1f111c20142a2f2533382e41442c3d4b262f3920383c2e2c2c282d2c2a454f354c5d303a461f202c0f15220d172312212a1f443e446c656f5155542e332f20291e2c382326311d2c3221424b344a533e615b4c4d44334f4434907863ccaf89b49b758a7a5d4b4e363f4d2b24361829371c42512f50603a586d403646206475465b713a5d6e405c6a4e90a06f7181468f9b736469537e88654d6530283b15131d0a1615133a33326c734e2a3816292a2442453b181a192c29304a4c562e3f2f2b36203b3f30433f3e3b36382928261e211a2a34222d3b2537442c37442d3440294548306a5b497865576d5e4f524d39363e242d3920303e233241253749283e4f2d465933566c3c5b703a5063314f61344c5c33516036616f405d723d4c60314d5f3356693a5d76444664343e512e38482d2f41291c2917222d1c192516414b327181532b3a20242b1f292f2223291b1416101617111e20182e332449583940522e5b6c3e617343404f28525c3945522f2c3d1d506134677b3d5d723e5c6e43556738404f2855623e506035505f35414d2c414a313b462d37442b36442b36452b33422835452a3d4d2e435333545f3a73704c757355686e4c535e3d4e5a3a596342656c4e676d51575b43595a3f6e7352777b577d7d5e7c7b5d747156645e445c4e3461533a56513a525540565a455d614e6163526161536a62547163577161567563597c69607b6960877565847a6478764f6d6a4a5d584e6b67516e6543635d387783477d8650706d55615e445c5a346662366f7442738a446f873a63742d605d2469562a75643b756f496e74525c6a496965487c6a63716853545f2a495c256374397b88538687617b6d5979664f796a59736c5f6e705b75844d8197408ba34d8b9a698685738c80758c7b639180698f7f6d716459605a3c656d356979366b80356a843e617b3a616d3d756f527d6a597e69557663527260556f60566158435c5936373b24141513161616313325717e437a8b3e87964e98978d8f8888766f4c6c653d6868456a6948787d4c828e417e91386a832e5d7524577321587224586b2e627439707f457e8753858f55889e4388a3397d9636333d14201e1b504a437a6f678d7e7692817794837996857d94837b8f7d778e7b74907b748e787378675e66584556533f414b37262f1f41452e5f673c2e391f0d110b0d0f0d0b0e0c090b0a0d0f0e1212121211111716141314111a1f17394a2f586f3f4f5d36333b272c3425293621121d12131e1616211835422d6b7d4d73874d4d5d33272b1a322f1d302b18262015262016352c1f55412c4032233933284542334953353f4e292b371d2a372028351e2c3b235f6f483e502a4652384a523959654198a576627451536a472c3b23233525677e567c89575a694040502f3747253a4a2434421f3a4b28466233486230475f2b4b622c51672f5769315f6a325f6f345c7238647344747b5760694942502f4c5c344e5e324c5f33546c3e4f62325b6738626e4256653a57683a5c6d3d596a3d51643950653952693a5c6f405464385764385563344754283b46203b4428434b3a4d54474c4f395f5340b4a29793896d666f3d4a5a2652652b6170386f7d41677b3d748644687b355e713253602d484b2a5a4e3a6151404c41363c3528463e335e54496e654a706a4a74725074714f716e476160395151344a4b2f47492f595f4584878b8b83925750423f402b49483c4d4744473f3c423b303b352a322b2151473f5e4d465e4e456358495b5443534d384d4b2a55543567714e4e5f363c4d2449583460674b484f37786f5d807a55778c414d5f245c703c5f6d3d565f3d5a54419e8a746950392a291826311e25331c36502759743f6b7f405f72344b6230526b3c55693c5462384c532e3e4825484d2d62583ea08a606e63365b673858673a58633b57613b525c36575e3a625f47665b53645a556b65556b684e5b574057533e5c583d5a61395662385863395359315b5a39615c436b65546f675a6e635b70645e6d5f586d5f58685d5b655a58675d596d645668614f60574e7569617e6c67635755665e535e6048636a4c6b6f576e69506757427a67598b76636956423b3e256c7646646c3a4f5b273033192c352952684489a0687e975a85a2667e915b5062325168305b68366b783c83913f8795398494357d8c36718235687d387586426d793b5c662d69773676853f72823e707c446e6f4a655e4d665c5760565449443b3a3f2c4244374f5044605c555c4f4a423932433f374c46404e453843412c58624076804d7d7f5e72655d685a567c6e693e36354f4945675b5a6e5b5e5c484b4432303d2f283f352b433a305c514a746561726461645856544944544b406258515d4f4d47403b3a3d343b3c2845422a71675e8f839380737d635b515c59425e61467c786692877b7c6e616c62517269546c5f467a714e6356414d40394d3c383a352b31312736352d453c354a3c394839393f31323d342d41412e363521392e1e42362842392d3f392c383625222a18323222563e3844353147472d4c4c27443f2536362331361e3941223a42204553254c6228576f3254622f3f44223b362025211d2e2a2162633a5c5e344d582d3f50213d4b1d4753205f673261653e39402a25301d232e163c3c254b492f636938636f32
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
/mkjpeg/branches/16rgb/trunk/tb/wave.do
0,0 → 1,625
onerror {resume}
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_fdct { /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr(5 downto 0)} wad
quietly WaveActivateNextPane {} 0
add wave -noupdate -divider HostBFM
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/clk
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/rst
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_abus
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_be
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_in
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_rnw
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_select
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_out
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_xferack
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_retry
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_toutsup
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_errack
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/iram_wdata
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/iram_wren
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/fifo_almost_full
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/sim_done
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc
add wave -noupdate -divider JpegEnc
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/outif_almost_full
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_be
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_in
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_rnw
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_select
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_out
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_xferack
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_retry
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_toutsup
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_errack
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/iram_wdata
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/iram_wren
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_byte
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/ram_wren
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_wraddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qdata
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qaddr
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/qwren
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_ready
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_busy
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/outram_base_addr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/num_enc_bytes
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_x
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_y
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/sof
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpg_iram_rden
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdaddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdata
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_ready
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_ready
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_ready
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_ready
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_ready
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zz_buf_sel
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_rd_addr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_data
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_buf_sel
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_rdaddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_data
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_buf_sel
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_rdaddr
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_rden
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_runlength
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_size
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_amplitude
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_dval
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_buf_sel
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_fifo_empty
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_rd_req
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_packed_byte
add wave -noupdate -divider CtrlSM
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/sof
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_x
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_y
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_ready
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_busy
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/cmp_max
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_ready
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_ready
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/zig_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_ready
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/qua_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_ready
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rle_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_ready
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/huf_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_ready
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/bs_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_ready
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_eoi
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/reg
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/main_state
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/idle
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start_pb
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/ready_pb
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fsm
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/start1_d
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rsm
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s2
add wave -noupdate -divider BUF_FIFO
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_block_cnt
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_empty
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_hf_full
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_rd
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data_d1
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(7)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(6)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(5)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(4)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(3)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(2)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(1)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(0)
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wblock_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_empty
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(7)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(6)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(5)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(4)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(3)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(2)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(1)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(0)
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(0)
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(1)
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(2)
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(3)
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(4)
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(5)
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(6)
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(7)
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(7)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(6)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(5)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(4)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(3)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(2)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(1)
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(0)
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/last_idx
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/idx_reg
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wr_idx_reg
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramq
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenw
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramd
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_offset
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_base
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_base
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_offset
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramwaddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramenw
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramraddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramenr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/offset_ramwaddr
add wave -noupdate -divider FDCT
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_pb
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/ready_pb
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/writing_en
add wave -noupdate -format Literal -radix unsigned -expand /jpeg_tb/u_jpegenc/u_fdct/fdct_sm_settings
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_block_cnt
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty
add wave -noupdate -format Literal -radix hexadecimal /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_hf_full
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_int
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_data
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_we
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_waddr
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_raddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty_d1
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_started
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_buf_sel
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_rd_addr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_data
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_rden
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_x
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_y
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/sof
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/mdct_data_in
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_idval
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_odval
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/mdct_data_out
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/odv1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dcto1
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt_cur
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt_cur
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cmp_idx
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rd_addr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/input_rd_cnt
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en_d1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rdaddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_dval
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wr_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_data
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/dbuf_we
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_raddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/xw_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/yw_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q_z1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/sim_rd_addr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_2
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_3
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_2
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_3
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_2
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_3
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/r_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/g_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/b_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_8bit
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_8bit
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_8bit
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d2
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d3
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d4
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d5
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d6
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d7
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d8
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d9
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_wr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_full
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_empty
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fifo1_count
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd_cnt
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_q_dval
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo_data_in
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo_rd_arm
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wad
add wave -noupdate -divider ZZ_TOP
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/start_pb
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/ready_pb
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zig_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/qua_buf_sel
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_rdaddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_data
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_rd_addr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_data
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_rden
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_data
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/dbuf_we
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_waddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_raddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_di
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_divalid
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dout
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dovalid
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/wr_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_en_d
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rd_en
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zz_rd_addr
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_empty
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_rden
add wave -noupdate -divider {zigzag core}
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
add wave -noupdate -format Logic -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
add wave -noupdate -divider QUANT_TOP
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/start_pb
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/ready_pb
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qua_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rle_buf_sel
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_rdaddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_data
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_rd_addr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_data
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qdata
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qaddr
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/qwren
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_data
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/dbuf_we
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_waddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_raddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zigzag_di
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zigzag_divalid
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_quant_top/quant_dout
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/quant_dovalid
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/wr_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_en_d
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rd_en
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zz_rd_addr
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/fifo_empty
add wave -noupdate -divider quantizer
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/clk
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divalid
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qdata
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwaddr
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwren
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/cmp_idx
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/dovalid
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romaddr_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/slv_romaddr_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romdatao_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divisor_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/remainder_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_s
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/round_s
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di_d1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/pipeline_reg
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/sign_bit_pipe
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_rdiv
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/table_select
add wave -noupdate -divider RLE_TOP
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/start_pb
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/ready_pb
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel_s
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/qua_data
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/qua_rd_addr
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/rle_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_buf_sel
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_rden
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/huf_runlength
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_size
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_amplitude
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_fifo_empty
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_data
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/dbuf_we
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_runlength
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_size
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_amplitude
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_dovalid
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/wr_cnt
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_di
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_divalid
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval_p0
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
add wave -noupdate -divider rle_core
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/clk
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/di
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/divalid
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_di
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/start_pb
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/sof
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rle_sm_settings
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/amplitude
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_addr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_0
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_2
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/acc_reg
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size_reg
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/ampli_vli_reg
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength_reg
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid_reg
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zero_cnt
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt_d1
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_cnt
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_en
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_proc
add wave -noupdate -divider DoubleFIFO
add wave -noupdate -divider RLE_DoubleFIFO
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_data_in
add wave -noupdate -divider HUFFMAN
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/start_pb
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_pb
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/huf_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/sof
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/runlength
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_huffman/vli
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_x
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_y
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_fifo_empty
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/state
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel_s
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/first_rle_word
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/word_reg
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/bit_ptr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/num_fifo_wrs
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wbyte
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wrt_cnt
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/fifo_wren
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/last_block
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/image_area_size
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/block_cnt
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rd_en
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_d
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_d
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_size
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext_size
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc_size
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac_size
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d1
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d2
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d3
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_hfw
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/hfw_running
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_r
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_r
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_buf_sel
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_fifo_empty
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_rd_req
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/bs_packed_byte
add wave -noupdate -divider BYTE_STUFFER
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/start_pb
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ready_pb
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/sof
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_bytestuffer/num_enc_bytes
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/outram_base_addr
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_fifo_empty
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/huf_packed_byte
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/latch_byte
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/data_valid
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/wait_for_ndata
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_bytestuffer/huf_data_val
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wdata_reg
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wraddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wr_n_cnt
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel_s
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rd_en
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req_s
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wren
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wraddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_byte
add wave -noupdate -format Logic /jpeg_tb/sim_done
add wave -noupdate -divider JFIFGen
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/start
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ready
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/eoi
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/qwren
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwaddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwdata
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg_wr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/ram_byte
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ram_wren
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_jfifgen/ram_wraddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_data
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_waddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_raddr
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/hr_we
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_q
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/size_wr_cnt
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/size_wr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en_d1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d1
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d2
add wave -noupdate -divider OutMux
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/clk
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/rst
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/out_mux_ctrl
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/bs_ram_byte
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wren
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wraddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_byte
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wren
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wraddr
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_byte
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/ram_wren
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_wraddr
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 4} {39105000 ps} 0}
configure wave -namecolwidth 150
configure wave -valuecolwidth 55
configure wave -justifyvalue left
configure wave -signalnamewidth 1
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {511965 ps} {1652957 ps}
/mkjpeg/branches/16rgb/trunk/tb/vhdl/JPEG_TB.VHD
0,0 → 1,227
--------------------------------------------------------------------------------
-- --
-- V H D L F I L E --
-- COPYRIGHT (C) 2006 --
-- --
--------------------------------------------------------------------------------
--
-- Title : JPEG_TB
-- Design : JPEG_ENC
-- Author : Michal Krepa
--
--------------------------------------------------------------------------------
--
-- File : JPEG_TB.VHD
-- Created : Sun Mar 1 2009
--
--------------------------------------------------------------------------------
--
-- Description : Testbench top-level
--
--------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use ieee.numeric_std.all;
use IEEE.STD_LOGIC_TEXTIO.ALL;
library STD;
use STD.TEXTIO.ALL;
library work;
use work.GPL_V2_Image_Pkg.ALL;
use WORK.MDCT_PKG.all;
use WORK.MDCTTB_PKG.all;
 
entity JPEG_TB is
end JPEG_TB;
 
--**************************************************************************--
 
architecture TB of JPEG_TB is
type char_file is file of character;
 
file f_capture : text;
file f_capture_bin : char_file;
constant CAPTURE_ORAM : string := "OUT_RAM.txt";
constant CAPTURE_BIN : string := "test_out.jpg";
 
signal CLK : STD_LOGIC;
signal RST : STD_LOGIC;
signal ram_rdaddr : std_logic_vector(23 downto 0);
signal ram_q : std_logic_vector(7 downto 0);
signal ram_byte : std_logic_vector(7 downto 0);
signal ram_wren : std_logic;
signal ram_wraddr : std_logic_vector(23 downto 0);
signal OPB_ABus : std_logic_vector(31 downto 0);
signal OPB_BE : std_logic_vector(3 downto 0);
signal OPB_DBus_in : std_logic_vector(31 downto 0);
signal OPB_RNW : std_logic;
signal OPB_select : std_logic;
signal OPB_DBus_out : std_logic_vector(31 downto 0);
signal OPB_XferAck : std_logic;
signal OPB_retry : std_logic;
signal OPB_toutSup : std_logic;
signal OPB_errAck : std_logic;
signal iram_waddr : std_logic_vector(19 downto 0);
signal iram_raddr : std_logic_vector(19 downto 0);
signal iram_wdata : std_logic_vector(23 downto 0);
signal iram_rdata : std_logic_vector(23 downto 0);
signal iram_wren : std_logic;
signal iram_rden : std_logic;
signal sim_done : std_logic;
signal iram_fifo_afull : std_logic;
signal outif_almost_full : std_logic;
signal count1 : unsigned(15 downto 0);
------------------------------
-- architecture begin
------------------------------
begin
 
 
 
 
------------------------------
-- CLKGEN map
------------------------------
U_ClkGen : entity work.ClkGen
port map
(
CLK => CLK,
RST => RST
);
------------------------------
-- HOST Bus Functional Model
------------------------------
U_HostBFM : entity work.HostBFM
port map
(
CLK => CLK,
RST => RST,
-- OPB
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_DBus_in => OPB_DBus_in,
OPB_RNW => OPB_RNW,
OPB_select => OPB_select,
OPB_DBus_out => OPB_DBus_out,
OPB_XferAck => OPB_XferAck,
OPB_retry => OPB_retry,
OPB_toutSup => OPB_toutSup,
OPB_errAck => OPB_errAck,
-- IRAM
iram_wdata => iram_wdata,
iram_wren => iram_wren,
fifo_almost_full => iram_fifo_afull,
sim_done => sim_done
);
------------------------------
-- JPEG ENCODER
------------------------------
U_JpegEnc : entity work.JpegEnc
port map
(
CLK => CLK,
RST => RST,
 
-- OPB
OPB_ABus => OPB_ABus,
OPB_BE => OPB_BE,
OPB_DBus_in => OPB_DBus_in,
OPB_RNW => OPB_RNW,
OPB_select => OPB_select,
OPB_DBus_out => OPB_DBus_out,
OPB_XferAck => OPB_XferAck,
OPB_retry => OPB_retry,
OPB_toutSup => OPB_toutSup,
OPB_errAck => OPB_errAck,
 
-- IMAGE RAM
iram_wdata => iram_wdata,
iram_wren => iram_wren,
iram_fifo_afull => iram_fifo_afull,
 
-- OUT RAM
ram_byte => ram_byte,
ram_wren => ram_wren,
ram_wraddr => ram_wraddr,
outif_almost_full => outif_almost_full
);
-------------------------------------------------------------------
-- OUT RAM
-------------------------------------------------------------------
U_OUT_RAM : entity work.RAMSIM
generic map
(
RAMADDR_W => 18,
RAMDATA_W => 8
)
port map
(
d => ram_byte,
waddr => ram_wraddr(17 downto 0),
raddr => ram_rdaddr(17 downto 0),
we => ram_wren,
clk => CLK,
q => ram_q
);
p_capture : process
variable fLine : line;
variable fLine_bin : line;
begin
file_open(f_capture, CAPTURE_ORAM, write_mode);
file_open(f_capture_bin, CAPTURE_BIN, write_mode);
while sim_done /= '1' loop
wait until rising_edge(CLK);
if ram_wren = '1' then
hwrite(fLine, ram_byte);
write(fLine, string'(" "));
write(f_capture_bin, CHARACTER'VAL(to_integer(unsigned(ram_byte))));
end if;
end loop;
writeline(f_capture, fLine);
--writeline(f_capture_bin, fLine_bin);
file_close(f_capture);
file_close(f_capture_bin);
wait;
end process;
 
backpressure : process(CLK, RST)
begin
if RST = '1' then
outif_almost_full <= '0';
count1 <= (others => '0');
elsif CLK'event and CLK = '1' then
if count1 = 10000 then
count1 <= (others => '0');
outif_almost_full <= not outif_almost_full;
else
count1 <= count1 + 1;
end if;
end if;
end process;
 
end TB;
-----------------------------------
 
 
--**************************************************************************--
/mkjpeg/branches/16rgb/trunk/tb/vhdl/HostBFM.vhd
0,0 → 1,453
-------------------------------------------------------------------------------
-- File Name : HostBFM.vhd
--
-- Project : JPEG_ENC
--
-- Module : HostBFM
--
-- Content : Host BFM (Xilinx OPB v2.1)
--
-- Description :
--
-- Spec. :
--
-- Author : Michal Krepa
--
-------------------------------------------------------------------------------
-- History :
-- 20090301: (MK): Initial Creation.
-------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use IEEE.STD_LOGIC_TEXTIO.ALL;
library STD;
use STD.TEXTIO.ALL;
library work;
use work.GPL_V2_Image_Pkg.ALL;
use WORK.MDCT_PKG.all;
use WORK.MDCTTB_PKG.all;
use work.JPEG_PKG.all;
entity HostBFM is
port
(
CLK : in std_logic;
RST : in std_logic;
-- OPB
OPB_ABus : out std_logic_vector(31 downto 0);
OPB_BE : out std_logic_vector(3 downto 0);
OPB_DBus_in : out std_logic_vector(31 downto 0);
OPB_RNW : out std_logic;
OPB_select : out std_logic;
OPB_DBus_out : in std_logic_vector(31 downto 0);
OPB_XferAck : in std_logic;
OPB_retry : in std_logic;
OPB_toutSup : in std_logic;
OPB_errAck : in std_logic;
-- HOST DATA
iram_wdata : out std_logic_vector(23 downto 0);
iram_wren : out std_logic;
fifo_almost_full : in std_logic;
sim_done : out std_logic
);
end entity HostBFM;
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
----------------------------------- ARCHITECTURE ------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
architecture RTL of HostBFM is
 
signal num_comps : integer;
signal addr_inc : integer := 0;
-------------------------------------------------------------------------------
-- Architecture: begin
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------
-- code
-------------------------------------------------------------------
p_code : process
-----------------------------------------------------------------
-- HOST WRITE
-----------------------------------------------------------------
procedure host_write
(
signal clk : in std_logic;
constant C_ADDR : in unsigned(31 downto 0);
constant C_WDATA : in unsigned(31 downto 0);
signal OPB_ABus : out std_logic_vector(31 downto 0);
signal OPB_BE : out std_logic_vector(3 downto 0);
signal OPB_DBus_in : out std_logic_vector(31 downto 0);
signal OPB_RNW : out std_logic;
signal OPB_select : out std_logic;
signal OPB_XferAck : in std_logic
) is
begin
OPB_ABus <= (others => '0');
OPB_BE <= (others => '0');
OPB_DBus_in <= (others => '0');
OPB_RNW <= '0';
OPB_select <= '0';
wait until rising_edge(clk);
OPB_select <= '1';
OPB_ABus <= std_logic_vector(C_ADDR);
OPB_RNW <= '0';
OPB_BE <= X"F";
OPB_DBus_in <= std_logic_vector(C_WDATA);
wait until rising_edge(clk);
while OPB_XferAck /= '1' loop
wait until rising_edge(clk);
end loop;
OPB_ABus <= (others => '0');
OPB_BE <= (others => '0');
OPB_DBus_in <= (others => '0');
OPB_RNW <= '0';
OPB_select <= '0';
assert false
report CR&"Host write access, address = " & HexImage(C_ADDR) & ",data written = " & HexImage(C_WDATA) &CR
severity note;
wait until rising_edge(clk);
end procedure host_write;
-----------------------------------------------------------------
-- HOST READ
-----------------------------------------------------------------
procedure host_read
(
signal clk : in std_logic;
constant C_ADDR : in unsigned(31 downto 0);
variable RDATA : out unsigned(31 downto 0);
signal OPB_ABus : out std_logic_vector(31 downto 0);
signal OPB_BE : out std_logic_vector(3 downto 0);
signal OPB_DBus_out : in std_logic_vector(31 downto 0);
signal OPB_RNW : out std_logic;
signal OPB_select : out std_logic;
signal OPB_XferAck : in std_logic
)
is
variable data_r : std_logic_vector(31 downto 0);
begin
OPB_ABus <= (others => '0');
OPB_BE <= (others => '0');
OPB_DBus_in <= (others => '0');
OPB_RNW <= '0';
OPB_select <= '0';
wait until rising_edge(clk);
OPB_select <= '1';
OPB_ABus <= std_logic_vector(C_ADDR);
OPB_RNW <= '1';
OPB_BE <= X"F";
wait until rising_edge(clk);
while OPB_XferAck /= '1' loop
wait until rising_edge(clk);
end loop;
RDATA := unsigned(OPB_DBus_out);
data_r := OPB_DBus_out;
OPB_ABus <= (others => '0');
OPB_BE <= (others => '0');
OPB_DBus_in <= (others => '0');
OPB_RNW <= '0';
OPB_select <= '0';
assert false
report CR&"Host read access, address = " & HexImage(C_ADDR) & ",data read = " & HexImage(data_r) &CR
severity note;
 
wait until rising_edge(clk);
end procedure host_read;
--------------------------------------
-- read text image data
--------------------------------------
procedure read_image is
file infile : TEXT open read_mode is "test.txt";
constant N : integer := 8;
constant MAX_COMPS : integer := 3;
variable inline : LINE;
variable tmp_int : INTEGER := 0;
variable y_size : INTEGER := 0;
variable x_size : INTEGER := 0;
variable matrix : I_MATRIX_TYPE;
variable x_blk_cnt : INTEGER := 0;
variable y_blk_cnt : INTEGER := 0;
variable n_lines_arr : N_LINES_TYPE;
variable line_n : INTEGER := 0;
variable pix_n : INTEGER := 0;
variable x_n : INTEGER := 0;
variable y_n : INTEGER := 0;
variable data_word : unsigned(31 downto 0);
variable image_line : STD_LOGIC_VECTOR(0 to MAX_COMPS*MAX_IMAGE_SIZE_X*IP_W-1);
constant C_IMAGE_RAM_BASE : unsigned(31 downto 0) := X"0010_0000";
variable x_cnt : integer;
variable data_word2 : unsigned(31 downto 0);
variable num_comps_v : integer;
begin
READLINE(infile,inline);
READ(inline,num_comps_v);
READLINE(infile,inline);
READ(inline,y_size);
READLINE(infile,inline);
READ(inline,x_size);
num_comps <= num_comps_v;
if y_size rem N > 0 then
assert false
report "ERROR: Image height dimension is not multiply of 8!"
severity Failure;
end if;
if x_size rem N > 0 then
assert false
report "ERROR: Image width dimension is not multiply of 8!"
severity Failure;
end if;
if x_size > C_MAX_LINE_WIDTH then
assert false
report "ERROR: Image width bigger than C_MAX_LINE_WIDTH in JPEG_PKG.VHD! " &
"Increase C_MAX_LINE_WIDTH accordingly"
severity Failure;
end if;
addr_inc <= 0;
-- image size
host_write(CLK, X"0000_0004", to_unsigned(x_size,16) & to_unsigned(y_size,16),
OPB_ABus, OPB_BE, OPB_DBus_in, OPB_RNW, OPB_select, OPB_XferAck);
iram_wren <= '0';
for y_n in 0 to y_size-1 loop
READLINE(infile,inline);
HREAD(inline,image_line(0 to num_comps*x_size*IP_W-1));
x_cnt := 0;
for x_n in 0 to x_size-1 loop
data_word := X"00" & UNSIGNED(image_line(x_cnt to x_cnt+num_comps*IP_W-1));
data_word2(7 downto 0) := data_word(23 downto 16);
data_word2(15 downto 8) := data_word(15 downto 8);
data_word2(23 downto 16) := data_word(7 downto 0);
iram_wren <= '0';
iram_wdata <= (others => 'X');
while(fifo_almost_full = '1') loop
wait until rising_edge(clk);
end loop;
--for i in 0 to 10 loop
-- wait until rising_edge(clk);
--end loop;
iram_wren <= '1';
iram_wdata <= std_logic_vector(data_word2(23 downto 0));
wait until rising_edge(clk);
x_cnt := x_cnt + num_comps*IP_W;
addr_inc <= addr_inc + 1;
end loop;
end loop;
iram_wren <= '0';
end read_image;
------------------
type ROMQ_TYPE is array (0 to 64-1)
of unsigned(7 downto 0);
constant qrom_lum : ROMQ_TYPE :=
(
-- 100%
--others => X"01"
-- 85%
--X"05", X"03", X"04", X"04",
--X"04", X"03", X"05", X"04",
--X"04", X"04", X"05", X"05",
--X"05", X"06", X"07", X"0C",
--X"08", X"07", X"07", X"07",
--X"07", X"0F", X"0B", X"0B",
--X"09", X"0C", X"11", X"0F",
--X"12", X"12", X"11", X"0F",
--X"11", X"11", X"13", X"16",
--X"1C", X"17", X"13", X"14",
--X"1A", X"15", X"11", X"11",
--X"18", X"21", X"18", X"1A",
--X"1D", X"1D", X"1F", X"1F",
--X"1F", X"13", X"17", X"22",
--X"24", X"22", X"1E", X"24",
--X"1C", X"1E", X"1F", X"1E"
-- others => X"01"
-- 75%
X"08", X"06", X"06", X"07", X"06", X"05", X"08", X"07", X"07", X"07", X"09", X"09", X"08", X"0A", X"0C", X"14",
X"0D", X"0C", X"0B", X"0B", X"0C", X"19", X"12", X"13", X"0F", X"14", X"1D", X"1A", X"1F", X"1E", X"1D", X"1A",
X"1C", X"1C", X"20", X"24", X"2E", X"27", X"20", X"22", X"2C", X"23", X"1C", X"1C", X"28", X"37", X"29", X"2C",
X"30", X"31", X"34", X"34", X"34", X"1F", X"27", X"39", X"3D", X"38", X"32", X"3C", X"2E", X"33", X"34", X"32"
-- 15 %
--X"35", X"25", X"28", X"2F",
--X"28", X"21", X"35", X"2F",
--X"2B", X"2F", X"3C", X"39",
--X"35", X"3F", X"50", X"85",
--X"57", X"50", X"49", X"49",
--X"50", X"A3", X"75", X"7B",
--X"61", X"85", X"C1", X"AA",
--X"CB", X"C8", X"BE", X"AA",
--X"BA", X"B7", X"D5", X"F0",
--X"FF", X"FF", X"D5", X"E2",
--X"FF", X"E6", X"B7", X"BA",
--X"FF", X"FF", X"FF", X"FF",
--X"FF", X"FF", X"FF", X"FF",
--X"FF", X"CE", X"FF", X"FF",
--X"FF", X"FF", X"FF", X"FF",
--X"FF", X"FF", X"FF", X"FF"
-- 50%
--X"10", X"0B", X"0C", X"0E", X"0C", X"0A", X"10", X"0E",
--X"0D", X"0E", X"12", X"11", X"10", X"13", X"18", X"28",
--X"1A", X"18", X"16", X"16", X"18", X"31", X"23", X"25",
--X"1D", X"28", X"3A", X"33", X"3D", X"3C", X"39", X"33",
--X"38", X"37", X"40", X"48", X"5C", X"4E", X"40", X"44",
--X"57", X"45", X"37", X"38", X"50", X"6D", X"51", X"57",
--X"5F", X"62", X"67", X"68", X"67", X"3E", X"4D", X"71",
--X"79", X"70", X"64", X"78", X"5C", X"65", X"67", X"63"
);
constant qrom_chr : ROMQ_TYPE :=
(
-- 50% for chrominance
--X"11", X"12", X"12", X"18", X"15", X"18", X"2F", X"1A",
--X"1A", X"2F", X"63", X"42", X"38", X"42", X"63", X"63",
--X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63",
--X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63",
--X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63",
--X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63",
--X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63",
--X"63", X"63", X"63", X"63", X"63", X"63", X"63", X"63"
-- 75% chrominance
X"09", X"09", X"09", X"0C", X"0B", X"0C", X"18", X"0D",
X"0D", X"18", X"32", X"21", X"1C", X"21", X"32", X"32",
X"32", X"32", X"32", X"32", X"32", X"32", X"32", X"32",
X"32", X"32", X"32", X"32", X"32", X"32", X"32", X"32",
X"32", X"32", X"32", X"32", X"32", X"32", X"32", X"32",
X"32", X"32", X"32", X"32", X"32", X"32", X"32", X"32",
X"32", X"32", X"32", X"32", X"32", X"32", X"32", X"32",
X"32", X"32", X"32", X"32", X"32", X"32", X"32", X"32"
--X"08", X"06", X"06", X"07", X"06", X"05", X"08", X"07", X"07", X"07", X"09", X"09", X"08", X"0A", X"0C", X"14",
--X"0D", X"0C", X"0B", X"0B", X"0C", X"19", X"12", X"13", X"0F", X"14", X"1D", X"1A", X"1F", X"1E", X"1D", X"1A",
--X"1C", X"1C", X"20", X"24", X"2E", X"27", X"20", X"22", X"2C", X"23", X"1C", X"1C", X"28", X"37", X"29", X"2C",
--X"30", X"31", X"34", X"34", X"34", X"1F", X"27", X"39", X"3D", X"38", X"32", X"3C", X"2E", X"33", X"34", X"32"
-- others => X"01"
);
variable data_read : unsigned(31 downto 0);
variable data_write : unsigned(31 downto 0);
variable addr : unsigned(31 downto 0);
 
------------------------------------------------------------------------------
-- BEGIN
------------------------------------------------------------------------------
begin
sim_done <= '0';
iram_wren <= '0';
while RST /= '0' loop
wait until rising_edge(clk);
end loop;
for i in 0 to 100 loop
wait until rising_edge(clk);
end loop;
host_read(CLK, X"0000_0000", data_read,
OPB_ABus, OPB_BE, OPB_DBus_out, OPB_RNW, OPB_select, OPB_XferAck);
host_read(CLK, X"0000_0004", data_read,
OPB_ABus, OPB_BE, OPB_DBus_out, OPB_RNW, OPB_select, OPB_XferAck);
-- write luminance quantization table
for i in 0 to 64-1 loop
data_write := X"0000_00" & qrom_lum(i);
addr := X"0000_0100" + to_unsigned(4*i,32);
host_write(CLK, addr, data_write,
OPB_ABus, OPB_BE, OPB_DBus_in, OPB_RNW, OPB_select, OPB_XferAck);
end loop;
-- write chrominance quantization table
for i in 0 to 64-1 loop
data_write := X"0000_00" & qrom_chr(i);
addr := X"0000_0200" + to_unsigned(4*i,32);
host_write(CLK, addr, data_write,
OPB_ABus, OPB_BE, OPB_DBus_in, OPB_RNW, OPB_select, OPB_XferAck);
end loop;
data_write := to_unsigned(1,32) + shift_left(to_unsigned(3,32),1);
-- SOF & num_comps
host_write(CLK, X"0000_0000", data_write,
OPB_ABus, OPB_BE, OPB_DBus_in, OPB_RNW, OPB_select, OPB_XferAck);
 
-- write BUF_FIFO with bitmap
read_image;
-- wait until JPEG encoding is done
host_read(CLK, X"0000_000C", data_read,
OPB_ABus, OPB_BE, OPB_DBus_out, OPB_RNW, OPB_select, OPB_XferAck);
while data_read /= 2 loop
host_read(CLK, X"0000_000C", data_read,
OPB_ABus, OPB_BE, OPB_DBus_out, OPB_RNW, OPB_select, OPB_XferAck);
end loop;
sim_done <= '1';
wait;
end process;
 
end architecture RTL;
-------------------------------------------------------------------------------
-- Architecture: end
-------------------------------------------------------------------------------
/mkjpeg/branches/16rgb/trunk/tb/vhdl/ClkGen.vhd
0,0 → 1,75
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
----------------------------------- LIBRARY/PACKAGE ---------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
-------------------------------------------------------------------------------
-- generic packages/libraries:
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
 
-------------------------------------------------------------------------------
-- user packages/libraries:
-------------------------------------------------------------------------------
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
----------------------------------- ENTITY ------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
entity ClkGen is
port (
CLK : out std_logic;
RST : out std_logic
);
end entity ClkGen;
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
----------------------------------- ARCHITECTURE ------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
architecture ClkGen_rtl of ClkGen is
 
 
constant CLOCK_PERIOD : time := 10 ns;
 
signal clk_s : std_logic := '0';
signal rst_s : std_logic := '0';
 
begin
 
-- Clock generator (50% duty cycle)
clk_gen: process
begin
clk_s <= '0';
wait for CLOCK_PERIOD/2;
clk_s <= '1';
wait for CLOCK_PERIOD/2;
end process clk_gen;
CLK <= clk_s;
 
reset_gen: process
begin
wait until rising_edge(clk_s);
rst_s <= '0';
wait until rising_edge(clk_s);
rst_s <= '1';
wait until rising_edge(clk_s);
rst_s <= '0';
wait;
end process reset_gen;
 
RST <= rst_s;
 
 
end architecture ClkGen_rtl;
/mkjpeg/branches/16rgb/trunk/tb/vhdl/RAMSIM.VHD
0,0 → 1,77
--------------------------------------------------------------------------------
-- --
-- V H D L F I L E --
-- COPYRIGHT (C) 2006 --
-- --
--------------------------------------------------------------------------------
-- --
-- Title : RAMZ --
-- Design : MDCT --
-- Author : Michal Krepa -- -- --
-- --
--------------------------------------------------------------------------------
--
-- File : RAMZ.VHD
-- Created : Sat Mar 5 7:37 2006
--
--------------------------------------------------------------------------------
--
-- Description : RAM memory simulation model
--
--------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity RAMSIM is
generic
(
RAMADDR_W : INTEGER := 6;
RAMDATA_W : INTEGER := 12
);
port (
d : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0);
waddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0);
raddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0);
we : in STD_LOGIC;
clk : in STD_LOGIC;
q : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0)
);
end RAMSIM;
 
architecture RTL of RAMSIM is
type mem_type is array ((2**RAMADDR_W)-1 downto 0) of
STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0);
signal read_addr : STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0);
begin
-------------------------------------------------------------------------------
read_proc: -- register read address
-------------------------------------------------------------------------------
process (clk)
begin
if clk = '1' and clk'event then
read_addr <= raddr;
end if;
end process;
-------------------------------------------------------------------------------
write_proc: --write access
-------------------------------------------------------------------------------
process (clk)
variable mem : mem_type;
begin
if clk = '1' and clk'event then
if we = '1' then
mem(TO_INTEGER(UNSIGNED(waddr))) := d;
end if;
q <= mem(TO_INTEGER(UNSIGNED(raddr)));
end if;
end process;
end RTL;
/mkjpeg/branches/16rgb/trunk/tb/vhdl/DCT_TROM.vhd
0,0 → 1,143
--------------------------------------------------------------------------------
-- --
-- V H D L F I L E --
-- COPYRIGHT (C) 2006 --
-- --
--------------------------------------------------------------------------------
--
-- Title : DCT
-- Design : MDCT Core
-- Author : Michal Krepa
--
--------------------------------------------------------------------------------
--
-- File : DCT_TROM.VHD
-- Created : Sun Aug 27 18:09 2006
--
--------------------------------------------------------------------------------
--
-- Description : ROM for DCT quantizer matrix
--
--------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use ieee.numeric_std.all;
 
entity DCT_TROM is
generic
(
ROMADDR_W : INTEGER := 9;
ROMDATA_W : INTEGER := 8
);
port(
addr : in STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
clk : in STD_LOGIC;
datao : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0)
);
end DCT_TROM;
 
architecture RTL of DCT_TROM is
 
type DCT_TROM_TYPE is array (0 to 2**ROMADDR_W-1)
of INTEGER;
constant rom : DCT_TROM_TYPE :=
-- (
-- 16,11,10,16,24,40,51,61,
-- 12,12,14,19,26,58,60,55,
-- 14,13,16,24,40,57,69,56,
-- 14,17,22,29,51,87,80,62,
-- 18,22,37,56,68,109,103,77,
-- 24,35,55,64,81,104,113,92,
-- 49,64,78,87,103,121,120,101,
-- 72,92,95,98,112,100,103,99);
(
-280, 48, -20, 16, -26, 46, -42, 27,
45, 12, -34, -31, 11, -1, 16, -44,
-5, -63, -34, 36, 24, -27, 6, 1,
-12, 12, -8, 34, 5, 2, -12, 5,
22, -18, 15, 9, 12, -5, 1, -11,
1, 10, 6, 12, -15, -11, -5, -10,
5, -16, -4, 10, -1, -11, -5, -11,
-9, 11, 5, -3, -14, 4, 0, 0,
-213, -110, -78, 38, 32, 2, -1, -9,
28, 62, -7, -7, 22, -11, 5, 7,
85, -21, 33, -28, -37, 36, -11, 5,
-34, -18, 2, -24, 8, -12, -11, -8,
-13, 8, 39, -63, 27, 0, 1, -4,
-32, -4, -8, 24, -22, 11, 20, -4,
-12, 8, 43, 41, -16, -12, 4, -10,
-11, 14, 15, 7, -11, 9, -32, 0,
-225, 10, 25, 18, -30, 18, -14, 7,
44, -13, -93, -7, 20, -7, 5, -11,
-88, -53, 6, 36, 2, 1, 22, 2,
-46, -10, 17, 23, 16, 32, -7, 8,
66, 46, -10, -3, -17, 4, -5, -5,
-51, -18, -9, 6, 37, 15, 23, -4,
-21, 22, 44, 49, 25, 21, 1, -12,
25, 12, -5, -2, -19, -8, -15, 0,
390, -97, -41, -15, 20, 6, 0, 12,
4, -62, 21, -5, -31, -7, -3, -20,
-352, 44, 27, 36, 35, 6, 5, 10,
33, 48, 48, 14, -8, 14, 10, -9,
-95, 108, 5, 1, -11, -23, -20, 1,
54, -7, -43, -32, -15, 3, 9, 3,
-42, 57, -32, -19, -4, 6, 5, -3,
23, -31, -22, -1, 19, 24, 22, 1,
-14, 148, 70, 67, 54, 30, 2, -10,
76, 20, 20, -39, 14, -10, -8, -11,
-86, -65, -15, -33, -33, -38, -2, 10,
61, 20, 50, 18, -15, -25, -23, 2,
11, -3, 12, 12, 15, 8, -18, -5,
-13, -14, -13, 16, 34, 15, -22, -18,
-8, -13, -3, 11, 19, 26, 9, -5,
1, 1, 2, -9, -11, 2, 7, 0,
-317, -9, 63, 17, 10, -26, 1, -11,
159, -41, -29, 42, -3, 21, 11, 1,
-6, -13, -18, 9, -19, 5, 15, 7,
-8, -9, -11, 16, -4, -1, -12, -3,
1, 15, -1, 3, -13, -8, 5, -1,
-9, 3, 2, 5, 7, -6, 12, -11,
-3, 1, -6, 1, -5, -4, 9, 6,
3, 7, 7, 3, -3, -5, -2, 0,
 
 
-404, 148, 70, 67, 54, 30, 2, -10,
76, 20, 20, -39, 14, -10, -8, -11,
-86, -65, -15, -33, -33, -38, -2, 10,
61, 20, 50, 18, -15, -25, -23, 2,
11, -3, 12, 12, 15, 8, -18, -5,
-13, -14, -13, 16, 34, 15, -22, -18,
-8, -13, -3, 11, 19, 26, 9, -5,
1, 1, 2, -9, -11, 2, 7, 0,
-404, 148, 70, 67, 54, 30, 2, -10,
76, 20, 20, -39, 14, -10, -8, -11,
-86, -65, -15, -33, -33, -38, -2, 10,
61, 20, 50, 18, -15, -25, -23, 2,
11, -3, 12, 12, 15, 8, -18, -5,
-13, -14, -13, 16, 34, 15, -22, -18,
-8, -13, -3, 11, 19, 26, 9, -5,
1, 1, 2, -9, -11, 2, 7, 0
 
 
);
 
signal addr_reg : STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0);
begin
datao <= STD_LOGIC_VECTOR(TO_SIGNED( rom( TO_INTEGER(UNSIGNED(addr_reg)) ), ROMDATA_W));
process(clk)
begin
if clk = '1' and clk'event then
addr_reg <= addr;
end if;
end process;
end RTL;
/mkjpeg/branches/16rgb/trunk/tb/vhdl/GPL_V2_Image_pkg.vhd
0,0 → 1,304
-----------------------------------------------------------------
-- Copyright (c) 1997 Ben Cohen. All rights reserved.
-- email: vhdlcohen@aol.com
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published
-- by the Free Software Foundation; either version 2 of the License,
-- or (at your option) any later version.
 
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU General Public License for more details.
 
 
-- UPDATE: 8/22/02
-- Add to HexImage the supply of hex 'Z'
-- in the case statement when a binary set of 4 bits = "ZZZZ"
 
---------------------------------------------------------------
 
-- Note: 2006.08.11: (FB): modified package name to fit the structure of the
-- project and to highlight the license.
 
library IEEE;
use IEEE.Std_Logic_1164.all;
use IEEE.Std_Logic_TextIO.all;
use ieee.numeric_std.all;
-- use IEEE.Std_Logic_Arith.all;
 
library Std;
use STD.TextIO.all;
 
--package Image_Pkg is
package GPL_V2_Image_Pkg is
function Image(In_Image : Time) return String;
function Image(In_Image : Bit) return String;
function Image(In_Image : Bit_Vector) return String;
function Image(In_Image : Integer) return String;
function Image(In_Image : Real) return String;
function Image(In_Image : Std_uLogic) return String;
function Image(In_Image : Std_uLogic_Vector) return String;
function Image(In_Image : Std_Logic_Vector) return String;
function Image(In_Image : Signed) return String;
function Image(In_Image : UnSigned) return String;
 
function HexImage(InStrg : String) return String;
function HexImage(In_Image : Bit_Vector) return String;
function HexImage(In_Image : Std_uLogic_Vector) return String;
function HexImage(In_Image : Std_Logic_Vector) return String;
function HexImage(In_Image : Signed) return String;
function HexImage(In_Image : UnSigned) return String;
 
function DecImage(In_Image : Bit_Vector) return String;
function DecImage(In_Image : Std_uLogic_Vector) return String;
function DecImage(In_Image : Std_Logic_Vector) return String;
function DecImage(In_Image : Signed) return String;
function DecImage(In_Image : UnSigned) return String;
end GPL_V2_Image_Pkg;
--end Image_Pkg;
 
--package body Image_Pkg is
package body GPL_V2_Image_Pkg is
function Image(In_Image : Time) return String is
variable L : Line; -- access type
variable W : String(1 to 14) := (others => ' ');
-- Long enough to hold a time string
begin
-- the WRITE procedure creates an object with "NEW".
-- L is passed as an output of the procedure.
Std.TextIO.WRITE(L, in_image);
-- Copy L.all onto W
W(L.all'range) := L.all;
Deallocate(L);
return W;
end Image;
 
function Image(In_Image : Bit) return String is
variable L : Line; -- access type
variable W : String(1 to 3) := (others => ' ');
begin
Std.TextIO.WRITE(L, in_image);
W(L.all'range) := L.all;
Deallocate(L);
return W;
end Image;
 
function Image(In_Image : Bit_Vector) return String is
variable L : Line; -- access type
variable W : String(1 to In_Image'length) := (others => ' ');
begin
Std.TextIO.WRITE(L, in_image);
W(L.all'range) := L.all;
Deallocate(L);
return W;
end Image;
 
function Image(In_Image : Integer) return String is
variable L : Line; -- access type
variable W : String(1 to 32) := (others => ' ');
-- Long enough to hold a time string
begin
Std.TextIO.WRITE(L, in_image);
W(L.all'range) := L.all;
Deallocate(L);
return W;
end Image;
 
function Image(In_Image : Real) return String is
variable L : Line; -- access type
variable W : String(1 to 32) := (others => ' ');
-- Long enough to hold a time string
begin
Std.TextIO.WRITE(L, in_image);
W(L.all'range) := L.all;
Deallocate(L);
return W;
end Image;
 
function Image(In_Image : Std_uLogic) return String is
variable L : Line; -- access type
variable W : String(1 to 3) := (others => ' ');
begin
IEEE.Std_Logic_Textio.WRITE(L, in_image);
W(L.all'range) := L.all;
Deallocate(L);
return W;
end Image;
 
function Image(In_Image : Std_uLogic_Vector) return String is
variable L : Line; -- access type
variable W : String(1 to In_Image'length) := (others => ' ');
begin
IEEE.Std_Logic_Textio.WRITE(L, in_image);
W(L.all'range) := L.all;
Deallocate(L);
return W;
end Image;
 
function Image(In_Image : Std_Logic_Vector) return String is
variable L : Line; -- access type
variable W : String(1 to In_Image'length) := (others => ' ');
begin
IEEE.Std_Logic_TextIO.WRITE(L, In_Image);
W(L.all'range) := L.all;
Deallocate(L);
return W;
end Image;
 
function Image(In_Image : Signed) return String is
begin
return Image(Std_Logic_Vector(In_Image));
end Image;
 
function Image(In_Image : UnSigned) return String is
begin
return Image(Std_Logic_Vector(In_Image));
end Image;
 
function HexImage(InStrg : String) return String is
subtype Int03_Typ is Integer range 0 to 3;
variable Result : string(1 to ((InStrg'length - 1)/4)+1) :=
(others => '0');
variable StrTo4 : string(1 to Result'length * 4) :=
(others => '0');
variable MTspace : Int03_Typ; -- Empty space to fill in
variable Str4 : String(1 to 4);
variable Group_v : Natural := 0;
begin
MTspace := Result'length * 4 - InStrg'length;
StrTo4(MTspace + 1 to StrTo4'length) := InStrg; -- padded with '0'
Cnvrt_Lbl : for I in Result'range loop
Group_v := Group_v + 4; -- identifies end of bit # in a group of 4
Str4 := StrTo4(Group_v - 3 to Group_v); -- get next 4 characters
case Str4 is
when "0000" => Result(I) := '0';
when "0001" => Result(I) := '1';
when "0010" => Result(I) := '2';
when "0011" => Result(I) := '3';
when "0100" => Result(I) := '4';
when "0101" => Result(I) := '5';
when "0110" => Result(I) := '6';
when "0111" => Result(I) := '7';
when "1000" => Result(I) := '8';
when "1001" => Result(I) := '9';
when "1010" => Result(I) := 'A';
when "1011" => Result(I) := 'B';
when "1100" => Result(I) := 'C';
when "1101" => Result(I) := 'D';
when "1110" => Result(I) := 'E';
when "1111" => Result(I) := 'F';
when "ZZZZ" => Result(I) := 'Z'; -- added 8/23/02
when others => Result(I) := 'X';
end case; -- Str4
end loop Cnvrt_Lbl;
 
return Result;
end HexImage;
 
 
function HexImage(In_Image : Bit_Vector) return String is
begin
return HexImage(Image(In_Image));
end HexImage;
 
function HexImage(In_Image : Std_uLogic_Vector) return String is
begin
return HexImage(Image(In_Image));
end HexImage;
function HexImage(In_Image : Std_Logic_Vector) return String is
begin
return HexImage(Image(In_Image));
end HexImage;
function HexImage(In_Image : Signed) return String is
begin
return HexImage(Image(In_Image));
end HexImage;
function HexImage(In_Image : UnSigned) return String is
begin
return HexImage(Image(In_Image));
end HexImage;
 
function DecImage(In_Image : Bit_Vector) return String is
variable In_Image_v : Bit_Vector(In_Image'length downto 1) := In_Image;
begin
if In_Image'length > 31 then
assert False
report "Number too large for Integer, clipping to 31 bits"
severity Warning;
return Image(To_integer
(Unsigned(To_StdLogicVector
(In_Image_v(31 downto 1)))));
else
return Image(To_integer(Unsigned(To_StdLogicVector(In_Image))));
end if;
end DecImage;
function DecImage(In_Image : Std_uLogic_Vector) return String is
variable In_Image_v : Std_uLogic_Vector(In_Image'length downto 1)
:= In_Image;
begin
if In_Image'length > 31 then
assert False
report "Number too large for Integer, clipping to 31 bits"
severity Warning;
return Image(To_integer(Unsigned(In_Image_v(31 downto 1))));
else
return Image(To_integer(Unsigned(In_Image)));
end if;
end DecImage;
function DecImage(In_Image : Std_Logic_Vector) return String is
variable In_Image_v : Std_Logic_Vector(In_Image'length downto 1)
:= In_Image;
begin
if In_Image'length > 31 then
assert False
report "Number too large for Integer, clipping to 31 bits"
severity Warning;
return Image(To_integer(Unsigned(In_Image_v(31 downto 1))));
else
return Image(To_integer(Unsigned(In_Image)));
end if;
end DecImage;
function DecImage(In_Image : Signed) return String is
variable In_Image_v : Signed(In_Image'length downto 1) := In_Image;
begin
if In_Image'length > 31 then
assert False
report "Number too large for Integer, clipping to 31 bits"
severity Warning;
return Image(To_integer(In_Image_v(31 downto 1)));
else
return Image(To_integer(In_Image));
end if;
end DecImage;
function DecImage(In_Image : UnSigned) return String is
variable In_Image_v : UnSigned(In_Image'length downto 1) := In_Image;
begin
if In_Image'length > 31 then
assert False
report "Number too large for Integer, clipping to 31 bits"
severity Warning;
return Image(To_integer(In_Image_v(31 downto 1)));
else
return Image(To_integer(In_Image));
end if;
end DecImage;
end GPL_V2_Image_Pkg;
--end Image_Pkg;
 
 
 
 
 
 
 
 
/mkjpeg/branches/16rgb/trunk/tb/vhdl/MDCTTB_PKG.vhd
0,0 → 1,468
--------------------------------------------------------------------------------
-- --
-- V H D L F I L E --
-- COPYRIGHT (C) 2006 --
-- --
--------------------------------------------------------------------------------
--
-- Title : MDCTTB_PKG
-- Design : MDCT Core
-- Author : Michal Krepa
--
--------------------------------------------------------------------------------
--
-- File : MDCTTB_PKG.VHD
-- Created : Sat Mar 5 2006
--
--------------------------------------------------------------------------------
--
-- Description : Package for testbench simulation
--
--------------------------------------------------------------------------------
 
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
-- use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
 
library STD;
use STD.TEXTIO.all;
library WORK;
use WORK.MDCT_PKG.all;
 
package MDCTTB_PKG is
----------------------------------------------
-- constant section 1
----------------------------------------------
constant MAX_IMAGE_SIZE_X : INTEGER := 1280;
constant MAX_IMAGE_SIZE_Y : INTEGER := 1280;
----------------------------------------------
-- type section
----------------------------------------------
type MATRIX_TYPE is array (0 to N-1,0 TO N-1) of REAL;
type I_MATRIX_TYPE is array (0 to N-1,0 TO N-1) of INTEGER;
type COEM_TYPE is array (0 to N/2-1, 0 to N/2-1)
of SIGNED(ROMDATA_W-1 downto 0);
type VECTOR4 is array (0 to N/2-1) of REAL;
type N_LINES_TYPE is array (0 to N-1)
of STD_LOGIC_VECTOR(0 to MAX_IMAGE_SIZE_X*IP_W-1);
type IMAGE_TYPE is array (0 to MAX_IMAGE_SIZE_Y-1,
0 to MAX_IMAGE_SIZE_X-1) of INTEGER;
----------------------------------------------
-- function section
----------------------------------------------
procedure CMP_MATRIX(ref_matrix : in I_MATRIX_TYPE;
dcto_matrix : in I_MATRIX_TYPE;
max_error : in INTEGER;
error_matrix : out I_MATRIX_TYPE;
error_cnt : inout INTEGER);
function STR(int: INTEGER; base: INTEGER) return STRING;
function COMPUTE_REF_DCT1D(input_matrix : I_MATRIX_TYPE; shift : BOOLEAN
) return I_MATRIX_TYPE;
function COMPUTE_REF_IDCT(X : I_MATRIX_TYPE) return I_MATRIX_TYPE;
function COMPUTE_PSNR(ref_input : I_MATRIX_TYPE;
reconstr_input : I_MATRIX_TYPE) return REAL;
function COMPUTE_PSNR(ref_input : IMAGE_TYPE;
reconstr_input : IMAGE_TYPE;
ysize : INTEGER;
xsize : INTEGER
) return REAL;
----------------------------------------------
-- constant section 2
----------------------------------------------
-- set below to true to enable quantization in testbench
constant CLK_FREQ_C : INTEGER := 50;
constant HOLD_TIME : TIME := 1 ns;
constant ENABLE_QUANTIZATION_C : BOOLEAN := FALSE;
constant HEX_BASE : INTEGER := 16;
constant DEC_BASE : INTEGER := 10;
constant RUN_FULL_IMAGE : BOOLEAN := FALSE;
constant FILEIN_NAME_C : STRING := "SOURCE\TESTBENCH\lena512.txt";
constant FILEERROR_NAME_C : STRING := "SOURCE\TESTBENCH\imagee.txt";
constant FILEIMAGEO_NAME_C : STRING := "SOURCE\TESTBENCH\imageo.txt";
constant MAX_ERROR_1D : INTEGER := 1;
constant MAX_ERROR_2D : INTEGER := 4;
constant MAX_PIX_VAL : INTEGER := 2**IP_W-1;
constant null_data_r : MATRIX_TYPE :=
(
(000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0),
(000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0),
(000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0),
(000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0),
(000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0),
(000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0),
(000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0),
(000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0)
);
constant input_data0 : I_MATRIX_TYPE :=
(
(139,144,149,153,155,155,155,155),
(144,151,153,156,159,156,156,156),
(150,155,160,163,158,156,156,156),
(159,161,162,160,160,159,159,159),
(159,160,161,162,162,155,155,155),
(161,161,161,161,160,157,157,157),
(162,162,161,163,162,157,157,157),
(162,162,161,161,163,158,158,158)
);
constant input_data1 : I_MATRIX_TYPE :=
(
(255,255,255,000,000,255,254,255),
(255,255,255,000,000,255,254,000),
(255,255,255,000,000,255,254,255),
(255,255,255,000,000,255,254,000),
(254,000,255,255,000,255,254,255),
(254,000,255,255,000,255,254,000),
(254,000,255,255,000,255,254,255),
(254,000,255,255,000,255,254,000)
);
constant input_data2 : I_MATRIX_TYPE :=
(
(000,000,000,000,000,000,000,000),
(000,000,000,000,000,000,000,000),
(000,000,000,000,000,000,000,000),
(000,000,000,000,000,000,000,000),
(000,000,000,000,000,000,000,000),
(000,000,000,000,000,000,000,000),
(000,000,000,000,000,000,000,000),
(000,000,000,000,000,000,000,000)
);
constant input_data3 : I_MATRIX_TYPE :=
(
(55,89,0,2,35,34,100,255),
(144,151,153,151,159,156,156,156),
(150,155,165,163,158,126,156,156),
(254,000,255,255,000,245,254,255),
(159,199,161,162,162,133,155,165),
(231,000,255,235,000,255,254,253),
(162,162,161,163,162,157,157,157),
(11,12,167,165,166,167,101,108)
);
constant input_data4 : I_MATRIX_TYPE :=
(
(135,14,145,15,155,15,155,15),
(140,15,151,15,152,15,153,15),
(154,15,165,16,156,15,157,15),
(158,16,168,16,169,15,150,15),
(15,161,16,162,16,153,15,154),
(165,16,166,16,167,15,158,15),
(16,169,16,160,16,152,15,153),
(164,16,165,16,165,15,156,15)
);
-- from JPEG standard (but not in standard itself!)
constant Q_JPEG_STD : I_MATRIX_TYPE :=
(
(16,11,10,16,24,40,51,61),
(12,12,14,19,26,58,60,55),
(14,13,16,24,40,57,69,56),
(14,17,22,29,51,87,80,62),
(18,22,37,56,68,109,103,77),
(24,35,55,64,81,104,113,92),
(49,64,78,87,103,121,120,101),
(72,92,95,98,112,100,103,99)
);
-- CANON EOS10D super fine quality
constant Q_CANON10D : I_MATRIX_TYPE :=
(
(1, 1, 1, 1, 1, 1, 2, 2),
(1, 1, 1, 1, 1, 2, 4, 4),
(1, 1, 1, 1, 1, 3, 3, 5),
(1, 1, 1, 2, 3, 3, 5, 5),
(1, 1, 3, 3, 4, 4, 5, 5),
(1, 3, 3, 3, 4, 5, 6, 6),
(2, 3, 3, 5, 3, 6, 5, 5),
(3, 3, 4, 3, 6, 4, 5, 5)
);
-- quantization matrix used in testbench
constant Q_MATRIX_USED : I_MATRIX_TYPE := Q_CANON10D;
constant Ce : COEM_TYPE :=
(
(CONV_SIGNED(AP,ROMDATA_W),CONV_SIGNED(AP,ROMDATA_W),CONV_SIGNED(AP,ROMDATA_W),CONV_SIGNED(AP,ROMDATA_W)),
(CONV_SIGNED(BP,ROMDATA_W),CONV_SIGNED(CP,ROMDATA_W),CONV_SIGNED(CM,ROMDATA_W),CONV_SIGNED(BM,ROMDATA_W)),
(CONV_SIGNED(AP,ROMDATA_W),CONV_SIGNED(AM,ROMDATA_W),CONV_SIGNED(AM,ROMDATA_W),CONV_SIGNED(AP,ROMDATA_W)),
(CONV_SIGNED(CP,ROMDATA_W),CONV_SIGNED(BM,ROMDATA_W),CONV_SIGNED(BP,ROMDATA_W),CONV_SIGNED(CM,ROMDATA_W))
);
constant Co : COEM_TYPE :=
(
(CONV_SIGNED(DP,ROMDATA_W),CONV_SIGNED(EP,ROMDATA_W),CONV_SIGNED(FP,ROMDATA_W),CONV_SIGNED(GP,ROMDATA_W)),
(CONV_SIGNED(EP,ROMDATA_W),CONV_SIGNED(GM,ROMDATA_W),CONV_SIGNED(DM,ROMDATA_W),CONV_SIGNED(FM,ROMDATA_W)),
(CONV_SIGNED(FP,ROMDATA_W),CONV_SIGNED(DM,ROMDATA_W),CONV_SIGNED(GP,ROMDATA_W),CONV_SIGNED(EP,ROMDATA_W)),
(CONV_SIGNED(GP,ROMDATA_W),CONV_SIGNED(FM,ROMDATA_W),CONV_SIGNED(EP,ROMDATA_W),CONV_SIGNED(DM,ROMDATA_W))
);
end MDCTTB_PKG;
 
--------------------------------------------------
-- PACKAGE BODY
--------------------------------------------------
package body MDCTTB_PKG is
--------------------------------------------------------------------------
-- converts an INTEGER into a CHARACTER
-- for 0 to 9 the obvious mapping is used, higher
-- values are mapped to the CHARACTERs A-Z
-- (this is usefull for systems with base > 10)
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
--------------------------------------------------------------------------
function CHR(int: INTEGER) return CHARACTER is
variable c: CHARACTER;
begin
case int is
when 0 => c := '0';
when 1 => c := '1';
when 2 => c := '2';
when 3 => c := '3';
when 4 => c := '4';
when 5 => c := '5';
when 6 => c := '6';
when 7 => c := '7';
when 8 => c := '8';
when 9 => c := '9';
when 10 => c := 'A';
when 11 => c := 'B';
when 12 => c := 'C';
when 13 => c := 'D';
when 14 => c := 'E';
when 15 => c := 'F';
when 16 => c := 'G';
when 17 => c := 'H';
when 18 => c := 'I';
when 19 => c := 'J';
when 20 => c := 'K';
when 21 => c := 'L';
when 22 => c := 'M';
when 23 => c := 'N';
when 24 => c := 'O';
when 25 => c := 'P';
when 26 => c := 'Q';
when 27 => c := 'R';
when 28 => c := 'S';
when 29 => c := 'T';
when 30 => c := 'U';
when 31 => c := 'V';
when 32 => c := 'W';
when 33 => c := 'X';
when 34 => c := 'Y';
when 35 => c := 'Z';
when others => c := '?';
end case;
return c;
end CHR;
 
 
--------------------------------------------------------------------------
-- convert INTEGER to STRING using specified base
--------------------------------------------------------------------------
function STR(int: INTEGER; base: INTEGER) return STRING is
variable temp: STRING(1 to 10);
variable num: INTEGER;
variable abs_int: INTEGER;
variable len: INTEGER := 1;
variable power: INTEGER := 1;
begin
-- bug fix for negative numbers
abs_int := abs(int);
num := abs_int;
while num >= base loop
len := len + 1;
num := num / base;
end loop ;
for i in len downto 1 loop
temp(i) := chr(abs_int/power mod base);
power := power * base;
end loop ;
-- return result and add sign if required
if int < 0 then
return '-'& temp(1 to len);
else
return temp(1 to len);
end if;
end STR;
------------------------------------------------
-- computes DCT1D
------------------------------------------------
function COMPUTE_REF_DCT1D(input_matrix : I_MATRIX_TYPE; shift : BOOLEAN)
return I_MATRIX_TYPE is
variable fXm : VECTOR4 := (0.0,0.0,0.0,0.0);
variable fXs : VECTOR4 := (0.0,0.0,0.0,0.0);
variable fYe : VECTOR4 := (0.0,0.0,0.0,0.0);
variable fYo : VECTOR4 := (0.0,0.0,0.0,0.0);
variable ref_dct_matrix : I_MATRIX_TYPE;
variable norma_input : MATRIX_TYPE;
begin
-- compute reference coefficients
for x in 0 to N-1 loop
for s in 0 to 7 loop
if shift = TRUE then
norma_input(x,s) := (REAL(input_matrix(x,s))- REAL(LEVEL_SHIFT))/2.0;
else
norma_input(x,s) := REAL(input_matrix(x,s))/2.0;
end if;
end loop;
fXs(0) := norma_input(x,0)+norma_input(x,7);
fXs(1) := norma_input(x,1)+norma_input(x,6);
fXs(2) := norma_input(x,2)+norma_input(x,5);
fXs(3) := norma_input(x,3)+norma_input(x,4);
fXm(0) := norma_input(x,0)-norma_input(x,7);
fXm(1) := norma_input(x,1)-norma_input(x,6);
fXm(2) := norma_input(x,2)-norma_input(x,5);
fXm(3) := norma_input(x,3)-norma_input(x,4);
for k in 0 to N/2-1 loop
fYe(k) := REAL(CONV_INTEGER(Ce(k,0)))*fXs(0) +
REAL(CONV_INTEGER(Ce(k,1)))*fXs(1) +
REAL(CONV_INTEGER(Ce(k,2)))*fXs(2) +
REAL(CONV_INTEGER(Ce(k,3)))*fXs(3);
fYo(k) := REAL(CONV_INTEGER(Co(k,0)))*fXm(0) +
REAL(CONV_INTEGER(Co(k,1)))*fXm(1) +
REAL(CONV_INTEGER(Co(k,2)))*fXm(2) +
REAL(CONV_INTEGER(Co(k,3)))*fXm(3);
end loop;
-- transpose matrix by writing in row order
ref_dct_matrix(0,x) := INTEGER(fYe(0)/REAL((2**(COE_W-1))));
ref_dct_matrix(1,x) := INTEGER(fYo(0)/REAL((2**(COE_W-1))));
ref_dct_matrix(2,x) := INTEGER(fYe(1)/REAL((2**(COE_W-1))));
ref_dct_matrix(3,x) := INTEGER(fYo(1)/REAL((2**(COE_W-1))));
ref_dct_matrix(4,x) := INTEGER(fYe(2)/REAL((2**(COE_W-1))));
ref_dct_matrix(5,x) := INTEGER(fYo(2)/REAL((2**(COE_W-1))));
ref_dct_matrix(6,x) := INTEGER(fYe(3)/REAL((2**(COE_W-1))));
ref_dct_matrix(7,x) := INTEGER(fYo(3)/REAL((2**(COE_W-1))));
end loop;
return ref_dct_matrix;
end COMPUTE_REF_DCT1D;
-----------------------------------------------
-- compares NxN matrices, logs failure if difference
-- greater than maximum error specified
-----------------------------------------------
procedure CMP_MATRIX(ref_matrix : in I_MATRIX_TYPE;
dcto_matrix : in I_MATRIX_TYPE;
max_error : in INTEGER;
error_matrix : out I_MATRIX_TYPE;
error_cnt : inout INTEGER
) is
variable error_matrix_v : I_MATRIX_TYPE;
begin
for a in 0 to N - 1 loop
for b in 0 to N - 1 loop
error_matrix_v(a,b) := ref_matrix(a,b) - dcto_matrix(a,b);
if abs(error_matrix_v(a,b)) > max_error then
error_cnt := error_cnt + 1;
assert false
report "E01: DCT max error violated!"
severity Error;
end if;
end loop;
end loop;
error_matrix := error_matrix_v;
end CMP_MATRIX;
------------------------------------------------
-- computes IDCT on NxN matrix
------------------------------------------------
function COMPUTE_REF_IDCT(X : I_MATRIX_TYPE)
return I_MATRIX_TYPE is
variable i : INTEGER := 0;
variable j : INTEGER := 0;
variable u : INTEGER := 0;
variable v : INTEGER := 0;
variable Cu : REAL;
variable Cv : REAL;
variable xi : MATRIX_TYPE := null_data_r;
variable xr : I_MATRIX_TYPE;
begin
-- idct
for i in 0 to N-1 loop
for j in 0 to N-1 loop
for u in 0 to N-1 loop
if u = 0 then
Cu := 1.0/sqrt(2.0);
else
Cu := 1.0;
end if;
for v in 0 to N-1 loop
if v = 0 then
Cv := 1.0/sqrt(2.0);
else
Cv := 1.0;
end if;
xi(i,j) := xi(i,j) +
2.0/REAL(N)*Cu*Cv*REAL(X(u,v))*
cos( ( (2.0*REAL(i)+1.0)*REAL(u)*MATH_PI ) / (2.0*REAL(N)) )*
cos( ( (2.0*REAL(j)+1.0)*REAL(v)*MATH_PI ) / (2.0*REAL(N)) );
xr(i,j) := INTEGER(ROUND(xi(i,j)))+LEVEL_SHIFT;
end loop;
end loop;
end loop;
end loop;
return xr;
end COMPUTE_REF_IDCT;
------------------------------------------------
-- computes peak signal to noise ratio
-- for reconstruced and input image data
------------------------------------------------
function COMPUTE_PSNR(ref_input : I_MATRIX_TYPE;
reconstr_input : I_MATRIX_TYPE) return REAL is
variable psnr_tmp : REAL := 0.0;
begin
for i in 0 to N-1 loop
for j in 0 to N-1 loop
psnr_tmp := psnr_tmp + (REAL(ref_input(i,j))-REAL(reconstr_input(i,j)))**2;
end loop;
end loop;
psnr_tmp := psnr_tmp / (REAL(N)*REAL(N));
psnr_tmp := 10.0*LOG10( (REAL(MAX_PIX_VAL)**2) / psnr_tmp );
return psnr_tmp;
end COMPUTE_PSNR;
------------------------------------------------
-- computes peak signal to noise ratio
-- for reconstruced and input image data
------------------------------------------------
function COMPUTE_PSNR(ref_input : IMAGE_TYPE;
reconstr_input : IMAGE_TYPE;
ysize : INTEGER;
xsize : INTEGER
) return REAL is
variable psnr_tmp : REAL := 0.0;
variable lineb : LINE;
begin
for i in 0 to ysize-1 loop
for j in 0 to xsize-1 loop
psnr_tmp := psnr_tmp +
(REAL(ref_input(i,j))-REAL(reconstr_input(i,j)))**2;
end loop;
end loop;
psnr_tmp := psnr_tmp / (REAL(ysize)*REAL(xsize));
--WRITE(lineb,STRING'("MSE Mean Squared Error is "));
--WRITE(lineb,psnr_tmp);
--assert false
-- report lineb.all
-- severity Note;
psnr_tmp := 10.0*LOG10( (REAL(MAX_PIX_VAL)**2) / psnr_tmp );
return psnr_tmp;
end COMPUTE_PSNR;
end MDCTTB_PKG;
/mkjpeg/branches/16rgb/trunk/tb/sim.do
0,0 → 1,12
do compile.do
 
vsim -t ps -lib WORK JPEG_TB -novopt
 
#mem load -infile ../design/jfifgen/header.hex -format hex /JPEG_TB/U_JpegEnc/U_JFIFGen/U_Header_RAM
 
do wave.do
radix hex
 
run 1 us
 
 
/mkjpeg/branches/16rgb/trunk/tb/COMPILE.do
0,0 → 1,113
#-----------------------------------------------------------------------------#
# #
# M A C R O F I L E #
# COPYRIGHT (C) 2009 #
# #
#-----------------------------------------------------------------------------#
#-
#- Title : MDCT_TB.DO
#- Design : EV_JPEG_ENC
#- Author : Michal Krepa
#-
#------------------------------------------------------------------------------
#-
#- File : MDCT_TB.DO
#- Created : Sat Mar 31 2009
#-
#------------------------------------------------------------------------------
#-
#- Description : ModelSim macro for compilation
#-
#------------------------------------------------------------------------------
#transcript file log.txt
 
#vdel work
 
vlib work
vmap work work
 
# common
vcom ../design/common/JPEG_PKG.VHD
vcom ../design/common/RAMZ.VHD
vcom ../design/common/FIFO.VHD
vcom ../design/common/SingleSM.VHD
 
vcom vhdl/DCT_TROM.vhd
 
# buffifo
vcom ../design/buffifo/SUB_RAMZ.vhd
vcom ../design/buffifo/SUB_FIFO.vhd
vcom ../design/buffifo/Buf_Fifo.vhd
 
# fdct
vcom ../design/mdct/MDCT_PKG.vhd
vcom ../design/mdct/ROMO.vhd
vcom ../design/mdct/ROME.vhd
vcom ../design/mdct/RAM.vhd
vcom ../design/mdct/DBUFCTL.vhd
vcom ../design/mdct/DCT1D.vhd
vcom ../design/mdct/DCT2D.vhd
vcom ../design/mdct/MDCT.vhd
vcom ../design/mdct/FDCT.vhd
 
#test
vcom ../tb/vhdl/DCT_TROM.vhd
 
# quantizer
#vcom ../design/quantizer/ROMQ.vhd
#vcom ../design/quantizer/s_divider.vhd
vcom ../design/quantizer/ROMR.vhd
vcom ../design/quantizer/r_divider.vhd
vcom ../design/quantizer/QUANTIZER.vhd
vcom ../design/quantizer/QUANT_TOP.vhd
 
# zigzag
vcom ../design/zigzag/ZIGZAG.vhd
vcom ../design/zigzag/ZZ_TOP.vhd
 
# rle
vcom ../design/rle/RleDoubleFifo.vhd
vcom ../design/rle/RLE.vhd
vcom ../design/rle/RLE_TOP.vhd
 
# huffman
vcom ../design/huffman/DoubleFifo.vhd
vcom ../design/huffman/DC_ROM.vhd
vcom ../design/huffman/AC_ROM.vhd
vcom ../design/huffman/DC_CR_ROM.vhd
vcom ../design/huffman/AC_CR_ROM.vhd
vcom ../design/huffman/Huffman.vhd
 
# bytestuffer
vcom ../design/bytestuffer/ByteStuffer.vhd
 
# control
vcom ../design/control/CtrlSM.vhd
 
# HostIF
vcom ../design/hostif/HostIF.vhd
 
# IRamIF
vcom ../design/iramif/IRAMIF.vhd
 
# jfifgen
vlog ../design/jfifgen/HeaderRam.v
vcom ../design/jfifgen/JFIFGen.vhd
 
# outmux
vcom ../design/outmux/OutMux.vhd
 
# top
vcom ../design/top/JpegEnc.vhd
 
# testbench
vcom vhdl/ramsim.vhd
vcom vhdl/mdcttb_pkg.vhd
vcom vhdl/GPL_V2_Image_Pkg.vhd
vcom vhdl/ClkGen.vhd
vcom vhdl/HostBFM.vhd
vcom vhdl/JPEG_TB.vhd
 
 
 
 
/mkjpeg/branches/16rgb/trunk/tb/matlab/img2txt.m
0,0 → 1,48
filename='test';
filenamet=strcat(filename,'.txt');
 
I = imread(filename,'bmp');
 
IYUV=rgb2ycbcr(I);
 
fid = fopen(filenamet,'w+');
[X,Y,Z] = size(I);
fprintf(fid, '%d\n',Z); % number of image components
fprintf(fid, '%d\n',X); % lines
fprintf(fid, '%d\n',Y); % pixels in line
for x = 1:X
for y = 1:Y
for z = 1:Z
R = int16(I(x,y,1));
G = int16(I(x,y,2));
B = int16(I(x,y,3));
% Y
if z == 1
sample = (0.299*R)+(0.587*G)+(0.114*B);
% Cb
elseif z == 2
sample = (-0.1687*R)-(0.3313*G)+(0.5*B)+128;
% Cr
elseif z == 3
sample = (0.5*R)-(0.4187*G)-(0.0813*B)+128;
end
if sample > 255
sample = 255;
elseif sample < 0
sample = 0;
end
ID(x,y,z) = sample;
%sample = IYUV(x,y,z);
sample = I(x,y,z);
if sample < 16
fprintf(fid, '0%x', double(sample));
else
fprintf(fid, '%x', double(sample));
end;
end;
end;
fprintf(fid,'\n');
end;
fclose(fid);
/mkjpeg/branches/16rgb/trunk/tb/matlab/txt2img.m
0,0 → 1,47
clear
fid = fopen('test.txt','r+');
 
line = fgetl(fid);
uns16 = sscanf(line, '%d');
num_comp = double(uns16);
 
line = fgetl(fid);
uns16 = sscanf(line, '%d');
y_size = double(uns16);
line = fgetl(fid);
uns16 = sscanf(line, '%d');
x_size = double(uns16);
 
for y = 1 : y_size ,
for x = 1 : x_size ,
for z = 1 : num_comp
signed = fscanf(fid, '%2x',1);
E(y,x,z) = uint8(signed);
end
end
end
 
imwrite(E, 'imageo.jpg','jpg','Quality',100);
fclose(fid);
 
return;
%%%%%%%%%%%%%%%
clear
fid = fopen('imagee.txt','r+');
 
line = fgetl(fid);
uns16 = sscanf(line, '%d');
y_size = double(uns16);
line = fgetl(fid);
uns16 = sscanf(line, '%d');
x_size = double(uns16);
 
for y = 1 : y_size ,
for x = 1 : x_size ,
signed = fscanf(fid, '%2x',1);
E(y,x) = uint8(signed);
end
end
 
imwrite(E, 'imagee.jpg','jpg');
fclose(fid);
/mkjpeg/branches/16rgb/trunk/tb/matlab/test.bmp Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
mkjpeg/branches/16rgb/trunk/tb/matlab/test.bmp Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: mkjpeg/branches/16rgb/trunk/tb/modelsim.ini =================================================================== --- mkjpeg/branches/16rgb/trunk/tb/modelsim.ini (nonexistent) +++ mkjpeg/branches/16rgb/trunk/tb/modelsim.ini (revision 48) @@ -0,0 +1,1067 @@ +; Copyright 1991-2008 Mentor Graphics Corporation +; +; All Rights Reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; + +[Library] +others = $MODEL_TECH/../modelsim.ini +;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release +;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release + +work = work +[vcom] +; VHDL93 variable selects language version as the default. +; Default is VHDL-2002. +; Value of 0 or 1987 for VHDL-1987. +; Value of 1 or 1993 for VHDL-1993. +; Default or value of 2 or 2002 for VHDL-2002. +VHDL93 = 2002 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. +; The .ini file has Explicit enabled so that std_logic_signed/unsigned +; will match the behavior of synthesis tools. +Explicit = 1 + +; Turn off acceleration of the VITAL packages. Default is to accelerate. +; NoVital = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = 0 + +; Turn off PSL assertion warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Keep silent about case statement static warnings. +; Default is to give a warning. +; NoCaseStaticError = 1 + +; Keep silent about warnings caused by aggregates that are not locally static. +; Default is to give a warning. +; NoOthersStaticError = 1 + +; Treat as errors: +; case statement static warnings +; warnings caused by aggregates that are not locally static +; Overrides NoCaseStaticError, NoOthersStaticError settings. +; PedanticErrors = 1 + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Require the user to specify a configuration for all bindings, +; and do not generate a compile time default binding for the +; component. This will result in an elaboration error of +; 'component not bound' if the user fails to do so. Avoids the rare +; issue of a false dependency upon the unused default binding. +; RequireConfigForAllDefaultBinding = 1 + +; Perform default binding at compile time. +; Default is to do default binding at load time. +; BindAtCompile=1; + +; Inhibit range checking on subscripts of arrays. Range checking on +; scalars defined with subtypes is inhibited by default. +; NoIndexCheck = 1 + +; Inhibit range checks on all (implicit and explicit) assignments to +; scalar objects defined with subtypes. +; NoRangeCheck = 1 + +; Run the 0in tools from within the simulator. +; Default value set to 0. Please set it to 1 to invoke 0in. +; VcomZeroIn = 1 + +; Set the options to be passed to the 0in tools. +; Default value set to "". Please set it to appropriate options needed. +; VcomZeroInOptions = "" + +; Turn on code coverage in VHDL design units. Default is off. +; Coverage = sbceft + +; Turn off code coverage in VHDL subprograms. Default is on. +; CoverageNoSub = 0 + +; Automatically exclude VHDL case statement default branches. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Turn on code coverage in VHDL generate blocks. Default is on. +CoverGenerate = 1 + +; Inform code coverage optimizations to respect VHDL 'H' and 'L' +; values on signals in conditions and expressions, and to not automatically +; convert them to '1' and '0'. Default is to not convert. +; CoverRespectHandL = 0 + +; Use this directory for compiler temporary files instead of "work/_temp" +; CompilerTempDir = /tmp + +; Add VHDL-AMS declarations to package STANDARD +; Default is not to add +; AmsStandard = 1 + +; Range and length checking will be performed on array indices and discrete +; ranges, and when violations are found within subprograms, errors will be +; reported. Default is to issue warnings for violations, because subprograms +; may not be invoked. +; NoDeferSubpgmCheck = 0 + +[vlog] + +; Turn off inclusion of debugging info within design units. +; Default is to include debugging info. +; NoDebug = 1 + +; Turn on `protect compiler directive processing. +; Default is to ignore `protect directives. +; Protect = 1 + +; Turn off "Loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Activate optimizations on expressions that do not involve signals, +; waits, or function/procedure/task invocations. Default is off. +; ScalarOpts = 1 + +; Turns on lint-style checking. +; Show_Lint = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn on bad option warning. Default is off. +; Show_BadOptionWarning = 1 + +; Revert back to IEEE 1364-1995 syntax, default is 0 (off). +vlog95compat = 0 + +; Turn off PSL warning messages. Default is to show warnings. +; Show_PslChecksWarnings = 0 + +; Enable parsing of embedded PSL assertions. Default is enabled. +; EmbeddedPsl = 0 + +; Set the threshold for automatically identifying sparse Verilog memories. +; A memory with depth equal to or more than the sparse memory threshold gets +; marked as sparse automatically, unless specified otherwise in source code +; or by +nosparse commandline option of vlog or vopt. +; The default is 1M. (i.e. memories with depth equal to or more than 1M are +; marked as sparse) +SparseMemThreshold = 1048576 + +; Set the maximum number of iterations permitted for a generate loop. +; Restricting this permits the implementation to recognize infinite +; generate loops. +; GenerateLoopIterationMax = 100000 + +; Set the maximum depth permitted for a recursive generate instantiation. +; Restricting this permits the implementation to recognize infinite +; recursions. +; GenerateRecursionDepthMax = 200 + +; Run the 0in tools from within the simulator. +; Default value set to 0. Please set it to 1 to invoke 0in. +; VlogZeroIn = 1 + +; Set the options to be passed to the 0in tools. +; Default value set to "". Please set it to appropriate options needed. +; VlogZeroInOptions = "" + +; Run the 0in tools from within the simulator. +; Default value set to 0. Please set it to 1 to invoke 0in. +; VoptZeroIn = 1 + +; Set the options to be passed to the 0in tools. +; Default value set to "". Please set it to appropriate options needed. +; VoptZeroInOptions = "" + +; Set the option to treat all files specified in a vlog invocation as a +; single compilation unit. The default value is set to 0 which will treat +; each file as a separate compilation unit as specified in the P1800 draft standard. +; MultiFileCompilationUnit = 1 + +; Turn on code coverage in Verilog design units. Default is off. +; Coverage = sbceft + +; Automatically exclude Verilog case statement default branches. +; Default is to not exclude. +; CoverExcludeDefault = 1 + +; Turn on code coverage in VLOG generate blocks. Default is on. +CoverGenerate = 1 + +; Turn on code coverage in VLOG `celldefine modules and modules included +; using vlog -v and -y. Default is on. +CoverCells = 0 + +; Control compiler and VOPT optimizations that are allowed when +; code coverage is on. This is a number from 1 to 4, with the following +; meanings (the default is 3): +; 1 -- Turn off all optimizations that affect coverage reports. +; 2 -- Allow optimizations that allow large performance improvements +; by invoking sequential processes only when the data changes. +; Allow VHDL FF recognition. This may make major reductions in +; coverage counts. +; 3 -- In addition, allow optimizations that may change expressions or +; remove some statements. Allow constant propagation. +; 4 -- In addition, allow optimizations that may remove major regions of +; code by changing assignments to built-ins or removing unused +; signals. Allow VHDL subprogram inlining. Change Verilog gates to +; continuous assignments. +CoverOpt = 3 + +; Specify the override for the default value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then LRM default +; value of 0 (zero) is used. This is a compile time option. +; SVCrossNumPrintMissingDefault = 0 + +; Setting following to 1 would cause creation of variables which +; would represent the value of Coverpoint expressions. This is used +; in conjunction with "SVCoverpointExprVariablePrefix" option +; in the modelsim.ini +; EnableSVCoverpointExprVariable = 0 + +; Specify the override for the prefix used in forming the variable names +; which represent the Coverpoint expressions. This is used in conjunction with +; "EnableSVCoverpointExprVariable" option of the modelsim.ini +; The default prefix is "expr". +; The variable name is +; variable name => _ +; SVCoverpointExprVariablePrefix = expr + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross option.goal (defined to be 100 in the LRM). +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" +; can override this value. +; SVCovergroupGoalDefault = 100 + +; Override for the default value of the SystemVerilog covergroup, +; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) +; NOTE: It does not override specific assignments in SystemVerilog +; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" +; can override this value. +; SVCovergroupTypeGoalDefault = 100 + +; Specify the override for the default value of "strobe" option for the +; Covergroup Type. This is a compile time option which forces "strobe" to +; a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupStrobeDefault". +; SVCovergroupStrobeDefault = 0 + +; Specify the override for the default value of "per_instance" option for the +; Covergroup variables. This is a compile time option which forces "per_instance" +; to a user specified default value and supersedes SystemVerilog specified +; default value of '0'(zero). NOTE: This can be overriden by a runtime +; modelsim.ini variable "SVCovergroupPerInstanceDefault". +; SVCovergroupPerInstanceDefault = 0 + +; +; A space separated list of resource libraries that contain precompiled +; packages. The behavior is identical to using the "-L" switch. +; +; LibrarySearchPath = [ ...] +LibrarySearchPath = mtiAvm + +; The behavior is identical to the "-mixedansiports" switch. Default is off. +; MixedAnsiPorts = 1 + +; Enable SystemVerilog 3.1a $typeof() function. Default is off. +; EnableTypeOf = 1 + +; Only allow lower case pragmas. Default is disabled. +; AcceptLowerCasePragmaOnly = 1 + +; Set the maximum depth permitted for a recursive include file nesting. +; IncludeRecursionDepthMax = 5 + +[sccom] +; Enable use of SCV include files and library. Default is off. +; UseScv = 1 + +; Add C++ compiler options to the sccom command line by using this variable. +; CppOptions = -g + +; Use custom C++ compiler located at this path rather than the default path. +; The path should point directly at a compiler executable. +; CppPath = /usr/bin/g++ + +; Enable verbose messages from sccom. Default is off. +; SccomVerbose = 1 + +; sccom logfile. Default is no logfile. +; SccomLogfile = sccom.log + +; Enable use of SC_MS include files and library. Default is off. +; UseScMs = 1 + +[vsim] + +; vopt flow +; Set to turn on automatic optimization of a design. +; Default is on +VoptFlow = 1 + +; vopt automatic SDF +; If automatic design optimization is on, enables automatic compilation +; of SDF files. +; Default is on, uncomment to turn off. +; VoptAutoSDFCompile = 0 + +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +Resolution = ns + +; Enables certain code coverage exclusions automatically. Set AutoExclusions = none to disable. +AutoExclusions = fsm + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +; Should generally be set to default. +UserTimeUnit = default + +; Default run length +RunLength = 100 + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Control PSL and Verilog Assume directives during simulation +; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts +; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts +; SimulateAssumeDirectives = 1 + +; Control the simulation of PSL and SVA +; These switches can be overridden by the vsim command line switches: +; -psl, -nopsl, -sva, -nosva. +; Set SimulatePSL = 0 to disable PSL simulation +; Set SimulatePSL = 1 to enable PSL simulation (default) +; SimulatePSL = 1 +; Set SimulateSVA = 0 to disable SVA simulation +; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) +; SimulateSVA = 1 + +; Directives to license manager can be set either as single value or as +; space separated multi-values: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license is not available +; viewsim Try for viewer license but accept simulator license(s) instead +; of queuing for viewer license (PE ONLY) +; noviewer Disable checkout of msimviewer and vsim-viewer license +; features (PE ONLY) +; noslvhdl Disable checkout of qhsimvh and vsim license features +; noslvlog Disable checkout of qhsimvl and vsimvlog license features +; nomix Disable checkout of msimhdlmix and hdlmix license features +; nolnl Disable checkout of msimhdlsim and hdlsim license features +; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license +; features +; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, +; hdlmix license features +; Single value: +; License = plus +; Multi-value: +; License = noqueue plus + +; Stop the simulator after a VHDL/Verilog immediate assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; VHDL assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %i - Instance pathname with process +; %O - Process name +; %K - Kind of object path is to return: Instance, Signal, Process or Unknown +; %P - Instance or Region path without leaf process +; %F - File +; %L - Line number of assertion or, if assertion is in a subprogram, line +; from which the call is made +; %% - Print '%' character +; If specific format for assertion level is defined, use its format. +; If specific format is not defined for assertion level: +; - and if failure occurs during elaboration, use MessageFormatBreakLine; +; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion +; level), use MessageFormatBreak; +; - otherwise, use MessageFormat. +; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" +; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" +; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" +; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" + +; Error File - alternate file for storing error messages +; ErrorFile = error.log + + +; Simulation Breakpoint messages +; This flag controls the display of function names when reporting the location +; where the simulator stops do to a breakpoint or fatal error. +; Example w/function name: # Break in Process ctr at counter.vhd line 44 +; Example wo/function name: # Break at counter.vhd line 44 +ShowFunctions = 1 + + +; Default radix for all windows and commands. +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +; CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. +; For VHDL, PathSeparator = / +; For Verilog, PathSeparator = . +; Must not be the same character as DatasetSeparator. +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example: sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Specify a unique path separator for the Signal Spy set of functions. +; The default will be to use the PathSeparator variable. +; Must not be the same character as DatasetSeparator. +; SignalSpyPathSeparator = / + +; Used to control parsing of HDL identifiers input to the tool. +; This includes CLI commands, vsim/vopt/vlog/vcom options, +; string arguments to FLI/VPI/DPI calls, etc. +; If set to 1, accept either Verilog escaped Id syntax or +; VHDL extended id syntax, regardless of source language. +; If set to 0, the syntax of the source language must be used. +; Each identifier in a hierarchical name may need different syntax, +; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or +; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" +; GenerousIdentifierParsing = 1 + +; Disable VHDL assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Disable System Verilog assertion messages +; Info and Warning are disabled by default +; IgnoreSVAInfo = 0 +; IgnoreSVAWarning = 0 +; IgnoreSVAError = 1 +; IgnoreSVAFatal = 1 + +; Default force kind. May be freeze, drive, deposit, or default +; or in other terms, fixed, wired, or charged. +; A value of "default" will use the signal kind to determine the +; force kind, drive for resolved signals, freeze for unresolved signals +; DefaultForceKind = freeze + +; If zero, open files when elaborated; otherwise, open files on +; first read or write. Default is 0. +; DelayFileOpen = 1 + +; Control VHDL files opened for write. +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control the number of VHDL files open concurrently. +; This number should always be less than the current ulimit +; setting for max file descriptors. +; 0 = unlimited +ConcurrentFileLimit = 40 + +; Control the number of hierarchical regions displayed as +; part of a signal name shown in the Wave window. +; A value of zero tells VSIM to display the full name. +; The default is 0. +; WaveSignalNameWidth = 0 + +; Turn off warnings when changing VHDL constants and generics +; Default is 1 to generate warning messages +; WarnConstantChange = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit packages. +; NumericStdNoWarnings = 1 + +; Control the format of the (VHDL) FOR generate statement label +; for each iteration. Do not quote it. +; The format string here must contain the conversion codes %s and %d, +; in that order, and no other conversion codes. The %s represents +; the generate_label; the %d represents the generate parameter value +; at a particular generate iteration (this is the position number if +; the generate parameter is of an enumeration type). Embedded whitespace +; is allowed (but discouraged); leading and trailing whitespace is ignored. +; Application of the format must result in a unique scope name over all +; such names in the design so that name lookup can function properly. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is 1 (compressed). +; CheckpointCompressMode = 0 + +; Specify whether to enable SystemVerilog DPI out-of-the-blue call. +; Out-of-the-blue call refers to a SystemVerilog export function call +; directly from a C function that don't have the proper context setup +; as done in DPI-C import C functions. When this is enabled, one can +; call a DPI export function (but not task) from any C code. +; The default is 0 (disabled). +; DpiOutOfTheBlue = 1 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + + +; Should the tool conform to the 2001 or 2005 VPI object model +; Note that System Verilog objects are only available in the 2005 object model +; The tool default is the latest available LRM behavior +; Options here are: 2001 2005 latest +; PliCompatDefault = 2005 + +; Specify default options for the restart command. Options can be one +; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions +; DefaultRestartOptions = -force + +; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs +; (> 500 megabyte memory footprint). Default is disabled. +; Specify number of megabytes to lock. +; LockedMemory = 1000 + +; HP-UX 11.00 ONLY - Use /usr/lib/libCsup_v2.sl for shared object loading. +; This is necessary when C++ files have been compiled with aCC's -AA option. +; The default behavior is to use /usr/lib/libCsup.sl. +; UseCsupV2 = 1 + +; Turn on (1) or off (0) WLF file compression. +; The default is 1 (compress WLF file). +; WLFCompress = 0 + +; Specify whether to save all design hierarchy (1) in the WLF file +; or only regions containing logged signals (0). +; The default is 0 (save only regions with logged signals). +; WLFSaveAllRegions = 1 + +; WLF file time limit. Limit WLF file by time, as closely as possible, +; to the specified amount of simulation time. When the limit is exceeded +; the earliest times get truncated from the file. +; If both time and size limits are specified the most restrictive is used. +; UserTimeUnits are used if time units are not specified. +; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} +; WLFTimeLimit = 0 + +; WLF file size limit. Limit WLF file size, as closely as possible, +; to the specified number of megabytes. If both time and size limits +; are specified then the most restrictive is used. +; The default is 0 (no limit). +; WLFSizeLimit = 1000 + +; Specify whether or not a WLF file should be deleted when the +; simulation ends. A value of 1 will cause the WLF file to be deleted. +; The default is 0 (do not delete WLF file when simulation ends). +; WLFDeleteOnQuit = 1 + +; Specify whether or not a WLF file should be optimized during +; simulation. If set to 0, the WLF file will not be optimized. +; The default is 1, optimize the WLF file. +; WLFOptimize = 0 + +; Specify the name of the WLF file. +; The default is vsim.wlf +; WLFFilename = vsim.wlf + +; Specify the WLF reader cache size limit for each open WLF file. +; The size is giving in megabytes. A value of 0 turns off the +; WLF cache. +; WLFSimCacheSize allows a different cache size to be set for +; simulation WLF file independent of post-simulation WLF file +; viewing. If WLFSimCacheSize is not set it defaults to the +; WLFCacheSize setting. +; The default WLFCacheSize setting is enabled to 256M per open WLF file. +; WLFCacheSize = 2000 +; WLFSimCacheSize = 500 + +; Specify the WLF file event collapse mode. +; 0 = Preserve all events and event order. (same as -wlfnocollapse) +; 1 = Only record values of logged objects at the end of a simulator iteration. +; (same as -wlfcollapsedelta) +; 2 = Only record values of logged objects at the end of a simulator time step. +; (same as -wlfcollapsetime) +; The default is 1. +; WLFCollapseMode = 0 + +; Specify whether WLF file logging can use threads on multi-processor machines +; if 0, no threads will be used, if 1, threads will be used if the system has +; more than one processor +; WLFUseThreads = 1 + +; Turn on/off undebuggable SystemC type warnings. Default is on. +; ShowUndebuggableScTypeWarning = 0 + +; Turn on/off unassociated SystemC name warnings. Default is off. +; ShowUnassociatedScNameWarning = 1 + +; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. +; ScShowIeeeDeprecationWarnings = 1 + +; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. +; ScEnableScSignalWriteCheck = 1 + +; Set SystemC default time unit. +; Set to fs, ps, ns, us, ms, or sec with optional +; prefix of 1, 10, or 100. The default is 1 ns. +; The ScTimeUnit value is honored if it is coarser than Resolution. +; If ScTimeUnit is finer than Resolution, it is set to the value +; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, +; then the default time unit will be 1 ns. However if Resolution +; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. +ScTimeUnit = ns + +; Set SystemC sc_main stack size. The stack size is set as an integer +; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or +; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends +; on the amount of data on the sc_main() stack and the memory required +; to succesfully execute the longest function call chain of sc_main(). +ScMainStackSize = 10 Mb + +; Turn on/off execution of remainder of sc_main upon quitting the current +; simulation session. If the cumulative length of sc_main() in terms of +; simulation time units is less than the length of the current simulation +; run upon quit or restart, sc_main() will be in the middle of execution. +; This switch gives the option to execute the remainder of sc_main upon +; quitting simulation. The drawback of not running sc_main till the end +; is memory leaks for objects created by sc_main. If on, the remainder of +; sc_main will be executed ignoring all delays. This may cause the simulator +; to crash if the code in sc_main is dependent on some simulation state. +; Default is on. +ScMainFinishOnQuit = 1 + +; Set the SCV relationship name that will be used to identify phase +; relations. If the name given to a transactor relation matches this +; name, the transactions involved will be treated as phase transactions +ScvPhaseRelationName = mti_phase + +; Customize the vsim kernel shutdown behavior at the end of the simulation. +; Some common causes of the end of simulation are $finish (implicit or explicit), +; sc_stop(), tf_dofinish(), and assertion failures. +; This should be set to "ask", "exit", or "stop". The default is "ask". +; "ask" -- In batch mode, the vsim kernel will abruptly exit. +; In GUI mode, a dialog box will pop up and ask for user confirmation +; whether or not to quit the simulation. +; "stop" -- Cause the simulation to stay loaded in memory. This can make some +; post-simulation tasks easier. +; "exit" -- The simulation will abruptly exit without asking for any confirmation. +; Note: these ini variables can be overriden by the vsim command +; line switch "-onfinish ". +OnFinish = ask + +; Print "simstats" result at the end of simulation before shutdown. +; If this is enabled, the simstats result will be printed out before shutdown. +; The default is off. +; PrintSimStats = 1 + +; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages +; AssertFile = assert.log + +; Run simulator in assertion debug mode. Default is off. +; AssertionDebug = 1 + +; Turn on/off PSL/SVA concurrent assertion pass enable. +; For SVA, Default is on when the assertion has a pass action block or vsim switch -assertdebug is used and the visibility flag "+acc=a" is turned on in vopt. +; For PSL, Default is on only when vsim switch "-assertdebug" is used and the visibility flag "+acc=a" is turned on in vopt. +; AssertionPassEnable = 0 + +; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on. +; AssertionFailEnable = 0 + +; Set PSL/SVA concurrent assertion pass limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionPassLimit = 1 + +; Set PSL/SVA concurrent assertion fail limit. Default is -1. +; Any positive integer, -1 for infinity. +; AssertionFailLimit = 1 + +; Turn on/off PSL concurrent assertion pass log. Default is off. +; The flag does not affect SVA +; AssertionPassLog = 1 + +; Turn on/off PSL concurrent assertion fail log. Default is on. +; The flag does not affect SVA +; AssertionFailLog = 0 + +; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. +; 0 = Continue 1 = Break 2 = Exit +; AssertionFailAction = 1 + +; Enable the active thread monitor in the waveform display when assertion debug is enabled. +; AssertionActiveThreadMonitor = 1 + +; Control how many waveform rows will be used for displaying the active threads. Default is 5. +; AssertionActiveThreadMonitorLimit = 5 + +; Control how many thread start times will be preserved for ATV viewing for a given assertion +; instance. Default is -1 (ALL). +; ATVStartTimeKeepCount = -1 + +; Turn on/off code coverage +; CodeCoverage = 0 + +; Count all code coverage condition and expression truth table rows that match. +; CoverCountAll = 1 + +; Turn off automatic inclusion of VHDL integers in toggle coverage. Default +; is to include them. +; ToggleNoIntegers = 1 + +; Set the maximum number of values that are collected for toggle coverage of +; VHDL integers. Default is 100; +; ToggleMaxIntValues = 100 + +; Turn on automatic inclusion of Verilog integers in toggle coverage, except +; for enumeration types. Default is to not include them. +; ToggleVlogIntegers = 1 + +; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. +; For unlimited width, set to 0. +; ToggleWidthLimit = 128 + +; Limit the counts that are tracked for toggle coverage. When all edges for a bit have +; reached this count, further activity on the bit is ignored. Default is 1. +; For unlimited counts, set to 0. +; ToggleCountLimit = 1 + +; Turn on/off all PSL/SVA cover directive enables. Default is on. +; CoverEnable = 0 + +; Turn on/off PSL/SVA cover log. Default is off. +; CoverLog = 1 + +; Set "at_least" value for all PSL/SVA cover directives. Default is 1. +; CoverAtLeast = 2 + +; Set "limit" value for all PSL/SVA cover directives. Default is -1. +; Any positive integer, -1 for infinity. +; CoverLimit = 1 + +; Specify the coverage database filename. Default is "" (i.e. database is NOT automatically saved on close). +; UCDBFilename = vsim.ucdb + +; Specify the maximum limit for the number of Cross (bin) products reported +; in XML and UCDB report against a Cross. A warning is issued if the limit +; is crossed. +; MaxReportRhsSVCrossProducts = 1000 + +; Specify the override for the "auto_bin_max" option for the Covergroups. +; If not specified then value from Covergroup "option" is used. +; SVCoverpointAutoBinMax = 64 + +; Specify the override for the value of "cross_num_print_missing" +; option for the Cross in Covergroups. If not specified then value +; specified in the "option.cross_num_print_missing" is used. This +; is a runtime option. NOTE: This overrides any "cross_num_print_missing" +; value specified by user in source file and any SVCrossNumPrintMissingDefault +; specified in modelsim.ini. +; SVCrossNumPrintMissing = 0 + +; Specify whether to use the value of "cross_num_print_missing" +; option in report and GUI for the Cross in Covergroups. If not specified then +; cross_num_print_missing is ignored for creating reports and displaying +; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". +; UseSVCrossNumPrintMissing = 0 + +; Specify the override for the value of "strobe" option for the +; Covergroup Type. If not specified then value in "type_option.strobe" +; will be used. This is runtime option which forces "strobe" to +; user specified value and supersedes user specified values in the +; SystemVerilog Code. NOTE: This also overrides the compile time +; default value override specified using "SVCovergroupStrobeDefault" +; SVCovergroupStrobe = 0 + +; Override for explicit assignments in source code to "option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". +; SVCovergroupGoal = 100 + +; Override for explicit assignments in source code to "type_option.goal" of +; SystemVerilog covergroup, coverpoint, and cross. It also overrides the +; default value of "type_option.goal" (defined to be 100 in the SystemVerilog +; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". +; SVCovergroupTypeGoal = 100 + +; Enable or disable generation of more detailed information about the sampling of covergroup, +; cross, and coverpoints. It provides the details of the number of times the covergroup +; instance and type were sampled, as well as details about why covergroup, cross and +; coverpoint were not covered. A non-zero value is to enable this feature. 0 is to +; disable this feature. Default is 0; +; SVCovergroupSampleInfo = 0 + +; Specify the maximum number of Coverpoint bins in whole design for +; all Covergroups. +; MaxSVCoverpointBinsDesign = 2147483648 + +; Specify maximum number of Coverpoint bins in any instance of a Covergroup +; MaxSVCoverpointBinsInst = 2147483648 + +; Specify the maximum number of Cross bins in whole design for +; all Covergroups. +; MaxSVCrossBinsDesign = 2147483648 + +; Specify maximum number of Cross bins in any instance of a Covergroup +; MaxSVCrossBinsInst = 2147483648 + +; Set weight for all PSL/SVA cover directives. Default is 1. +; CoverWeight = 2 + +; Check vsim plusargs. Default is 0 (off). +; 0 = Don't check plusargs +; 1 = Warning on unrecognized plusarg +; 2 = Error and exit on unrecognized plusarg +; CheckPlusargs = 1 + +; Load the specified shared objects with the RTLD_GLOBAL flag. +; This gives global visibility to all symbols in the shared objects, +; meaning that subsequently loaded shared objects can bind to symbols +; in the global shared objects. The list of shared objects should +; be whitespace delimited. This option is not supported on the +; Windows or AIX platforms. +; GlobalSharedObjectList = example1.so example2.so example3.so + +; Run the 0in tools from within the simulator. +; Default value set to 0. Please set it to 1 to invoke 0in. +; VsimZeroIn = 1 + +; Set the options to be passed to the 0in tools. +; Default value set to "". Please set it to appropriate options needed. +; VsimZeroInOptions = "" + +; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog). +; Sv_Seed = 0 + +; Maximum size of dynamic arrays that are resized during randomize(). +; The default is 1000. A value of 0 indicates no limit. +; SolveArrayResizeMax = 1000 + +; Error message severity when randomize() failure is detected (SystemVerilog). +; The default is 0 (no error). +; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal +; SolveFailSeverity = 0 + +; Enable/disable debug information for randomize() failures (SystemVerilog). +; The default is 0 (disabled). Set to 1 to enable. +; SolveFailDebug = 0 + +; When SolveFailDebug is enabled, this value specifies the algorithm used to +; discover conflicts between constraints for randomize() failures. +; The default is "many". +; +; Valid schemes are: +; "many" = best for determining conflicts due to many related constraints +; "few" = best for determining conflicts due to few related constraints +; +; SolveFailDebugScheme = many + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum number of constraint subsets that will be tested for +; conflicts. +; The default is 0 (no limit). +; SolveFailDebugLimit = 0 + +; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value +; specifies the maximum size of constraint subsets that will be tested for +; conflicts. +; The default value is 0 (no limit). +; SolveFailDebugMaxSet = 0 + +; Maximum size of the solution graph that may be generated during randomize(). +; This value can be used to force randomize() to abort if the complexity of +; the constraint scenario (both in memory and time spent during evaluation) +; exceeds the specified limit. This value is specified in 1000s of nodes. +; The default is 10000. A value of 0 indicates no limit. +; SolveGraphMaxSize = 10000 + +; Use SolveFlags to specify options that will guide the behavior of the +; constraint solver. These options may improve the performance of the +; constraint solver for some testcases, and decrease the performance of +; the constraint solver for others. +; The default value is "" (no options). +; +; Valid flags are: +; i = disable bit interleaving for >, >=, <, <= constraints +; n = disable bit interleaving for all constraints +; r = reverse bit interleaving +; +; SolveFlags = + +; Specify random sequence compatiblity with a prior letter release. This +; option is used to get the same random sequences during simulation as +; as a prior letter release. Only prior letter releases (of the current +; number release) are allowed. +; Note: To achieve the same random sequences, solver optimizations and/or +; bug fixes introduced since the specified release may be disabled - +; yielding the performance / behavior of the prior release. +; Default value set to "" (random compatibility not required). +; SolveRev = + +; Environment variable expansion of command line arguments has been depricated +; in favor shell level expansion. Universal environment variable expansion +; inside -f files is support and continued support for MGC Location Maps provide +; alternative methods for handling flexible pathnames. +; The following line may be uncommented and the value set to 1 to re-enable this +; deprecated behavior. The default value is 0. +; DeprecatedEnvironmentVariableExpansion = 0 + +; Turn on/off collapsing of bus ports in VCD dumpports output +DumpportsCollapse = 1 + +StdArithNoWarnings = 1 +NumericStdNoWarnings = 1 +[lmc] +; The simulator's interface to Logic Modeling's SmartModel SWIFT software +libsm = $MODEL_TECH/libsm.sl +; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) +; libsm = $MODEL_TECH/libsm.dll +; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) +; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl +; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) +; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o +; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) +; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Windows NT) +; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll +; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so +; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) +; libswift = $LMC_HOME/lib/linux.lib/libswift.so + +; The simulator's interface to Logic Modeling's hardware modeler SFI software +libhm = $MODEL_TECH/libhm.sl +; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) +; libhm = $MODEL_TECH/libhm.dll +; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) +; libsfi = /lib/hp700/libsfi.sl +; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) +; libsfi = /lib/rs6000/libsfi.a +; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) +; libsfi = /lib/sun4.solaris/libsfi.so +; Logic Modeling's hardware modeler SFI software (Windows NT) +; libsfi = /lib/pcnt/lm_sfi.dll +; Logic Modeling's hardware modeler SFI software (Linux) +; libsfi = /lib/linux/libsfi.so + +[msg_system] +; Change a message severity or suppress a message. +; The format is: = [,...] +; Examples: +; note = 3009 +; warning = 3033 +; error = 3010,3016 +; fatal = 3016,3033 +; suppress = 3009,3016,3043 +; The command verror can be used to get the complete +; description of a message. + +; Control transcripting of elaboration/runtime messages. +; The default is to have messages appear in the transcript and +; recorded in the wlf file (messages that are recorded in the +; wlf file can be viewed in the MsgViewer). The other settings +; are to send messages only to the transcript or only to the +; wlf file. The valid values are +; both {default} +; tran {transcript only} +; wlf {wlf file only} +; msgmode = both + +; Control transcripting of Verilog display system task messages. +; These system tasks include $display[bho], $strobe[bho], +; Smonitor{bho], and $write[bho]. They also include the analogous +; file I/O tasks that write to STDOUT (i.e. $fwrite or $fdisplay). +; The default is to have messages appear only in the transcript. +; The other settings are to send messages to the wlf file only +; (messages that are recorded in the wlf file can be viewed in the +; MsgViewer) or to both the transcript and the wlf file. The valid +; values are +; tran {transcript only (default)} +; wlf {wlf file only} +; both {transcript and wlf file} +; displaymsgmode = tran + Index: mkjpeg/branches/16rgb/trunk/design/control/CtrlSM.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/control/CtrlSM.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/control/CtrlSM.vhd (revision 48) @@ -0,0 +1,333 @@ +------------------------------------------------------------------------------- +-- File Name : CtrlSM.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : CtrlSM +-- +-- Content : CtrlSM +-- +-- Description : CtrlSM core +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090301: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- +library work; + use work.JPEG_PKG.all; +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity CtrlSM is + port + ( + CLK : in std_logic; + RST : in std_logic; + + -- output IF + outif_almost_full : in std_logic; + + -- HOST IF + sof : in std_logic; + img_size_x : in std_logic_vector(15 downto 0); + img_size_y : in std_logic_vector(15 downto 0); + jpeg_ready : out std_logic; + jpeg_busy : out std_logic; + cmp_max : in std_logic_vector(1 downto 0); + + -- FDCT + fdct_start : out std_logic; + fdct_ready : in std_logic; + fdct_sm_settings : out T_SM_SETTINGS; + + -- ZIGZAG + zig_start : out std_logic; + zig_ready : in std_logic; + zig_sm_settings : out T_SM_SETTINGS; + + -- Quantizer + qua_start : out std_logic; + qua_ready : in std_logic; + qua_sm_settings : out T_SM_SETTINGS; + + -- RLE + rle_start : out std_logic; + rle_ready : in std_logic; + rle_sm_settings : out T_SM_SETTINGS; + + -- Huffman + huf_start : out std_logic; + huf_ready : in std_logic; + huf_sm_settings : out T_SM_SETTINGS; + + -- ByteStuffdr + bs_start : out std_logic; + bs_ready : in std_logic; + bs_sm_settings : out T_SM_SETTINGS; + + -- JFIF GEN + jfif_start : out std_logic; + jfif_ready : in std_logic; + jfif_eoi : out std_logic; + + -- OUT MUX + out_mux_ctrl : out std_logic + ); +end entity CtrlSM; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of CtrlSM is + + + constant NUM_STAGES : integer := 6; + + type T_STATE is (IDLES, JFIF, HORIZ, COMP, VERT, EOI); + type ARR_FSM is array(NUM_STAGES downto 1) of std_logic_vector(1 downto 0); + + type T_ARR_SM_SETTINGS is array(NUM_STAGES+1 downto 1) of T_SM_SETTINGS; + signal Reg : T_ARR_SM_SETTINGS; + signal main_state : T_STATE; + signal start : std_logic_vector(NUM_STAGES+1 downto 1); + signal idle : std_logic_vector(NUM_STAGES+1 downto 1); + signal start_PB : std_logic_vector(NUM_STAGES downto 1); + signal ready_PB : std_logic_vector(NUM_STAGES downto 1); + signal fsm : ARR_FSM; + signal start1_d : std_logic; + signal RSM : T_SM_SETTINGS; + signal out_mux_ctrl_s : std_logic; + signal out_mux_ctrl_s2 : std_logic; + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + fdct_sm_settings <= Reg(1); + zig_sm_settings <= Reg(2); + qua_sm_settings <= Reg(3); + rle_sm_settings <= Reg(4); + huf_sm_settings <= Reg(5); + bs_sm_settings <= Reg(6); + + fdct_start <= start_PB(1); + ready_PB(1) <= fdct_ready; + + zig_start <= start_PB(2); + ready_PB(2) <= zig_ready; + + qua_start <= start_PB(3); + ready_PB(3) <= qua_ready; + + rle_start <= start_PB(4); + ready_PB(4) <= rle_ready; + + huf_start <= start_PB(5); + ready_PB(5) <= huf_ready; + + bs_start <= start_PB(6); + ready_PB(6) <= bs_ready; + + ----------------------------------------------------------------------------- + -- CTRLSM 1..NUM_STAGES + ----------------------------------------------------------------------------- + G_S_CTRL_SM : for i in 1 to NUM_STAGES generate + + -- CTRLSM 1..NUM_STAGES + U_S_CTRL_SM : entity work.SingleSM + port map + ( + CLK => CLK, + RST => RST, + -- from/to SM(m) + start_i => start(i), + idle_o => idle(i), + -- from/to SM(m+1) + idle_i => idle(i+1), + start_o => start(i+1), + -- from/to processing block + pb_rdy_i => ready_PB(i), + pb_start_o => start_PB(i), + -- state out + fsm_o => fsm(i) + ); + end generate G_S_CTRL_SM; + + idle(NUM_STAGES+1) <= not outif_almost_full; + + ------------------------------------------------------------------- + -- Regs + ------------------------------------------------------------------- + G_REG_SM : for i in 1 to NUM_STAGES generate + p_reg1 : process(CLK, RST) + begin + if RST = '1' then + Reg(i) <= C_SM_SETTINGS; + elsif CLK'event and CLK = '1' then + if start(i) = '1' then + if i = 1 then + Reg(i).x_cnt <= RSM.x_cnt; + Reg(i).y_cnt <= RSM.y_cnt; + Reg(i).cmp_idx <= RSM.cmp_idx; + else + Reg(i) <= Reg(i-1); + end if; + end if; + end if; + end process; + end generate G_REG_SM; + + ------------------------------------------------------------------- + -- Main_SM + ------------------------------------------------------------------- + p_main_sm : process(CLK, RST) + begin + if RST = '1' then + main_state <= IDLES; + start(1) <= '0'; + start1_d <= '0'; + jpeg_ready <= '0'; + RSM.x_cnt <= (others => '0'); + RSM.y_cnt <= (others => '0'); + jpeg_busy <= '0'; + RSM.cmp_idx <= (others => '0'); + out_mux_ctrl_s <= '0'; + out_mux_ctrl_s2 <= '0'; + jfif_eoi <= '0'; + out_mux_ctrl <= '0'; + jfif_start <= '0'; + elsif CLK'event and CLK = '1' then + start(1) <= '0'; + start1_d <= start(1); + jpeg_ready <= '0'; + jfif_start <= '0'; + out_mux_ctrl_s2 <= out_mux_ctrl_s; + out_mux_ctrl <= out_mux_ctrl_s2; + + case main_state is + ------------------------------- + -- IDLE + ------------------------------- + when IDLES => + if sof = '1' then + RSM.x_cnt <= (others => '0'); + RSM.y_cnt <= (others => '0'); + jfif_start <= '1'; + out_mux_ctrl_s <= '0'; + jfif_eoi <= '0'; + main_state <= JFIF; + end if; + + ------------------------------- + -- JFIF + ------------------------------- + when JFIF => + if jfif_ready = '1' then + out_mux_ctrl_s <= '1'; + main_state <= HORIZ; + end if; + + ------------------------------- + -- HORIZ + ------------------------------- + when HORIZ => + if RSM.x_cnt < unsigned(img_size_x) then + main_state <= COMP; + else + RSM.x_cnt <= (others => '0'); + main_state <= VERT; + end if; + + ------------------------------- + -- COMP + ------------------------------- + when COMP => + if idle(1) = '1' and start(1) = '0' then + if RSM.cmp_idx < unsigned(cmp_max) then + start(1) <= '1'; + else + RSM.cmp_idx <= (others => '0'); + RSM.x_cnt <= RSM.x_cnt + 8; + main_state <= HORIZ; + end if; + end if; + + ------------------------------- + -- VERT + ------------------------------- + when VERT => + if RSM.y_cnt < unsigned(img_size_y)-8 then + RSM.x_cnt <= (others => '0'); + RSM.y_cnt <= RSM.y_cnt + 8; + main_state <= HORIZ; + else + if idle(NUM_STAGES downto 1) = (NUM_STAGES-1 downto 0 => '1') then + main_state <= EOI; + jfif_eoi <= '1'; + out_mux_ctrl_s <= '0'; + jfif_start <= '1'; + end if; + end if; + + ------------------------------- + -- VERT + ------------------------------- + when EOI => + if jfif_ready = '1' then + jpeg_ready <= '1'; + main_state <= IDLES; + end if; + + ------------------------------- + -- others + ------------------------------- + when others => + main_state <= IDLES; + + end case; + + if start1_d = '1' then + RSM.cmp_idx <= RSM.cmp_idx + 1; + end if; + + if main_state = IDLES then + jpeg_busy <= '0'; + else + jpeg_busy <= '1'; + end if; + + end if; + end process; + + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/top/JpegEnc.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/top/JpegEnc.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/top/JpegEnc.vhd (revision 48) @@ -0,0 +1,529 @@ +------------------------------------------------------------------------------- +-- File Name : JpegEnc.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : JpegEnc +-- +-- Content : JPEG Encoder Top Level +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090301: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- +library work; + use work.JPEG_PKG.all; +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity JpegEnc is + port + ( + CLK : in std_logic; + RST : in std_logic; + + -- OPB + OPB_ABus : in std_logic_vector(31 downto 0); + OPB_BE : in std_logic_vector(3 downto 0); + OPB_DBus_in : in std_logic_vector(31 downto 0); + OPB_RNW : in std_logic; + OPB_select : in std_logic; + OPB_DBus_out : out std_logic_vector(31 downto 0); + OPB_XferAck : out std_logic; + OPB_retry : out std_logic; + OPB_toutSup : out std_logic; + OPB_errAck : out std_logic; + + -- IMAGE RAM + iram_wdata : in std_logic_vector(23 downto 0); + iram_wren : in std_logic; + iram_fifo_afull : out std_logic; + + -- OUT RAM + ram_byte : out std_logic_vector(7 downto 0); + ram_wren : out std_logic; + ram_wraddr : out std_logic_vector(23 downto 0); + outif_almost_full : in std_logic + ); +end entity JpegEnc; + + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of JpegEnc is + + signal qdata : std_logic_vector(7 downto 0); + signal qaddr : std_logic_vector(6 downto 0); + signal qwren : std_logic; + signal jpeg_ready : std_logic; + signal jpeg_busy : std_logic; + signal outram_base_addr : std_logic_vector(9 downto 0); + signal num_enc_bytes : std_logic_vector(23 downto 0); + signal img_size_x : std_logic_vector(15 downto 0); + signal img_size_y : std_logic_vector(15 downto 0); + signal sof : std_logic; + signal jpg_iram_rden : std_logic; + signal jpg_iram_rdaddr : std_logic_vector(31 downto 0); + signal jpg_iram_rdata : std_logic_vector(23 downto 0); + signal fdct_start : std_logic; + signal fdct_ready : std_logic; + signal zig_start : std_logic; + signal zig_ready : std_logic; + signal qua_start : std_logic; + signal qua_ready : std_logic; + signal rle_start : std_logic; + signal rle_ready : std_logic; + signal huf_start : std_logic; + signal huf_ready : std_logic; + signal bs_start : std_logic; + signal bs_ready : std_logic; + signal zz_buf_sel : std_logic; + signal zz_rd_addr : std_logic_vector(5 downto 0); + signal zz_data : std_logic_vector(11 downto 0); + signal rle_buf_sel : std_logic; + signal rle_rdaddr : std_logic_vector(5 downto 0); + signal rle_data : std_logic_vector(11 downto 0); + signal qua_buf_sel : std_logic; + signal qua_rdaddr : std_logic_vector(5 downto 0); + signal qua_data : std_logic_vector(11 downto 0); + signal huf_buf_sel : std_logic; + signal huf_rdaddr : std_logic_vector(5 downto 0); + signal huf_rden : std_logic; + signal huf_runlength : std_logic_vector(3 downto 0); + signal huf_size : std_logic_vector(3 downto 0); + signal huf_amplitude : std_logic_vector(11 downto 0); + signal huf_dval : std_logic; + signal bs_buf_sel : std_logic; + signal bs_fifo_empty : std_logic; + signal bs_rd_req : std_logic; + signal bs_packed_byte : std_logic_vector(7 downto 0); + signal huf_fifo_empty : std_logic; + signal zz_rden : std_logic; + signal fdct_sm_settings : T_SM_SETTINGS; + signal zig_sm_settings : T_SM_SETTINGS; + signal qua_sm_settings : T_SM_SETTINGS; + signal rle_sm_settings : T_SM_SETTINGS; + signal huf_sm_settings : T_SM_SETTINGS; + signal bs_sm_settings : T_SM_SETTINGS; + signal cmp_max : std_logic_vector(1 downto 0); + signal image_size_reg : std_logic_vector(31 downto 0); + signal jfif_ram_byte : std_logic_vector(7 downto 0); + signal jfif_ram_wren : std_logic; + signal jfif_ram_wraddr : std_logic_vector(23 downto 0); + signal out_mux_ctrl : std_logic; + signal img_size_wr : std_logic; + signal jfif_start : std_logic; + signal jfif_ready : std_logic; + signal bs_ram_byte : std_logic_vector(7 downto 0); + signal bs_ram_wren : std_logic; + signal bs_ram_wraddr : std_logic_vector(23 downto 0); + signal jfif_eoi : std_logic; + signal fdct_block_cnt : std_logic_vector(12 downto 0); + signal fdct_fifo_rd : std_logic; + signal fdct_fifo_empty : std_logic; + signal fdct_fifo_q : std_logic_vector(23 downto 0); + signal fdct_fifo_hf_full : std_logic; + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + ------------------------------------------------------------------- + -- Host Interface + ------------------------------------------------------------------- + U_HostIF : entity work.HostIF + port map + ( + CLK => CLK, + RST => RST, + -- OPB + OPB_ABus => OPB_ABus, + OPB_BE => OPB_BE, + OPB_DBus_in => OPB_DBus_in, + OPB_RNW => OPB_RNW, + OPB_select => OPB_select, + OPB_DBus_out => OPB_DBus_out, + OPB_XferAck => OPB_XferAck, + OPB_retry => OPB_retry, + OPB_toutSup => OPB_toutSup, + OPB_errAck => OPB_errAck, + + -- Quantizer RAM + qdata => qdata, + qaddr => qaddr, + qwren => qwren, + + -- CTRL + jpeg_ready => jpeg_ready, + jpeg_busy => jpeg_busy, + + -- ByteStuffer + outram_base_addr => outram_base_addr, + num_enc_bytes => num_enc_bytes, + + -- global + img_size_x => img_size_x, + img_size_y => img_size_y, + img_size_wr => img_size_wr, + sof => sof, + cmp_max => cmp_max + ); + + ------------------------------------------------------------------- + -- BUF_FIFO + ------------------------------------------------------------------- + U_BUF_FIFO : entity work.BUF_FIFO + port map + ( + CLK => CLK, + RST => RST, + -- HOST PROG + img_size_x => img_size_x, + img_size_y => img_size_y, + sof => sof, + + -- HOST DATA + iram_wren => iram_wren, + iram_wdata => iram_wdata, + fifo_almost_full => iram_fifo_afull, + + -- FDCT + fdct_block_cnt => fdct_block_cnt, + fdct_fifo_rd => fdct_fifo_rd, + fdct_fifo_empty => fdct_fifo_empty, + fdct_fifo_q => fdct_fifo_q, + fdct_fifo_hf_full => fdct_fifo_hf_full + ); + + ------------------------------------------------------------------- + -- Controller + ------------------------------------------------------------------- + U_CtrlSM : entity work.CtrlSM + port map + ( + CLK => CLK, + RST => RST, + + -- output IF + outif_almost_full => outif_almost_full, + + -- HOST IF + sof => sof, + img_size_x => img_size_x, + img_size_y => img_size_y, + jpeg_ready => jpeg_ready, + jpeg_busy => jpeg_busy, + cmp_max => cmp_max, + + -- FDCT + fdct_start => fdct_start, + fdct_ready => fdct_ready, + fdct_sm_settings => fdct_sm_settings, + + -- ZIGZAG + zig_start => zig_start, + zig_ready => zig_ready, + zig_sm_settings => zig_sm_settings, + + -- Quantizer + qua_start => qua_start, + qua_ready => qua_ready, + qua_sm_settings => qua_sm_settings, + + -- RLE + rle_start => rle_start, + rle_ready => rle_ready, + rle_sm_settings => rle_sm_settings, + + -- Huffman + huf_start => huf_start, + huf_ready => huf_ready, + huf_sm_settings => huf_sm_settings, + + -- ByteStuffdr + bs_start => bs_start, + bs_ready => bs_ready, + bs_sm_settings => bs_sm_settings, + + -- JFIF GEN + jfif_start => jfif_start, + jfif_ready => jfif_ready, + jfif_eoi => jfif_eoi, + + -- OUT MUX + out_mux_ctrl => out_mux_ctrl + ); + + ------------------------------------------------------------------- + -- FDCT + ------------------------------------------------------------------- + U_FDCT : entity work.FDCT + port map + ( + CLK => CLK, + RST => RST, + -- CTRL + start_pb => fdct_start, + ready_pb => fdct_ready, + fdct_sm_settings => fdct_sm_settings, + + -- BUF_FIFO + bf_block_cnt => fdct_block_cnt, + bf_fifo_rd => fdct_fifo_rd, + bf_fifo_empty => fdct_fifo_empty, + bf_fifo_q => fdct_fifo_q, + bf_fifo_hf_full => fdct_fifo_hf_full, + + -- ZIG ZAG + zz_buf_sel => zz_buf_sel, + zz_rd_addr => zz_rd_addr, + zz_data => zz_data, + zz_rden => zz_rden, + + -- HOST + img_size_x => img_size_x, + img_size_y => img_size_y, + sof => sof + ); + + ------------------------------------------------------------------- + -- ZigZag top level + ------------------------------------------------------------------- + U_ZZ_TOP : entity work.ZZ_TOP + port map + ( + CLK => CLK, + RST => RST, + -- CTRL + start_pb => zig_start, + ready_pb => zig_ready, + zig_sm_settings => zig_sm_settings, + + -- Quantizer + qua_buf_sel => qua_buf_sel, + qua_rdaddr => qua_rdaddr, + qua_data => qua_data, + + -- FDCT + fdct_buf_sel => zz_buf_sel, + fdct_rd_addr => zz_rd_addr, + fdct_data => zz_data, + fdct_rden => zz_rden + ); + + ------------------------------------------------------------------- + -- Quantizer top level + ------------------------------------------------------------------- + U_QUANT_TOP : entity work.QUANT_TOP + port map + ( + CLK => CLK, + RST => RST, + -- CTRL + start_pb => qua_start, + ready_pb => qua_ready, + qua_sm_settings => qua_sm_settings, + + -- RLE + rle_buf_sel => rle_buf_sel, + rle_rdaddr => rle_rdaddr, + rle_data => rle_data, + + -- ZIGZAG + zig_buf_sel => qua_buf_sel, + zig_rd_addr => qua_rdaddr, + zig_data => qua_data, + + -- HOST + qdata => qdata, + qaddr => qaddr, + qwren => qwren + ); + + ------------------------------------------------------------------- + -- RLE TOP + ------------------------------------------------------------------- + U_RLE_TOP : entity work.RLE_TOP + port map + ( + CLK => CLK, + RST => RST, + -- CTRL + start_pb => rle_start, + ready_pb => rle_ready, + rle_sm_settings => rle_sm_settings, + + -- HUFFMAN + huf_buf_sel => huf_buf_sel, + huf_rden => huf_rden, + huf_runlength => huf_runlength, + huf_size => huf_size, + huf_amplitude => huf_amplitude, + huf_dval => huf_dval, + huf_fifo_empty => huf_fifo_empty, + + -- Quantizer + qua_buf_sel => rle_buf_sel, + qua_rd_addr => rle_rdaddr, + qua_data => rle_data, + + -- HostIF + sof => sof + ); + + ------------------------------------------------------------------- + -- Huffman Encoder + ------------------------------------------------------------------- + U_Huffman : entity work.Huffman + port map + ( + CLK => CLK, + RST => RST, + -- CTRL + start_pb => huf_start, + ready_pb => huf_ready, + huf_sm_settings => huf_sm_settings, + + -- HOST IF + sof => sof, + img_size_x => img_size_x, + img_size_y => img_size_y, + cmp_max => cmp_max, + + -- RLE + rle_buf_sel => huf_buf_sel, + rd_en => huf_rden, + runlength => huf_runlength, + VLI_size => huf_size, + VLI => huf_amplitude, + d_val => huf_dval, + rle_fifo_empty => huf_fifo_empty, + + -- Byte Stuffer + bs_buf_sel => bs_buf_sel, + bs_fifo_empty => bs_fifo_empty, + bs_rd_req => bs_rd_req, + bs_packed_byte => bs_packed_byte + ); + + + ------------------------------------------------------------------- + -- Byte Stuffer + ------------------------------------------------------------------- + U_ByteStuffer : entity work.ByteStuffer + port map + ( + CLK => CLK, + RST => RST, + -- CTRL + start_pb => bs_start, + ready_pb => bs_ready, + + -- HOST IF + sof => sof, + num_enc_bytes => num_enc_bytes, + outram_base_addr => outram_base_addr, + + -- Huffman + huf_buf_sel => bs_buf_sel, + huf_fifo_empty => bs_fifo_empty, + huf_rd_req => bs_rd_req, + huf_packed_byte => bs_packed_byte, + + -- OUT RAM + ram_byte => bs_ram_byte, + ram_wren => bs_ram_wren, + ram_wraddr => bs_ram_wraddr + ); + + ------------------------------------------------------------------- + -- JFIF Generator + ------------------------------------------------------------------- + U_JFIFGen : entity work.JFIFGen + port map + ( + CLK => CLK, + RST => RST, + -- CTRL + start => jfif_start, + ready => jfif_ready, + eoi => jfif_eoi, + + -- ByteStuffer + num_enc_bytes => num_enc_bytes, + + -- HOST IF + qwren => qwren, + qwaddr => qaddr, + qwdata => qdata, + image_size_reg => image_size_reg, + image_size_reg_wr => img_size_wr, + + -- OUT RAM + ram_byte => jfif_ram_byte, + ram_wren => jfif_ram_wren, + ram_wraddr => jfif_ram_wraddr + ); + + image_size_reg <= img_size_x & img_size_y; + + ------------------------------------------------------------------- + -- OutMux + ------------------------------------------------------------------- + U_OutMux : entity work.OutMux + port map + ( + CLK => CLK, + RST => RST, + -- CTRL + out_mux_ctrl => out_mux_ctrl, + + -- ByteStuffer + bs_ram_byte => bs_ram_byte, + bs_ram_wren => bs_ram_wren, + bs_ram_wraddr => bs_ram_wraddr, + -- ByteStuffer + jfif_ram_byte => jfif_ram_byte, + jfif_ram_wren => jfif_ram_wren, + jfif_ram_wraddr => jfif_ram_wraddr, + + -- OUT RAM + ram_byte => ram_byte, + ram_wren => ram_wren, + ram_wraddr => ram_wraddr + ); + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- Index: mkjpeg/branches/16rgb/trunk/design/common/JPEG_PKG.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/common/JPEG_PKG.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/common/JPEG_PKG.vhd (revision 48) @@ -0,0 +1,73 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2009 -- +-- -- +-------------------------------------------------------------------------------- +-- +-- Title : JPEG_PKG +-- Design : JPEG_ENC +-- Author : Michal Krepa +-- +-------------------------------------------------------------------------------- +-- +-- File : JPEG_PKG.VHD +-- Created : Sat Mar 7 2009 +-- +-------------------------------------------------------------------------------- +-- +-- Description : Package for JPEG core +-- +-------------------------------------------------------------------------------- + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use ieee.numeric_std.all; + +package JPEG_PKG is + + -- do not change, constant + constant C_HDR_SIZE : integer := 623; + + -- warning! this parameter heavily affects memory size required + -- if expected image width is known change this parameter to match this + -- otherwise some onchip RAM will be wasted and never used + constant C_MAX_LINE_WIDTH : integer := 1280; + + -- 0=highest clock per pixel performance + -- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18% + constant C_MEMORY_OPTIMIZED : integer := 0; + + type T_SM_SETTINGS is record + x_cnt : unsigned(15 downto 0); + y_cnt : unsigned(15 downto 0); + cmp_idx : unsigned(1 downto 0); + end record; + + constant C_SM_SETTINGS : T_SM_SETTINGS := + ( + (others => '0'), + (others => '0'), + (others => '0') + ); + + function log2(n : natural) return natural; + +end package JPEG_PKG; + +package body JPEG_PKG is + + ----------------------------------------------------------------------------- + function log2(n : natural) + return natural is + begin + for i in 0 to 31 loop + if (2**i) >= n then + return i; + end if; + end loop; + return 32; + end log2; + ----------------------------------------------------------------------------- + +end package body JPEG_PKG; \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/common/SingleSM.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/common/SingleSM.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/common/SingleSM.vhd (revision 48) @@ -0,0 +1,125 @@ +------------------------------------------------------------------------------- +-- File Name : SingleSM.vhd +-- +-- Project : +-- +-- Module : +-- +-- Content : +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +------------------------------------------------------------------------------- +-- History : +-- 20080301: (MK): Initial Creation. +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + +entity SingleSM is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- from/to SM(m) + start_i : in std_logic; + idle_o : out std_logic; + -- from/to SM(m+1) + idle_i : in std_logic; + start_o : out std_logic; + -- from/to processing block + pb_rdy_i : in std_logic; + pb_start_o : out std_logic; + -- state debug + fsm_o : out std_logic_vector(1 downto 0) + ); +end entity SingleSM; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture SingleSM_rtl of SingleSM is + + +------------------------------------------------------------------------------- +-- Architecture: Signal definition. +------------------------------------------------------------------------------- + type T_STATE is (IDLE, WAIT_FOR_BLK_RDY, WAIT_FOR_BLK_IDLE); + + signal state : T_STATE; + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + fsm_o <= "00" when state = IDLE else + "01" when state = WAIT_FOR_BLK_RDY else + "10" when state = WAIT_FOR_BLK_IDLE else + "11"; + + ------------------------------------------------------------------------------ + -- FSM + ------------------------------------------------------------------------------ + p_fsm : process(CLK, RST) + begin + if RST = '1' then + idle_o <= '0'; + start_o <= '0'; + pb_start_o <= '0'; + state <= IDLE; + elsif CLK'event and CLK = '1' then + idle_o <= '0'; + start_o <= '0'; + pb_start_o <= '0'; + + case state is + when IDLE => + idle_o <= '1'; + -- this fsm is started + if start_i = '1' then + state <= WAIT_FOR_BLK_RDY; + -- start processing block associated with this FSM + pb_start_o <= '1'; + idle_o <= '0'; + end if; + + when WAIT_FOR_BLK_RDY => + -- wait until processing block completes + if pb_rdy_i = '1' then + -- wait until next FSM is idle before starting it + if idle_i = '1' then + state <= IDLE; + start_o <= '1'; + else + state <= WAIT_FOR_BLK_IDLE; + end if; + end if; + + when WAIT_FOR_BLK_IDLE => + if idle_i = '1' then + state <= IDLE; + start_o <= '1'; + end if; + + when others => + idle_o <= '0'; + start_o <= '0'; + pb_start_o <= '0'; + state <= IDLE; + + end case; + + end if; + end process; + +end architecture SingleSM_rtl; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- Index: mkjpeg/branches/16rgb/trunk/design/common/FIFO.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/common/FIFO.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/common/FIFO.vhd (revision 48) @@ -0,0 +1,230 @@ +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use IEEE.NUMERIC_STD.all; + +entity RAMF is + generic ( + RAMD_W : INTEGER := 12; + RAMA_W : INTEGER := 6 + ); + port ( + d : in STD_LOGIC_VECTOR(RAMD_W-1 downto 0); + waddr : in STD_LOGIC_VECTOR(RAMA_W-1 downto 0); + raddr : in STD_LOGIC_VECTOR(RAMA_W-1 downto 0); + we : in STD_LOGIC; + clk : in STD_LOGIC; + + q : out STD_LOGIC_VECTOR(RAMD_W-1 downto 0) + ); +end RAMF; + +architecture RTL of RAMF is + type mem_type is array ((2**RAMA_W)-1 downto 0) of + STD_LOGIC_VECTOR(RAMD_W-1 downto 0); + signal mem : mem_type; + signal read_addr : STD_LOGIC_VECTOR(RAMA_W-1 downto 0); + +begin + + ------------------------------------------------------------------------------- + q_sg: + ------------------------------------------------------------------------------- + q <= mem(TO_INTEGER(UNSIGNED(read_addr))); + + ------------------------------------------------------------------------------- + read_proc: -- register read address + ------------------------------------------------------------------------------- + process (clk) + begin + if clk = '1' and clk'event then + read_addr <= raddr; + end if; + end process; + + ------------------------------------------------------------------------------- + write_proc: --write access + ------------------------------------------------------------------------------- + process (clk) begin + if clk = '1' and clk'event then + if we = '1' then + mem(TO_INTEGER(UNSIGNED(waddr))) <= d; + end if; + end if; + end process; + +end RTL; +---------------------------------------------------------------------------------- + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use IEEE.STD_LOGIC_UNSIGNED.all; +library WORK; + +entity FIFO is + generic ( + DATA_WIDTH : INTEGER := 12; + ADDR_WIDTH : INTEGER := 2 + ); + port ( + rst : in STD_LOGIC; + clk : in STD_LOGIC; + rinc : in STD_LOGIC; + winc : in STD_LOGIC; + datai : in STD_LOGIC_VECTOR(DATA_WIDTH-1 downto 0); + + datao : out STD_LOGIC_VECTOR(DATA_WIDTH-1 downto 0); + fullo : out STD_LOGIC; + emptyo : out STD_LOGIC; + count : out STD_LOGIC_VECTOR (ADDR_WIDTH downto 0) + ); +end FIFO; + +architecture RTL of FIFO is + + signal raddr_reg : STD_LOGIC_VECTOR(ADDR_WIDTH-1 downto 0); + signal waddr_reg : STD_LOGIC_VECTOR(ADDR_WIDTH-1 downto 0); + signal count_reg : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0); + signal rd_en_reg : STD_LOGIC; + signal wr_en_reg : STD_LOGIC; + signal empty_reg : STD_LOGIC; + signal full_reg : STD_LOGIC; + signal ramq : STD_LOGIC_VECTOR(DATA_WIDTH-1 downto 0); + signal ramd : STD_LOGIC_VECTOR (DATA_WIDTH-1 downto 0); + signal ramwaddr : STD_LOGIC_VECTOR (ADDR_WIDTH-1 downto 0); + signal ramenw : STD_LOGIC; + signal ramraddr : STD_LOGIC_VECTOR (ADDR_WIDTH-1 downto 0); + signal ramenr : STD_LOGIC; + + constant ZEROS_C : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '0'); + constant ONES_C : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); + + component RAMF + generic ( + RAMD_W : INTEGER := 12; + RAMA_W : INTEGER := 6 + ); + port ( + d : in STD_LOGIC_VECTOR(RAMD_W-1 downto 0); + waddr : in STD_LOGIC_VECTOR(RAMA_W-1 downto 0); + raddr : in STD_LOGIC_VECTOR(RAMA_W-1 downto 0); + we : in STD_LOGIC; + clk : in STD_LOGIC; + + q : out STD_LOGIC_VECTOR(RAMD_W-1 downto 0) + ); + end component; +begin + + U_RAMF : RAMF + generic map ( + RAMD_W => DATA_WIDTH, + RAMA_W => ADDR_WIDTH + ) + port map ( + d => ramd, + waddr => ramwaddr, + raddr => ramraddr, + we => ramenw, + clk => clk, + + q => ramq + ); + + ramd <= datai; + + ramwaddr <= waddr_reg; + + ramenw <= wr_en_reg; + + ramraddr <= raddr_reg; + + ramenr <= '1'; + + datao <= ramq; + + emptyo <= empty_reg; + + fullo <= full_reg; + + rd_en_reg <= (rinc and not empty_reg); + + wr_en_reg <= (winc and not full_reg); + + count <= count_reg; + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + empty_reg <= '1'; + else + if count_reg = ZEROS_C or + (count_reg = 1 and rd_en_reg = '1' and wr_en_reg = '0') then + empty_reg <= '1'; + else + empty_reg <= '0'; + end if; + end if; + end if; + end process; + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + full_reg <= '0'; + else + if count_reg = 2**ADDR_WIDTH or + (count_reg = 2**ADDR_WIDTH-1 and wr_en_reg = '1' and rd_en_reg = '0') then + full_reg <= '1'; + else + full_reg <= '0'; + end if; + end if; + end if; + end process; + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + raddr_reg <= (others => '0'); + else + if rd_en_reg = '1' then + raddr_reg <= raddr_reg + '1'; + end if; + end if; + end if; + end process; + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + waddr_reg <= (others => '0'); + else + if wr_en_reg = '1' then + waddr_reg <= waddr_reg + '1'; + end if; + end if; + end if; + end process; + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + count_reg <= (others => '0'); + else + if (rd_en_reg = '1' and wr_en_reg = '0') or (rd_en_reg = '0' and wr_en_reg = '1') then + if rd_en_reg = '1' then + count_reg <= count_reg - '1'; + else + count_reg <= count_reg + '1'; + end if; + end if; + end if; + end if; + end process; + +end RTL; Index: mkjpeg/branches/16rgb/trunk/design/common/RAMZ.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/common/RAMZ.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/common/RAMZ.VHD (revision 48) @@ -0,0 +1,78 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Title : RAMZ -- +-- Design : MDCT -- +-- Author : Michal Krepa -- -- -- +-- -- +-------------------------------------------------------------------------------- +-- +-- File : RAMZ.VHD +-- Created : Sat Mar 5 7:37 2006 +-- +-------------------------------------------------------------------------------- +-- +-- Description : RAM memory simulation model +-- +-------------------------------------------------------------------------------- + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use IEEE.NUMERIC_STD.all; + +entity RAMZ is + generic + ( + RAMADDR_W : INTEGER := 6; + RAMDATA_W : INTEGER := 12 + ); + port ( + d : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + waddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); + raddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); + we : in STD_LOGIC; + clk : in STD_LOGIC; + + q : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0) + ); +end RAMZ; + +architecture RTL of RAMZ is + type mem_type is array ((2**RAMADDR_W)-1 downto 0) of + STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + signal mem : mem_type; + signal read_addr : STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); + +begin + + ------------------------------------------------------------------------------- + q_sg: + ------------------------------------------------------------------------------- + q <= mem(TO_INTEGER(UNSIGNED(read_addr))); + + ------------------------------------------------------------------------------- + read_proc: -- register read address + ------------------------------------------------------------------------------- + process (clk) + begin + if clk = '1' and clk'event then + read_addr <= raddr; + end if; + end process; + + ------------------------------------------------------------------------------- + write_proc: --write access + ------------------------------------------------------------------------------- + process (clk) begin + if clk = '1' and clk'event then + if we = '1' then + mem(TO_INTEGER(UNSIGNED(waddr))) <= d; + end if; + end if; + end process; + +end RTL; \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/rle/RLE.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/rle/RLE.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/rle/RLE.VHD (revision 48) @@ -0,0 +1,315 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2009 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Title : RLE -- +-- Design : MDCT CORE -- +-- Author : Michal Krepa -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- File : RLE.VHD -- +-- Created : Wed Mar 04 2009 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Description : Run Length Encoder -- +-- Baseline Entropy Coding -- +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + +library IEEE; + use IEEE.STD_LOGIC_1164.All; + use IEEE.NUMERIC_STD.all; + +library work; + use work.JPEG_PKG.all; + +entity rle is + generic + ( + RAMADDR_W : INTEGER := 6; + RAMDATA_W : INTEGER := 12 + ); + port + ( + rst : in STD_LOGIC; + clk : in STD_LOGIC; + di : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + start_pb : in std_logic; + sof : in std_logic; + rle_sm_settings : in T_SM_SETTINGS; + + runlength : out STD_LOGIC_VECTOR(3 downto 0); + size : out STD_LOGIC_VECTOR(3 downto 0); + amplitude : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + dovalid : out STD_LOGIC; + rd_addr : out STD_LOGIC_VECTOR(5 downto 0) + ); +end rle; + +architecture rtl of rle is + + + + constant SIZE_REG_C : INTEGER := 4; + constant ZEROS_32_C : UNSIGNED(31 downto 0) := (others => '0'); + + signal prev_dc_reg_0 : SIGNED(RAMDATA_W-1 downto 0); + signal prev_dc_reg_1 : SIGNED(RAMDATA_W-1 downto 0); + signal prev_dc_reg_2 : SIGNED(RAMDATA_W-1 downto 0); + signal acc_reg : SIGNED(RAMDATA_W downto 0); + signal size_reg : UNSIGNED(SIZE_REG_C-1 downto 0); + signal ampli_vli_reg : SIGNED(RAMDATA_W downto 0); + signal runlength_reg : UNSIGNED(3 downto 0); + signal dovalid_reg : STD_LOGIC; + signal zero_cnt : unsigned(5 downto 0); + signal wr_cnt_d1 : unsigned(5 downto 0); + signal wr_cnt : unsigned(5 downto 0); + + signal rd_cnt : unsigned(5 downto 0); + signal rd_en : std_logic; + + signal divalid : STD_LOGIC; + signal divalid_en : std_logic; + signal zrl_proc : std_logic; + signal zrl_di : STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); +begin + + size <= STD_LOGIC_VECTOR(size_reg); + amplitude <= STD_LOGIC_VECTOR(ampli_vli_reg(11 downto 0)); + + rd_addr <= STD_LOGIC_VECTOR(rd_cnt); + + ------------------------------------------- + -- MAIN PROCESSING + ------------------------------------------- + process(clk,rst) + begin + if rst = '1' then + wr_cnt_d1 <= (others => '0'); + prev_dc_reg_0 <= (others => '0'); + prev_dc_reg_1 <= (others => '0'); + prev_dc_reg_2 <= (others => '0'); + dovalid_reg <= '0'; + acc_reg <= (others => '0'); + runlength_reg <= (others => '0'); + runlength <= (others => '0'); + dovalid <= '0'; + zero_cnt <= (others => '0'); + zrl_proc <= '0'; + rd_en <= '0'; + rd_cnt <= (others => '0'); + divalid_en <= '0'; + elsif clk = '1' and clk'event then + dovalid_reg <= '0'; + runlength_reg <= (others => '0'); + wr_cnt_d1 <= wr_cnt; + runlength <= std_logic_vector(runlength_reg); + dovalid <= dovalid_reg; + divalid <= rd_en; + + if start_pb = '1' then + rd_cnt <= (others => '0'); + rd_en <= '1'; + divalid_en <= '1'; + end if; + + if divalid = '1' and wr_cnt = 63 then + divalid_en <= '0'; + end if; + + -- input read enable + if rd_en = '1' then + if rd_cnt = 64-1 then + rd_cnt <= (others => '0'); + rd_en <= '0'; + else + rd_cnt <= rd_cnt + 1; + end if; + end if; + + -- input data valid + if divalid = '1' then + wr_cnt <= wr_cnt + 1; + + -- first DCT coefficient received, DC data + if wr_cnt = 0 then + -- differental coding of DC data per component + case rle_sm_settings.cmp_idx is + when "00" => + acc_reg <= RESIZE(SIGNED(di),RAMDATA_W+1) - RESIZE(prev_dc_reg_0,RAMDATA_W+1); + prev_dc_reg_0 <= SIGNED(di); + when "01" => + acc_reg <= RESIZE(SIGNED(di),RAMDATA_W+1) - RESIZE(prev_dc_reg_1,RAMDATA_W+1); + prev_dc_reg_1 <= SIGNED(di); + when "10" => + acc_reg <= RESIZE(SIGNED(di),RAMDATA_W+1) - RESIZE(prev_dc_reg_2,RAMDATA_W+1); + prev_dc_reg_2 <= SIGNED(di); + when others => + null; + end case; + runlength_reg <= (others => '0'); + dovalid_reg <= '1'; + -- AC coefficient + else + -- zero AC + if signed(di) = 0 then + -- EOB + if wr_cnt = 63 then + acc_reg <= (others => '0'); + runlength_reg <= (others => '0'); + dovalid_reg <= '1'; + -- no EOB + else + zero_cnt <= zero_cnt + 1; + end if; + -- non-zero AC + else + -- normal RLE case + if zero_cnt <= 15 then + acc_reg <= RESIZE(SIGNED(di),RAMDATA_W+1); + runlength_reg <= zero_cnt(3 downto 0); + zero_cnt <= (others => '0'); + dovalid_reg <= '1'; + -- zero_cnt > 15 + else + -- generate ZRL + acc_reg <= (others => '0'); + runlength_reg <= X"F"; + zero_cnt <= zero_cnt - 16; + dovalid_reg <= '1'; + -- stall input until ZRL is handled + zrl_proc <= '1'; + zrl_di <= di; + divalid <= '0'; + rd_cnt <= rd_cnt; + end if; + end if; + end if; + end if; + + -- ZRL processing + if zrl_proc = '1' then + if zero_cnt <= 15 then + acc_reg <= RESIZE(SIGNED(zrl_di),RAMDATA_W+1); + runlength_reg <= zero_cnt(3 downto 0); + if signed(zrl_di) = 0 then + zero_cnt <= to_unsigned(1,zero_cnt'length); + else + zero_cnt <= (others => '0'); + end if; + dovalid_reg <= '1'; + divalid <= divalid_en; + -- continue input handling + zrl_proc <= '0'; + -- zero_cnt > 15 + else + -- generate ZRL + acc_reg <= (others => '0'); + runlength_reg <= X"F"; + zero_cnt <= zero_cnt - 16; + dovalid_reg <= '1'; + divalid <= '0'; + rd_cnt <= rd_cnt; + end if; + end if; + + -- start of 8x8 block processing + if start_pb = '1' then + zero_cnt <= (others => '0'); + wr_cnt <= (others => '0'); + end if; + + if sof = '1' then + prev_dc_reg_0 <= (others => '0'); + prev_dc_reg_1 <= (others => '0'); + prev_dc_reg_2 <= (others => '0'); + end if; + + end if; + end process; + + ------------------------------------------------------------------- + -- Entropy Coder + ------------------------------------------------------------------- + p_entropy_coder : process(CLK, RST) + begin + if RST = '1' then + ampli_vli_reg <= (others => '0'); + size_reg <= (others => '0'); + elsif CLK'event and CLK = '1' then + -- perform VLI (variable length integer) encoding for Symbol-2 (Amplitude) + -- positive input + if acc_reg >= 0 then + ampli_vli_reg <= acc_reg; + else + ampli_vli_reg <= acc_reg - TO_SIGNED(1,RAMDATA_W+1); + end if; + + -- compute Symbol-1 Size + if acc_reg = TO_SIGNED(-1,RAMDATA_W+1) then + size_reg <= TO_UNSIGNED(1,SIZE_REG_C); + elsif (acc_reg < TO_SIGNED(-1,RAMDATA_W+1) and acc_reg > TO_SIGNED(-4,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(2,SIZE_REG_C); + elsif (acc_reg < TO_SIGNED(-3,RAMDATA_W+1) and acc_reg > TO_SIGNED(-8,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(3,SIZE_REG_C); + elsif (acc_reg < TO_SIGNED(-7,RAMDATA_W+1) and acc_reg > TO_SIGNED(-16,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(4,SIZE_REG_C); + elsif (acc_reg < TO_SIGNED(-15,RAMDATA_W+1) and acc_reg > TO_SIGNED(-32,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(5,SIZE_REG_C); + elsif (acc_reg < TO_SIGNED(-31,RAMDATA_W+1) and acc_reg > TO_SIGNED(-64,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(6,SIZE_REG_C); + elsif (acc_reg < TO_SIGNED(-63,RAMDATA_W+1) and acc_reg > TO_SIGNED(-128,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(7,SIZE_REG_C); + elsif (acc_reg < TO_SIGNED(-127,RAMDATA_W+1) and acc_reg > TO_SIGNED(-256,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(8,SIZE_REG_C); + elsif (acc_reg < TO_SIGNED(-255,RAMDATA_W+1) and acc_reg > TO_SIGNED(-512,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(9,SIZE_REG_C); + elsif (acc_reg < TO_SIGNED(-511,RAMDATA_W+1) and acc_reg > TO_SIGNED(-1024,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(10,SIZE_REG_C); + elsif (acc_reg < TO_SIGNED(-1023,RAMDATA_W+1) and acc_reg > TO_SIGNED(-2048,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(11,SIZE_REG_C); + end if; + + -- compute Symbol-1 Size + -- positive input + if acc_reg = TO_SIGNED(1,RAMDATA_W+1) then + size_reg <= TO_UNSIGNED(1,SIZE_REG_C); + elsif (acc_reg > TO_SIGNED(1,RAMDATA_W+1) and acc_reg < TO_SIGNED(4,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(2,SIZE_REG_C); + elsif (acc_reg > TO_SIGNED(3,RAMDATA_W+1) and acc_reg < TO_SIGNED(8,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(3,SIZE_REG_C); + elsif (acc_reg > TO_SIGNED(7,RAMDATA_W+1) and acc_reg < TO_SIGNED(16,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(4,SIZE_REG_C); + elsif (acc_reg > TO_SIGNED(15,RAMDATA_W+1) and acc_reg < TO_SIGNED(32,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(5,SIZE_REG_C); + elsif (acc_reg > TO_SIGNED(31,RAMDATA_W+1) and acc_reg < TO_SIGNED(64,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(6,SIZE_REG_C); + elsif (acc_reg > TO_SIGNED(63,RAMDATA_W+1) and acc_reg < TO_SIGNED(128,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(7,SIZE_REG_C); + elsif (acc_reg > TO_SIGNED(127,RAMDATA_W+1) and acc_reg < TO_SIGNED(256,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(8,SIZE_REG_C); + elsif (acc_reg > TO_SIGNED(255,RAMDATA_W+1) and acc_reg < TO_SIGNED(512,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(9,SIZE_REG_C); + elsif (acc_reg > TO_SIGNED(511,RAMDATA_W+1) and acc_reg < TO_SIGNED(1024,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(10,SIZE_REG_C); + elsif (acc_reg > TO_SIGNED(1023,RAMDATA_W+1) and acc_reg < TO_SIGNED(2048,RAMDATA_W+1)) then + size_reg <= TO_UNSIGNED(11,SIZE_REG_C); + end if; + + -- DC coefficient amplitude=0 case OR EOB + if acc_reg = 0 then + size_reg <= TO_UNSIGNED(0,SIZE_REG_C); + end if; + end if; + end process; + +end rtl; +-------------------------------------------------------------------------------- + + Index: mkjpeg/branches/16rgb/trunk/design/rle/RLE_TOP.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/rle/RLE_TOP.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/rle/RLE_TOP.VHD (revision 48) @@ -0,0 +1,227 @@ +------------------------------------------------------------------------------- +-- File Name : RLE_TOP.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : RLE_TOP +-- +-- Content : Run Length Encoder top level +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090301: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- +library work; + use work.JPEG_PKG.all; +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity RLE_TOP is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- CTRL + start_pb : in std_logic; + ready_pb : out std_logic; + rle_sm_settings : in T_SM_SETTINGS; + + -- HUFFMAN + huf_buf_sel : in std_logic; + huf_rden : in std_logic; + huf_runlength : out std_logic_vector(3 downto 0); + huf_size : out std_logic_vector(3 downto 0); + huf_amplitude : out std_logic_vector(11 downto 0); + huf_dval : out std_logic; + huf_fifo_empty : out std_logic; + + -- Quantizer + qua_buf_sel : out std_logic; + qua_rd_addr : out std_logic_vector(5 downto 0); + qua_data : in std_logic_vector(11 downto 0); + + -- HostIF + sof : in std_logic + ); +end entity RLE_TOP; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of RLE_TOP is + + signal dbuf_data : std_logic_vector(19 downto 0); + signal dbuf_q : std_logic_vector(19 downto 0); + signal dbuf_we : std_logic; + + signal rle_runlength : std_logic_vector(3 downto 0); + signal rle_size : std_logic_vector(3 downto 0); + signal rle_amplitude : std_logic_vector(11 downto 0); + signal rle_dovalid : std_logic; + signal rle_di : std_logic_vector(11 downto 0); + signal rle_divalid : std_logic; + + signal qua_buf_sel_s : std_logic; + signal huf_dval_p0 : std_logic; + + signal wr_cnt : unsigned(5 downto 0); + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + huf_runlength <= dbuf_q(19 downto 16); + huf_size <= dbuf_q(15 downto 12); + huf_amplitude <= dbuf_q(11 downto 0); + qua_buf_sel <= qua_buf_sel_s; + + ------------------------------------------------------------------- + -- RLE Core + ------------------------------------------------------------------- + U_rle : entity work.rle + generic map + ( + RAMADDR_W => 6, + RAMDATA_W => 12 + ) + port map + ( + rst => RST, + clk => CLK, + di => rle_di, + start_pb => start_pb, + sof => sof, + rle_sm_settings => rle_sm_settings, + + runlength => rle_runlength, + size => rle_size, + amplitude => rle_amplitude, + dovalid => rle_dovalid, + rd_addr => qua_rd_addr + ); + + rle_di <= qua_data; + + ------------------------------------------------------------------- + -- Double Fifo + ------------------------------------------------------------------- + U_RleDoubleFifo : entity work.RleDoubleFifo + port map + ( + CLK => CLK, + RST => RST, + -- RLE + data_in => dbuf_data, + wren => dbuf_we, + -- HUFFMAN + buf_sel => huf_buf_sel, + rd_req => huf_rden, + fifo_empty => huf_fifo_empty, + data_out => dbuf_q + ); + dbuf_data <= rle_runlength & rle_size & rle_amplitude; + dbuf_we <= rle_dovalid; + + + + + ------------------------------------------------------------------- + -- ready_pb + ------------------------------------------------------------------- + p_ready_pb : process(CLK, RST) + begin + if RST = '1' then + ready_pb <= '0'; + wr_cnt <= (others => '0'); + elsif CLK'event and CLK = '1' then + ready_pb <= '0'; + + if start_pb = '1' then + wr_cnt <= (others => '0'); + end if; + + -- detect EOB (0,0) - end of RLE block + if rle_dovalid = '1' then + + -- ZERO EXTENSION + if unsigned(rle_runlength) = 15 and unsigned(rle_size) = 0 then + wr_cnt <= wr_cnt + 16; + else + wr_cnt <= wr_cnt + 1 + resize(unsigned(rle_runlength), wr_cnt'length); + end if; + + -- EOB can only be on AC! + if dbuf_data = (dbuf_data'range => '0') and wr_cnt /= 0 then + ready_pb <= '1'; + else + if wr_cnt + resize(unsigned(rle_runlength), wr_cnt'length) = 64-1 then + ready_pb <= '1'; + end if; + end if; + end if; + + end if; + end process; + + ------------------------------------------------------------------- + -- fdct_buf_sel + ------------------------------------------------------------------- + p_buf_sel : process(CLK, RST) + begin + if RST = '1' then + qua_buf_sel_s <= '0'; + elsif CLK'event and CLK = '1' then + if start_pb = '1' then + qua_buf_sel_s <= not qua_buf_sel_s; + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- output data valid + ------------------------------------------------------------------- + p_dval : process(CLK, RST) + begin + if RST = '1' then + huf_dval_p0 <= '0'; + --huf_dval <= '0'; + elsif CLK'event and CLK = '1' then + huf_dval_p0 <= huf_rden; + --huf_dval <= huf_rden; + end if; + end process; + + huf_dval <= huf_rden; + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/rle/RleDoubleFifo.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/rle/RleDoubleFifo.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/rle/RleDoubleFifo.vhd (revision 48) @@ -0,0 +1,185 @@ +------------------------------------------------------------------------------- +-- File Name : RleDoubleFifo.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : RleDoubleFifo +-- +-- Content : RleDoubleFifo +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090228: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity RleDoubleFifo is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- HUFFMAN + data_in : in std_logic_vector(19 downto 0); + wren : in std_logic; + -- BYTE STUFFER + buf_sel : in std_logic; + rd_req : in std_logic; + fifo_empty : out std_logic; + data_out : out std_logic_vector(19 downto 0) + ); +end entity RleDoubleFifo; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of RleDoubleFifo is + + signal fifo1_rd : std_logic; + signal fifo1_wr : std_logic; + signal fifo1_q : std_logic_vector(19 downto 0); + signal fifo1_full : std_logic; + signal fifo1_empty : std_logic; + signal fifo1_count : std_logic_vector(6 downto 0); + + signal fifo2_rd : std_logic; + signal fifo2_wr : std_logic; + signal fifo2_q : std_logic_vector(19 downto 0); + signal fifo2_full : std_logic; + signal fifo2_empty : std_logic; + signal fifo2_count : std_logic_vector(6 downto 0); + + signal fifo_data_in : std_logic_vector(19 downto 0); +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + ------------------------------------------------------------------- + -- FIFO 1 + ------------------------------------------------------------------- + U_FIFO_1 : entity work.FIFO + generic map + ( + DATA_WIDTH => 20, + ADDR_WIDTH => 6 + ) + port map + ( + rst => RST, + clk => CLK, + rinc => fifo1_rd, + winc => fifo1_wr, + datai => fifo_data_in, + + datao => fifo1_q, + fullo => fifo1_full, + emptyo => fifo1_empty, + count => fifo1_count + ); + + ------------------------------------------------------------------- + -- FIFO 2 + ------------------------------------------------------------------- + U_FIFO_2 : entity work.FIFO + generic map + ( + DATA_WIDTH => 20, + ADDR_WIDTH => 6 + ) + port map + ( + rst => RST, + clk => CLK, + rinc => fifo2_rd, + winc => fifo2_wr, + datai => fifo_data_in, + + datao => fifo2_q, + fullo => fifo2_full, + emptyo => fifo2_empty, + count => fifo2_count + ); + + ------------------------------------------------------------------- + -- mux2 + ------------------------------------------------------------------- + p_mux2 : process(CLK, RST) + begin + if RST = '1' then + fifo1_wr <= '0'; + fifo2_wr <= '0'; + fifo_data_in <= (others => '0'); + elsif CLK'event and CLK = '1' then + if buf_sel = '0' then + fifo1_wr <= wren; + else + fifo2_wr <= wren; + end if; + fifo_data_in <= data_in; + end if; + end process; + + ------------------------------------------------------------------- + -- mux3 + ------------------------------------------------------------------- + p_mux3 : process(CLK, RST) + begin + if RST = '1' then + --data_out <= (others => '0'); + --fifo1_rd <= '0'; + --fifo2_rd <= '0'; + --fifo_empty <= '0'; + elsif CLK'event and CLK = '1' then + if buf_sel = '1' then + --data_out <= fifo1_q; + --fifo1_rd <= rd_req; + --fifo_empty <= fifo1_empty; + else + --data_out <= fifo2_q; + --fifo2_rd <= rd_req; + --fifo_empty <= fifo2_empty; + end if; + end if; + end process; + + fifo1_rd <= rd_req when buf_sel = '1' else '0'; + fifo2_rd <= rd_req when buf_sel = '0' else '0'; + + data_out <= fifo1_q when buf_sel = '1' else fifo2_q; + fifo_empty <= fifo1_empty when buf_sel = '1' else fifo2_empty; + + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/BufFifo/BUF_FIFO.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/BufFifo/BUF_FIFO.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/BufFifo/BUF_FIFO.vhd (revision 48) @@ -0,0 +1,379 @@ +------------------------------------------------------------------------------- +-- File Name : BUF_FIFO.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : BUF_FIFO +-- +-- Content : Input FIFO Buffer +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090311: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- +library work; + use work.JPEG_PKG.all; +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity BUF_FIFO is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- HOST PROG + img_size_x : in std_logic_vector(15 downto 0); + img_size_y : in std_logic_vector(15 downto 0); + sof : in std_logic; + + -- HOST DATA + iram_wren : in std_logic; + iram_wdata : in std_logic_vector(23 downto 0); + fifo_almost_full : out std_logic; + + -- FDCT + fdct_block_cnt : in std_logic_vector(12 downto 0); + fdct_fifo_rd : in std_logic; + fdct_fifo_empty : out std_logic; + fdct_fifo_q : out std_logic_vector(23 downto 0); + fdct_fifo_hf_full : out std_logic + ); +end entity BUF_FIFO; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of BUF_FIFO is + + constant C_NUM_SUBF : integer := C_MAX_LINE_WIDTH/8; + constant C_PIXEL_BITS : integer := 24; + constant C_SUBF_ADDRW : integer := 7-C_MEMORY_OPTIMIZED; + --constant C_LOG2_NUM_SUBF : integer := integer(log2(real(C_NUM_SUBF))); + + type T_DATA_ARR is array (0 to C_NUM_SUBF-1) of std_logic_vector(23 downto 0); + type T_CNT_ARR is array (0 to C_NUM_SUBF-1) of + std_logic_vector(C_SUBF_ADDRW downto 0); + + type T_FIFO_RAMADDR is array (0 to C_NUM_SUBF-1) of + STD_LOGIC_VECTOR(C_SUBF_ADDRW-1 downto 0); + + signal fifo_rd : std_logic_vector(C_NUM_SUBF-1 downto 0); + signal fifo_wr : std_logic_vector(C_NUM_SUBF-1 downto 0); + signal fifo_data : std_logic_vector(23 downto 0); + signal fifo_data_d1 : std_logic_vector(23 downto 0); + signal fifo_full : std_logic_vector(C_NUM_SUBF-1 downto 0); + signal fifo_empty : std_logic_vector(C_NUM_SUBF-1 downto 0); + signal fifo_half_full : std_logic_vector(C_NUM_SUBF-1 downto 0); + signal fifo_count : T_CNT_ARR; + + signal pixel_cnt : unsigned(15 downto 0); + signal wblock_cnt : unsigned(12 downto 0); + signal last_idx : unsigned(12 downto 0); + signal idx_reg : unsigned(log2(C_NUM_SUBF)-1 downto 0); + signal wr_idx_reg : unsigned(log2(C_NUM_SUBF)-1 downto 0); + + signal ramq : STD_LOGIC_VECTOR(C_PIXEL_BITS-1 downto 0); + signal ramd : STD_LOGIC_VECTOR (C_PIXEL_BITS-1 downto 0); + signal ramwaddr : STD_LOGIC_VECTOR + (log2(C_NUM_SUBF)+C_SUBF_ADDRW-1 downto 0); + signal ramwaddr_offset : unsigned(C_SUBF_ADDRW-1 downto 0); + signal ramwaddr_base : unsigned(log2(C_NUM_SUBF)+C_SUBF_ADDRW downto 0); + signal ramenw : STD_LOGIC; + signal ramenw_m1 : STD_LOGIC; + signal ramenw_m2 : STD_LOGIC; + signal ramraddr : STD_LOGIC_VECTOR + (log2(C_NUM_SUBF)+C_SUBF_ADDRW-1 downto 0); + signal ramraddr_base : unsigned(log2(C_NUM_SUBF)+C_SUBF_ADDRW downto 0); + signal ramraddr_offset : unsigned(C_SUBF_ADDRW-1 downto 0); + signal ramenr : STD_LOGIC; + + signal fifo_ramwaddr : T_FIFO_RAMADDR; + signal fifo_ramenw : STD_LOGIC_VECTOR(C_NUM_SUBF-1 downto 0); + signal fifo_ramraddr : T_FIFO_RAMADDR; + signal fifo_ramenr : STD_LOGIC_VECTOR(C_NUM_SUBF-1 downto 0); + + signal offset_ramwaddr : STD_LOGIC_VECTOR(C_SUBF_ADDRW-1 downto 0); +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + ------------------------------------------------------------------- + -- SUB_FIFOs + ------------------------------------------------------------------- + G_SUB_FIFO : for i in 0 to C_NUM_SUBF-1 generate + + U_SUB_FIFO : entity work.SUB_FIFO + generic map + ( + DATA_WIDTH => C_PIXEL_BITS, + ADDR_WIDTH => C_SUBF_ADDRW + ) + port map + ( + rst => RST, + clk => CLK, + rinc => fifo_rd(i), + winc => fifo_wr(i), + + fullo => fifo_full(i), + emptyo => fifo_empty(i), + count => fifo_count(i), + + ramwaddr => fifo_ramwaddr(i), + ramenw => fifo_ramenw(i), + ramraddr => fifo_ramraddr(i), + ramenr => fifo_ramenr(i) + ); + end generate G_SUB_FIFO; + + ------------------------------------------------------------------- + -- RAM for SUB_FIFOs + ------------------------------------------------------------------- + U_SUB_RAMZ : entity work.SUB_RAMZ + generic map + ( + RAMADDR_W => log2(C_NUM_SUBF)+C_SUBF_ADDRW, + RAMDATA_W => C_PIXEL_BITS + ) + port map + ( + d => ramd, + waddr => ramwaddr, + raddr => ramraddr, + we => ramenw, + clk => clk, + + q => ramq + ); + + ------------------------------------------------------------------- + -- FIFO almost full + ------------------------------------------------------------------- + p_fifo_almost_full : process(CLK, RST) + begin + if RST = '1' then + fifo_almost_full <= '1'; + last_idx <= (others => '0'); + elsif CLK'event and CLK = '1' then + if img_size_x = (img_size_x'range => '0') then + last_idx <= (others => '0'); + else + last_idx <= unsigned(img_size_x(15 downto 3))-1; + end if; + + if C_MEMORY_OPTIMIZED = 0 then + if unsigned(fifo_count(to_integer(wblock_cnt))) > to_unsigned(128-2*8,8) then + fifo_almost_full <= '1'; + else + fifo_almost_full <= '0'; + end if; + else + if unsigned(fifo_count(to_integer(wblock_cnt))) >= to_unsigned(62,8) then + fifo_almost_full <= '1'; + -- due to FIFO full latency next subFIFO is in danger of being + -- overwritten thus its fifo full must be checked ahead + else + -- next=0 when current is last + if wblock_cnt = last_idx then + -- latency from FIFO full till it is recognized by Host is 2T (64-2)=62 + if unsigned(fifo_count(0)) >= to_unsigned(62,8) then + fifo_almost_full <= '1'; + else + fifo_almost_full <= '0'; + end if; + -- next is just current+1 + else + -- latency from FIFO full till it is recognized by Host is 2T (64-2)=62 + if unsigned(fifo_count(to_integer(wblock_cnt)+1)) >= to_unsigned(62,8) then + fifo_almost_full <= '1'; + else + fifo_almost_full <= '0'; + end if; + end if; + end if; + + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- pixel_cnt + ------------------------------------------------------------------- + p_pixel_cnt : process(CLK, RST) + begin + if RST = '1' then + pixel_cnt <= (others => '0'); + elsif CLK'event and CLK = '1' then + if iram_wren = '1' then + if pixel_cnt = unsigned(img_size_x)-1 then + pixel_cnt <= (others => '0'); + else + pixel_cnt <= pixel_cnt + 1; + end if; + end if; + + if sof = '1' then + pixel_cnt <= (others => '0'); + end if; + end if; + end process; + + wblock_cnt <= pixel_cnt(pixel_cnt'high downto 3); + + ------------------------------------------------------------------- + -- FIFO half full + ------------------------------------------------------------------- + p_half_full : process(CLK, RST) + begin + if RST = '1' then + for i in 0 to C_NUM_SUBF-1 loop + fifo_half_full(i) <= '0'; + end loop; + elsif CLK'event and CLK = '1' then + for i in 0 to C_NUM_SUBF-1 loop + if C_MEMORY_OPTIMIZED = 0 then + if unsigned(fifo_count(i)) >= 64 then + fifo_half_full(i) <= '1'; + else + fifo_half_full(i) <= '0'; + end if; + else + fifo_half_full(i) <= fifo_full(i); + end if; + end loop; + end if; + end process; + + ------------------------------------------------------------------- + -- Mux1 + ------------------------------------------------------------------- + p_mux1 : process(CLK, RST) + begin + if RST = '1' then + for i in 0 to C_NUM_SUBF-1 loop + fifo_wr(i) <= '0'; + end loop; + elsif CLK'event and CLK = '1' then + for i in 0 to C_NUM_SUBF-1 loop + if wblock_cnt(log2(C_NUM_SUBF)-1 downto 0) = i then + fifo_wr(i) <= iram_wren; + else + fifo_wr(i) <= '0'; + end if; + end loop; + end if; + end process; + + ------------------------------------------------------------------- + -- Mux2 + ------------------------------------------------------------------- + p_mux2 : process(CLK, RST) + begin + if RST = '1' then + for i in 0 to C_NUM_SUBF-1 loop + fifo_rd(i) <= '0'; + end loop; + fdct_fifo_empty <= '0'; + fdct_fifo_hf_full <= '0'; + idx_reg <= (others => '0'); + elsif CLK'event and CLK = '1' then + idx_reg <= unsigned(fdct_block_cnt(log2(C_NUM_SUBF)-1 downto 0)); + + for i in 0 to C_NUM_SUBF-1 loop + if idx_reg = i then + fifo_rd(i) <= fdct_fifo_rd; + else + fifo_rd(i) <= '0'; + end if; + end loop; + + fdct_fifo_empty <= fifo_empty(to_integer(idx_reg)); + fdct_fifo_hf_full <= fifo_half_full(to_integer(idx_reg)); + end if; + end process; + + fdct_fifo_q <= ramq; + + ------------------------------------------------------------------- + -- Mux3 + ------------------------------------------------------------------- + p_mux3 : process(CLK, RST) + begin + if RST = '1' then + ramwaddr <= (others => '0'); + ramwaddr_offset <= (others => '0'); + ramwaddr_base <= (others => '0'); + ramenw <= '0'; + ramenw_m1 <= '0'; + wr_idx_reg <= (others => '0'); + ramd <= (others => '0'); + fifo_data <= (others => '0'); + fifo_data_d1 <= (others => '0'); + elsif CLK'event and CLK = '1' then + wr_idx_reg <= unsigned(wblock_cnt(log2(C_NUM_SUBF)-1 downto 0)); + + fifo_data <= iram_wdata; + fifo_data_d1 <= fifo_data; + ramd <= fifo_data_d1; + + ramenw_m1 <= fifo_ramenw(to_integer(wr_idx_reg)); + ramenw <= ramenw_m1; + + ramwaddr_offset <= unsigned(fifo_ramwaddr(to_integer(wr_idx_reg))); + ramwaddr_base <= to_unsigned(2**C_SUBF_ADDRW, C_SUBF_ADDRW+1) * + wr_idx_reg; + ramwaddr <= std_logic_vector(ramwaddr_base(ramwaddr'range) + + resize(ramwaddr_offset, ramwaddr'length)); + end if; + end process; + + ------------------------------------------------------------------- + -- Mux4 + ------------------------------------------------------------------- + p_mux4 : process(CLK, RST) + begin + if RST = '1' then + ramraddr <= (others => '0'); + ramraddr_base <= (others => '0'); + ramraddr_offset <= (others => '0'); + elsif CLK'event and CLK = '1' then + ramraddr_offset <= unsigned(fifo_ramraddr(to_integer(idx_reg))); + ramraddr_base <= to_unsigned(2**C_SUBF_ADDRW, C_SUBF_ADDRW+1) * + idx_reg; + ramraddr <= std_logic_vector(ramraddr_base(ramraddr'range) + + resize(unsigned(ramraddr_offset), ramraddr'length)); + end if; + end process; + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/BufFifo/SUB_RAMZ.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/BufFifo/SUB_RAMZ.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/BufFifo/SUB_RAMZ.VHD (revision 48) @@ -0,0 +1,82 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Title : SUB_RAMZ -- +-- Design : EV_JPEG_ENC -- +-- Author : Michal Krepa -- -- -- +-- -- +-------------------------------------------------------------------------------- +-- +-- File : SUB_RAMZ.VHD +-- Created : 22/03/2009 +-- +-------------------------------------------------------------------------------- +-- +-- Description : RAM memory simulation model +-- +-------------------------------------------------------------------------------- + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use IEEE.NUMERIC_STD.all; + +entity SUB_RAMZ is + generic + ( + RAMADDR_W : INTEGER := 6; + RAMDATA_W : INTEGER := 12 + ); + port ( + d : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + waddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); + raddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); + we : in STD_LOGIC; + clk : in STD_LOGIC; + + q : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0) + ); +end SUB_RAMZ; + +architecture RTL of SUB_RAMZ is + type mem_type is array ((2**RAMADDR_W)-1 downto 0) of + STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + signal mem : mem_type; + signal read_addr : STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); + + --attribute ram_style: string; + --attribute ram_style of mem : signal is "distributed"; + + +begin + + ------------------------------------------------------------------------------- + q_sg: + ------------------------------------------------------------------------------- + q <= mem(TO_INTEGER(UNSIGNED(read_addr))); + + ------------------------------------------------------------------------------- + read_proc: -- register read address + ------------------------------------------------------------------------------- + process (clk) + begin + if clk = '1' and clk'event then + read_addr <= raddr; + end if; + end process; + + ------------------------------------------------------------------------------- + write_proc: --write access + ------------------------------------------------------------------------------- + process (clk) begin + if clk = '1' and clk'event then + if we = '1' then + mem(TO_INTEGER(UNSIGNED(waddr))) <= d; + end if; + end if; + end process; + +end RTL; \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/BufFifo/SUB_FIFO.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/BufFifo/SUB_FIFO.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/BufFifo/SUB_FIFO.vhd (revision 48) @@ -0,0 +1,131 @@ + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use IEEE.STD_LOGIC_UNSIGNED.all; +library WORK; + +entity SUB_FIFO is + generic ( + DATA_WIDTH : INTEGER := 12; + ADDR_WIDTH : INTEGER := 2 + ); + port ( + rst : in STD_LOGIC; + clk : in STD_LOGIC; + rinc : in STD_LOGIC; + winc : in STD_LOGIC; + + fullo : out STD_LOGIC; + emptyo : out STD_LOGIC; + count : out STD_LOGIC_VECTOR (ADDR_WIDTH downto 0); + + ramwaddr : out STD_LOGIC_VECTOR (ADDR_WIDTH-1 downto 0); + ramenw : out STD_LOGIC; + ramraddr : out STD_LOGIC_VECTOR (ADDR_WIDTH-1 downto 0); + ramenr : out STD_LOGIC + ); +end SUB_FIFO; + +architecture RTL of SUB_FIFO is + + signal raddr_reg : STD_LOGIC_VECTOR(ADDR_WIDTH-1 downto 0); + signal waddr_reg : STD_LOGIC_VECTOR(ADDR_WIDTH-1 downto 0); + signal count_reg : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0); + signal rd_en_reg : STD_LOGIC; + signal wr_en_reg : STD_LOGIC; + signal empty_reg : STD_LOGIC; + signal full_reg : STD_LOGIC; + + constant ZEROS_C : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '0'); + constant ONES_C : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); + +begin + + ramwaddr <= waddr_reg; + ramenw <= wr_en_reg; + ramraddr <= raddr_reg; + ramenr <= '1'; + + emptyo <= empty_reg; + fullo <= full_reg; + rd_en_reg <= (rinc and not empty_reg); + wr_en_reg <= (winc and not full_reg); + + count <= count_reg; + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + empty_reg <= '1'; + else + if count_reg = ZEROS_C or + (count_reg = 1 and rd_en_reg = '1' and wr_en_reg = '0') then + empty_reg <= '1'; + else + empty_reg <= '0'; + end if; + end if; + end if; + end process; + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + full_reg <= '0'; + else + if count_reg = 2**ADDR_WIDTH or + (count_reg = 2**ADDR_WIDTH-1 and wr_en_reg = '1' and rd_en_reg = '0') then + full_reg <= '1'; + else + full_reg <= '0'; + end if; + end if; + end if; + end process; + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + raddr_reg <= (others => '0'); + else + if rd_en_reg = '1' then + raddr_reg <= raddr_reg + '1'; + end if; + end if; + end if; + end process; + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + waddr_reg <= (others => '0'); + else + if wr_en_reg = '1' then + waddr_reg <= waddr_reg + '1'; + end if; + end if; + end if; + end process; + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + count_reg <= (others => '0'); + else + if (rd_en_reg = '1' and wr_en_reg = '0') or (rd_en_reg = '0' and wr_en_reg = '1') then + if rd_en_reg = '1' then + count_reg <= count_reg - '1'; + else + count_reg <= count_reg + '1'; + end if; + end if; + end if; + end if; + end process; + +end RTL; Index: mkjpeg/branches/16rgb/trunk/design/huffman/Huffman.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/huffman/Huffman.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/huffman/Huffman.vhd (revision 48) @@ -0,0 +1,535 @@ +------------------------------------------------------------------------------- +-- File Name : Huffman.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : Huffman +-- +-- Content : Huffman Encoder +-- +-- Description : Huffman encoder core +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090228: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- +library work; + use work.JPEG_PKG.all; +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity Huffman is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- CTRL + start_pb : in std_logic; + ready_pb : out std_logic; + huf_sm_settings : in T_SM_SETTINGS; + + -- HOST IF + sof : in std_logic; + img_size_x : in std_logic_vector(15 downto 0); + img_size_y : in std_logic_vector(15 downto 0); + cmp_max : in std_logic_vector(1 downto 0); + + -- RLE + rle_buf_sel : out std_logic; + rd_en : out std_logic; + runlength : in std_logic_vector(3 downto 0); + VLI_size : in std_logic_vector(3 downto 0); + VLI : in std_logic_vector(11 downto 0); + d_val : in std_logic; + rle_fifo_empty : in std_logic; + + -- Byte Stuffer + bs_buf_sel : in std_logic; + bs_fifo_empty : out std_logic; + bs_rd_req : in std_logic; + bs_packed_byte : out std_logic_vector(7 downto 0) + ); +end entity Huffman; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of Huffman is + + type T_STATE is (IDLE, RUN_VLC, RUN_VLI, PAD); + + constant C_M : integer := 23; + constant BLK_SIZE : integer := 64; + + signal state : T_STATE; + signal rle_buf_sel_s : std_logic; + signal first_rle_word : std_logic; + signal word_reg : unsigned(C_M-1 downto 0); + signal bit_ptr : unsigned(4 downto 0); + signal num_fifo_wrs : unsigned(1 downto 0); + signal VLI_ext : unsigned(15 downto 0); + signal VLI_ext_size : unsigned(4 downto 0); + signal ready_HFW : std_logic; + signal fifo_wbyte : std_logic_vector(7 downto 0); + signal fifo_wrt_cnt : unsigned(1 downto 0); + signal fifo_wren : std_logic; + signal last_block : std_logic; + signal image_area_size : unsigned(33 downto 0); + signal block_cnt : unsigned(27 downto 0); + signal VLC_size : unsigned(4 downto 0); + signal VLC : unsigned(15 downto 0); + signal VLC_DC_size : std_logic_vector(3 downto 0); + signal VLC_DC : unsigned(8 downto 0); + signal VLC_AC_size : unsigned(4 downto 0); + signal VLC_AC : unsigned(15 downto 0); + signal vlc_vld : std_logic; + signal d_val_d1 : std_logic; + signal d_val_d2 : std_logic; + signal d_val_d3 : std_logic; + signal d_val_d4 : std_logic; + signal VLI_size_d : std_logic_vector(3 downto 0); + signal VLI_d : std_logic_vector(11 downto 0); + signal VLI_size_d1 : std_logic_vector(3 downto 0); + signal VLI_d1 : std_logic_vector(11 downto 0); + signal HFW_running : std_logic; + signal runlength_r : std_logic_vector(3 downto 0); + signal VLI_size_r : std_logic_vector(3 downto 0); + signal VLI_r : std_logic_vector(11 downto 0); + signal rd_en_s : std_logic; + signal pad_byte : std_logic_vector(7 downto 0); + signal pad_reg : std_logic; + signal VLC_CR_DC_size : std_logic_vector(3 downto 0); + signal VLC_CR_DC : unsigned(10 downto 0); + signal VLC_CR_AC_size : unsigned(4 downto 0); + signal VLC_CR_AC : unsigned(15 downto 0); + signal start_pb_d1 : std_logic; + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + rle_buf_sel <= rle_buf_sel_s; + + rd_en <= rd_en_s; + vlc_vld <= rd_en_s; + + ------------------------------------------------------------------- + -- latch FIFO Q + ------------------------------------------------------------------- + p_latch_fifo : process(CLK, RST) + begin + if RST = '1' then + VLI_size_r <= (others => '0'); + VLI_r <= (others => '0'); + elsif CLK'event and CLK = '1' then + if d_val = '1' then + VLI_size_r <= VLI_size; + VLI_r <= VLI; + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- DC_ROM Luminance + ------------------------------------------------------------------- + U_DC_ROM : entity work.DC_ROM + port map + ( + CLK => CLK, + RST => RST, + VLI_size => VLI_size, + + VLC_DC_size => VLC_DC_size, + VLC_DC => VLC_DC + ); + + ------------------------------------------------------------------- + -- AC_ROM Luminance + ------------------------------------------------------------------- + U_AC_ROM : entity work.AC_ROM + port map + ( + CLK => CLK, + RST => RST, + runlength => runlength, + VLI_size => VLI_size, + + VLC_AC_size => VLC_AC_size, + VLC_AC => VLC_AC + ); + + ------------------------------------------------------------------- + -- DC_ROM Chrominance + ------------------------------------------------------------------- + U_DC_CR_ROM : entity work.DC_CR_ROM + port map + ( + CLK => CLK, + RST => RST, + VLI_size => VLI_size, + + VLC_DC_size => VLC_CR_DC_size, + VLC_DC => VLC_CR_DC + ); + + ------------------------------------------------------------------- + -- AC_ROM Chrominance + ------------------------------------------------------------------- + U_AC_CR_ROM : entity work.AC_CR_ROM + port map + ( + CLK => CLK, + RST => RST, + runlength => runlength, + VLI_size => VLI_size, + + VLC_AC_size => VLC_CR_AC_size, + VLC_AC => VLC_CR_AC + ); + + ------------------------------------------------------------------- + -- Double Fifo + ------------------------------------------------------------------- + U_DoubleFifo : entity work.DoubleFifo + port map + ( + CLK => CLK, + RST => RST, + -- HUFFMAN + data_in => fifo_wbyte, + wren => fifo_wren, + -- BYTE STUFFER + buf_sel => bs_buf_sel, + rd_req => bs_rd_req, + fifo_empty => bs_fifo_empty, + data_out => bs_packed_byte + ); + + ------------------------------------------------------------------- + -- RLE buf_sel + ------------------------------------------------------------------- + p_rle_buf_sel : process(CLK, RST) + begin + if RST = '1' then + rle_buf_sel_s <= '0'; + elsif CLK'event and CLK = '1' then + if start_pb = '1' then + rle_buf_sel_s <= not rle_buf_sel_s; + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- mux for DC/AC ROM Luminance/Chrominance + ------------------------------------------------------------------- + p_mux : process(CLK, RST) + begin + if RST = '1' then + VLC_size <= (others => '0'); + VLC <= (others => '0'); + elsif CLK'event and CLK = '1' then + -- DC + if first_rle_word = '1' then + -- luminance + if huf_sm_settings.cmp_idx = 0 then + VLC_size <= unsigned('0' & VLC_DC_size); + VLC <= resize(VLC_DC, VLC'length); + -- chrominance + else + VLC_size <= unsigned('0' & VLC_CR_DC_size); + VLC <= resize(VLC_CR_DC, VLC'length); + end if; + -- AC + else + -- luminance + if huf_sm_settings.cmp_idx = 0 then + VLC_size <= VLC_AC_size; + VLC <= VLC_AC; + -- chrominance + else + VLC_size <= VLC_CR_AC_size; + VLC <= VLC_CR_AC; + end if; + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- Block Counter / Last Block detector + ------------------------------------------------------------------- + p_blk_cnt : process(CLK, RST) + begin + if RST = '1' then + image_area_size <= (others => '0'); + last_block <= '0'; + elsif CLK'event and CLK = '1' then + image_area_size <= unsigned(cmp_max)* + unsigned(img_size_x)*unsigned(img_size_y); + + if sof = '1' then + block_cnt <= (others => '0'); + elsif start_pb = '1' then + block_cnt <= block_cnt + 1; + end if; + + if block_cnt = image_area_size(33 downto 6) then + last_block <= '1'; + else + last_block <= '0'; + end if; + + end if; + end process; + + VLI_ext <= unsigned("0000" & VLI_d1); + VLI_ext_size <= unsigned('0' & VLI_size_d1); + + ------------------------------------------------------------------- + -- delay line + ------------------------------------------------------------------- + p_vli_dly : process(CLK, RST) + begin + if RST = '1' then + VLI_d <= (others => '0'); + VLI_size_d <= (others => '0'); + VLI_d1 <= (others => '0'); + VLI_size_d1 <= (others => '0'); + d_val_d1 <= '0'; + d_val_d2 <= '0'; + d_val_d3 <= '0'; + d_val_d4 <= '0'; + elsif CLK'event and CLK = '1' then + VLI_d1 <= VLI_r; + VLI_size_d1 <= VLI_size_r; + + VLI_d <= VLI_d1; + VLI_size_d <= VLI_size_d1; + + d_val_d1 <= d_val; + d_val_d2 <= d_val_d1; + d_val_d3 <= d_val_d2; + d_val_d4 <= d_val_d3; + end if; + end process; + + ------------------------------------------------------------------- + -- HandleFifoWrites + ------------------------------------------------------------------- + p_HandleFifoWrites : process(CLK, RST) + begin + if RST = '1' then + ready_HFW <= '0'; + fifo_wrt_cnt <= (others => '0'); + fifo_wren <= '0'; + fifo_wbyte <= (others => '0'); + rd_en_s <= '0'; + start_pb_d1 <= '0'; + elsif CLK'event and CLK = '1' then + fifo_wren <= '0'; + ready_HFW <= '0'; + rd_en_s <= '0'; + start_pb_d1 <= start_pb; + + if start_pb_d1 = '1' then + rd_en_s <= '1' and not rle_fifo_empty; + end if; + + if HFW_running = '1' and ready_HFW = '0' then + -- there is no at least one integer byte to write this time + if num_fifo_wrs = 0 then + ready_HFW <= '1'; + if state = RUN_VLI then + rd_en_s <= '1' and not rle_fifo_empty; + end if; + -- single byte write to FIFO + else + fifo_wrt_cnt <= fifo_wrt_cnt + 1; + fifo_wren <= '1'; + -- last byte write + if fifo_wrt_cnt + 1 = num_fifo_wrs then + ready_HFW <= '1'; + if state = RUN_VLI then + rd_en_s <= '1' and not rle_fifo_empty; + end if; + fifo_wrt_cnt <= (others => '0'); + end if; + end if; + end if; + + case fifo_wrt_cnt is + when "00" => + fifo_wbyte <= std_logic_vector(word_reg(C_M-1 downto C_M-8)); + when "01" => + fifo_wbyte <= std_logic_vector(word_reg(C_M-8-1 downto C_M-16)); + when others => + fifo_wbyte <= (others => '0'); + end case; + if pad_reg = '1' then + fifo_wbyte <= pad_byte; + end if; + + + end if; + end process; + + -- divide by 8 + num_fifo_wrs <= bit_ptr(4 downto 3); + + ------------------------------------------------------------------- + -- Variable Length Processor FSM + ------------------------------------------------------------------- + p_vlp : process(CLK, RST) + begin + if RST = '1' then + ready_pb <= '0'; + first_rle_word <= '0'; + state <= IDLE; + word_reg <= (others => '0'); + bit_ptr <= (others => '0'); + HFW_running <= '0'; + pad_reg <= '0'; + pad_byte <= (others => '0'); + elsif CLK'event and CLK = '1' then + ready_pb <= '0'; + + case state is + + when IDLE => + if start_pb = '1' then + first_rle_word <= '1'; + state <= RUN_VLC; + end if; + + when RUN_VLC => + -- data valid DC or data valid AC + if (d_val_d1 = '1' and first_rle_word = '1') or + (d_val = '1' and first_rle_word = '0') then + for i in 0 to C_M-1 loop + if i < to_integer(VLC_size) then + word_reg(C_M-1-to_integer(bit_ptr)-i) <= VLC(to_integer(VLC_size)-1-i); + end if; + end loop; + bit_ptr <= bit_ptr + resize(VLC_size,bit_ptr'length); + + -- HandleFifoWrites + HFW_running <= '1'; + -- HandleFifoWrites completed + elsif HFW_running = '1' and + (num_fifo_wrs = 0 or fifo_wrt_cnt + 1 = num_fifo_wrs) then + -- shift word reg left to skip bytes already written to FIFO + word_reg <= shift_left(word_reg, to_integer(num_fifo_wrs & "000")); + -- adjust bit pointer after some bytes were written to FIFO + -- modulo 8 operation + bit_ptr <= bit_ptr - (num_fifo_wrs & "000"); + HFW_running <= '0'; + first_rle_word <= '0'; + state <= RUN_VLI; + end if; + + when RUN_VLI => + if HFW_running = '0' then + + for i in 0 to C_M-1 loop + if i < to_integer(VLI_ext_size) then + word_reg(C_M-1-to_integer(bit_ptr)-i) + <= VLI_ext(to_integer(VLI_ext_size)-1-i); + end if; + end loop; + + bit_ptr <= bit_ptr + resize(VLI_ext_size,bit_ptr'length); + + -- HandleFifoWrites + HFW_running <= '1'; + -- HandleFifoWrites completed + elsif HFW_running = '1' and + (num_fifo_wrs = 0 or fifo_wrt_cnt + 1 = num_fifo_wrs) then + -- shift word reg left to skip bytes already written to FIFO + word_reg <= shift_left(word_reg, to_integer(num_fifo_wrs & "000")); + -- adjust bit pointer after some bytes were written to FIFO + -- modulo 8 operation + bit_ptr <= bit_ptr - (num_fifo_wrs & "000"); + HFW_running <= '0'; + + -- end of block + if rle_fifo_empty = '1' then + -- end of segment + if bit_ptr - (num_fifo_wrs & "000") /= 0 and last_block = '1' then + state <= PAD; + else + ready_pb <= '1'; + state <= IDLE; + end if; + else + state <= RUN_VLC; + end if; + end if; + + -- end of segment which requires bit padding + when PAD => + if HFW_running = '0' then + -- 1's bit padding to integer number of bytes + for i in 0 to 7 loop + if i < bit_ptr then + pad_byte(7-i) <= word_reg(C_M-1-i); + else + pad_byte(7-i) <= '1'; + end if; + end loop; + pad_reg <= '1'; + + bit_ptr <= to_unsigned(8, bit_ptr'length); + + -- HandleFifoWrites + HFW_running <= '1'; + elsif HFW_running = '1' and + (num_fifo_wrs = 0 or fifo_wrt_cnt + 1 = num_fifo_wrs) then + bit_ptr <= (others => '0'); + HFW_running <= '0'; + pad_reg <= '0'; + + ready_pb <= '1'; + state <= IDLE; + end if; + + when others => + + end case; + + if sof = '1' then + bit_ptr <= (others => '0'); + end if; + + end if; + end process; + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/huffman/AC_CR_ROM.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/huffman/AC_CR_ROM.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/huffman/AC_CR_ROM.vhd (revision 48) @@ -0,0 +1,708 @@ +------------------------------------------------------------------------------- +-- File Name : AC_CR_ROM.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : AC_CR_ROM +-- +-- Content : AC_CR_ROM Chrominance +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090329: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity AC_CR_ROM is + port + ( + CLK : in std_logic; + RST : in std_logic; + runlength : in std_logic_vector(3 downto 0); + VLI_size : in std_logic_vector(3 downto 0); + + VLC_AC_size : out unsigned(4 downto 0); + VLC_AC : out unsigned(15 downto 0) + ); +end entity AC_CR_ROM; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of AC_CR_ROM is + + signal rom_addr : std_logic_vector(7 downto 0); + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + rom_addr <= runlength & VLI_size; + + ------------------------------------------------------------------- + -- AC-ROM + ------------------------------------------------------------------- + p_AC_CR_ROM : process(CLK, RST) + begin + if RST = '1' then + VLC_AC_size <= (others => '0'); + VLC_AC <= (others => '0'); + elsif CLK'event and CLK = '1' then + case runlength is + when X"0" => + + case VLI_size is + when X"0" => + VLC_AC_size <= to_unsigned(2, VLC_AC_size'length); + VLC_AC <= resize("00", VLC_AC'length); + when X"1" => + VLC_AC_size <= to_unsigned(2, VLC_AC_size'length); + VLC_AC <= resize("01", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(3, VLC_AC_size'length); + VLC_AC <= resize("100", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(4, VLC_AC_size'length); + VLC_AC <= resize("1010", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(5, VLC_AC_size'length); + VLC_AC <= resize("11000", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(5, VLC_AC_size'length); + VLC_AC <= resize("11001", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(6, VLC_AC_size'length); + VLC_AC <= resize("111000", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); + VLC_AC <= resize("1111000", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111110100", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); + VLC_AC <= resize("1111110110", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); + VLC_AC <= resize("111111110100", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"1" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(4, VLC_AC_size'length); + VLC_AC <= resize("1011", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(6, VLC_AC_size'length); + VLC_AC <= resize("111001", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(8, VLC_AC_size'length); + VLC_AC <= resize("11110110", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111110101", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); + VLC_AC <= resize("11111110110", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); + VLC_AC <= resize("111111110101", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001000", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001001", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001010", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001011", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"2" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(5, VLC_AC_size'length); + VLC_AC <= resize("11010", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(8, VLC_AC_size'length); + VLC_AC <= resize("11110111", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); + VLC_AC <= resize("1111110111", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); + VLC_AC <= resize("111111110110", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(15, VLC_AC_size'length); + VLC_AC <= resize("111111111000010", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001100", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001101", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001110", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001111", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010000", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"3" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(5, VLC_AC_size'length); + VLC_AC <= resize("11011", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(8, VLC_AC_size'length); + VLC_AC <= resize("11111000", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); + VLC_AC <= resize("1111111000", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); + VLC_AC <= resize("111111110111", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010001", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010010", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010011", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010100", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010101", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010110", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"4" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(6, VLC_AC_size'length); + VLC_AC <= resize("111010", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111110110", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010111", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011000", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011001", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011010", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011011", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011100", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011101", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011110", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"5" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(6, VLC_AC_size'length); + VLC_AC <= resize("111011", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); + VLC_AC <= resize("1111111001", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011111", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100000", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100001", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100010", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100011", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100100", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100101", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100110", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"6" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); + VLC_AC <= resize("1111001", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); + VLC_AC <= resize("11111110111", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100111", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101000", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101001", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101010", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101011", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101100", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101101", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101110", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"7" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); + VLC_AC <= resize("1111010", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); + VLC_AC <= resize("11111111000", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101111", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110000", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110001", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110010", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110011", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110100", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110101", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110110", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"8" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(8, VLC_AC_size'length); + VLC_AC <= resize("11111001", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110111", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111000", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111001", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111010", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111011", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111100", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111101", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111110", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111111", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"9" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111110111", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000000", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000001", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000010", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000011", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000100", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000101", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000110", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000111", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001000", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"A" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111111000", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001001", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001010", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001011", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001100", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001101", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001110", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001111", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010000", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010001", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"B" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111111001", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010010", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010011", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010100", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010101", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010110", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010111", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011000", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011001", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011010", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"C" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111111010", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011011", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011100", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011101", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011110", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011111", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100000", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100001", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100010", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100011", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"D" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); + VLC_AC <= resize("11111111001", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100100", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100101", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100110", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100111", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101000", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101001", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101010", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101011", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101100", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"E" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(14, VLC_AC_size'length); + VLC_AC <= resize("11111111100000", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101101", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101110", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101111", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110000", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110001", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110010", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110011", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110100", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110101", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"F" => + + case VLI_size is + when X"0" => + VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); + VLC_AC <= resize("1111111010", VLC_AC'length); + when X"1" => + VLC_AC_size <= to_unsigned(15, VLC_AC_size'length); + VLC_AC <= resize("111111111000011", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110110", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110111", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111000", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111001", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111010", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111011", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111100", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111101", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111110", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when others => + VLC_AC_size <= (others => '0'); + VLC_AC <= (others => '0'); + end case; + end if; + end process; + + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/huffman/DC_CR_ROM.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/huffman/DC_CR_ROM.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/huffman/DC_CR_ROM.vhd (revision 48) @@ -0,0 +1,127 @@ +------------------------------------------------------------------------------- +-- File Name : DC_CR_ROM.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : DC_CR_ROM +-- +-- Content : DC_CR_ROM Chrominance +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090329: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity DC_CR_ROM is + port + ( + CLK : in std_logic; + RST : in std_logic; + VLI_size : in std_logic_vector(3 downto 0); + + VLC_DC_size : out std_logic_vector(3 downto 0); + VLC_DC : out unsigned(10 downto 0) + ); +end entity DC_CR_ROM; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of DC_CR_ROM is + + + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + ------------------------------------------------------------------- + -- DC-ROM + ------------------------------------------------------------------- + p_DC_CR_ROM : process(CLK, RST) + begin + if RST = '1' then + VLC_DC_size <= X"0"; + VLC_DC <= (others => '0'); + elsif CLK'event and CLK = '1' then + case VLI_size is + when X"0" => + VLC_DC_size <= X"2"; + VLC_DC <= resize("00", VLC_DC'length); + when X"1" => + VLC_DC_size <= X"2"; + VLC_DC <= resize("01", VLC_DC'length); + when X"2" => + VLC_DC_size <= X"2"; + VLC_DC <= resize("10", VLC_DC'length); + when X"3" => + VLC_DC_size <= X"3"; + VLC_DC <= resize("110", VLC_DC'length); + when X"4" => + VLC_DC_size <= X"4"; + VLC_DC <= resize("1110", VLC_DC'length); + when X"5" => + VLC_DC_size <= X"5"; + VLC_DC <= resize("11110", VLC_DC'length); + when X"6" => + VLC_DC_size <= X"6"; + VLC_DC <= resize("111110", VLC_DC'length); + when X"7" => + VLC_DC_size <= X"7"; + VLC_DC <= resize("1111110", VLC_DC'length); + when X"8" => + VLC_DC_size <= X"8"; + VLC_DC <= resize("11111110", VLC_DC'length); + when X"9" => + VLC_DC_size <= X"9"; + VLC_DC <= resize("111111110", VLC_DC'length); + when X"A" => + VLC_DC_size <= X"A"; + VLC_DC <= resize("1111111110", VLC_DC'length); + when X"B" => + VLC_DC_size <= X"B"; + VLC_DC <= resize("11111111110", VLC_DC'length); + when others => + VLC_DC_size <= X"0"; + VLC_DC <= (others => '0'); + end case; + end if; + end process; + + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/huffman/AC_ROM.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/huffman/AC_ROM.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/huffman/AC_ROM.vhd (revision 48) @@ -0,0 +1,708 @@ +------------------------------------------------------------------------------- +-- File Name : AC_ROM.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : AC_ROM +-- +-- Content : AC_ROM Luminance +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090228: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity AC_ROM is + port + ( + CLK : in std_logic; + RST : in std_logic; + runlength : in std_logic_vector(3 downto 0); + VLI_size : in std_logic_vector(3 downto 0); + + VLC_AC_size : out unsigned(4 downto 0); + VLC_AC : out unsigned(15 downto 0) + ); +end entity AC_ROM; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of AC_ROM is + + signal rom_addr : std_logic_vector(7 downto 0); + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + rom_addr <= runlength & VLI_size; + + ------------------------------------------------------------------- + -- AC-ROM + ------------------------------------------------------------------- + p_AC_ROM : process(CLK, RST) + begin + if RST = '1' then + VLC_AC_size <= (others => '0'); + VLC_AC <= (others => '0'); + elsif CLK'event and CLK = '1' then + case runlength is + when X"0" => + + case VLI_size is + when X"0" => + VLC_AC_size <= to_unsigned(4, VLC_AC_size'length); + VLC_AC <= resize("1010", VLC_AC'length); + when X"1" => + VLC_AC_size <= to_unsigned(2, VLC_AC_size'length); + VLC_AC <= resize("00", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(2, VLC_AC_size'length); + VLC_AC <= resize("01", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(3, VLC_AC_size'length); + VLC_AC <= resize("100", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(4, VLC_AC_size'length); + VLC_AC <= resize("1011", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(5, VLC_AC_size'length); + VLC_AC <= resize("11010", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); + VLC_AC <= resize("1111000", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(8, VLC_AC_size'length); + VLC_AC <= resize("11111000", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); + VLC_AC <= resize("1111110110", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110000010", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110000011", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"1" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(4, VLC_AC_size'length); + VLC_AC <= resize("1100", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(5, VLC_AC_size'length); + VLC_AC <= resize("11011", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); + VLC_AC <= resize("1111001", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111110110", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); + VLC_AC <= resize("11111110110", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110000100", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110000101", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110000110", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110000111", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001000", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"2" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(5, VLC_AC_size'length); + VLC_AC <= resize("11100", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(8, VLC_AC_size'length); + VLC_AC <= resize("11111001", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); + VLC_AC <= resize("1111110111", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); + VLC_AC <= resize("111111110100", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001001", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001010", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001011", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001100", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001101", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001110", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"3" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(6, VLC_AC_size'length); + VLC_AC <= resize("111010", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111110111", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); + VLC_AC <= resize("111111110101", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110001111", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010000", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010001", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010010", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010011", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010100", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010101", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"4" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(6, VLC_AC_size'length); + VLC_AC <= resize("111011", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); + VLC_AC <= resize("1111111000", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010110", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110010111", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011000", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011001", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011010", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011011", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011100", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011101", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"5" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); + VLC_AC <= resize("1111010", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); + VLC_AC <= resize("11111110111", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011110", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110011111", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100000", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100001", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100010", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100011", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100100", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100101", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"6" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); + VLC_AC <= resize("1111011", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); + VLC_AC <= resize("111111110110", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100110", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110100111", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101000", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101001", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101010", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101011", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101100", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101101", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"7" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(8, VLC_AC_size'length); + VLC_AC <= resize("11111010", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); + VLC_AC <= resize("111111110111", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101110", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110101111", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110000", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110001", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110010", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110011", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110100", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110101", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"8" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111111000", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(15, VLC_AC_size'length); + VLC_AC <= resize("111111111000000", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110110", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110110111", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111000", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111001", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111010", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111011", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111100", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111101", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"9" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111111001", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111110", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111110111111", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000000", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000001", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000010", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000011", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000100", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000101", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000110", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"A" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); + VLC_AC <= resize("111111010", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111000111", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001000", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001001", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001010", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001011", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001100", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001101", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001110", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111001111", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"B" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); + VLC_AC <= resize("1111111001", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010000", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010001", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010010", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010011", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010100", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010101", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010110", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111010111", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011000", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"C" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); + VLC_AC <= resize("1111111010", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011001", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011010", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011011", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011100", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011101", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011110", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111011111", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100000", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100001", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"D" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); + VLC_AC <= resize("11111111000", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100010", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100011", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100100", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100101", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100110", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111100111", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101000", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101001", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101010", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"E" => + + case VLI_size is + when X"1" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101011", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101100", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101101", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101110", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111101111", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110000", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110001", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110010", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110011", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110100", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when X"F" => + + case VLI_size is + when X"0" => + VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); + VLC_AC <= resize("11111111001", VLC_AC'length); + when X"1" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110101", VLC_AC'length); + when X"2" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110110", VLC_AC'length); + when X"3" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111110111", VLC_AC'length); + when X"4" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111000", VLC_AC'length); + when X"5" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111001", VLC_AC'length); + when X"6" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111010", VLC_AC'length); + when X"7" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111011", VLC_AC'length); + when X"8" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111100", VLC_AC'length); + when X"9" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111101", VLC_AC'length); + when X"A" => + VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); + VLC_AC <= resize("1111111111111110", VLC_AC'length); + when others => + VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); + VLC_AC <= resize("0", VLC_AC'length); + end case; + + when others => + VLC_AC_size <= (others => '0'); + VLC_AC <= (others => '0'); + end case; + end if; + end process; + + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/huffman/DC_ROM.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/huffman/DC_ROM.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/huffman/DC_ROM.vhd (revision 48) @@ -0,0 +1,127 @@ +------------------------------------------------------------------------------- +-- File Name : DC_ROM.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : DC_ROM +-- +-- Content : DC_ROM Luminance +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090228: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity DC_ROM is + port + ( + CLK : in std_logic; + RST : in std_logic; + VLI_size : in std_logic_vector(3 downto 0); + + VLC_DC_size : out std_logic_vector(3 downto 0); + VLC_DC : out unsigned(8 downto 0) + ); +end entity DC_ROM; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of DC_ROM is + + + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + ------------------------------------------------------------------- + -- DC-ROM + ------------------------------------------------------------------- + p_dc_rom : process(CLK, RST) + begin + if RST = '1' then + VLC_DC_size <= X"0"; + VLC_DC <= (others => '0'); + elsif CLK'event and CLK = '1' then + case VLI_size is + when X"0" => + VLC_DC_size <= X"2"; + VLC_DC <= resize("00", VLC_DC'length); + when X"1" => + VLC_DC_size <= X"3"; + VLC_DC <= resize("010", VLC_DC'length); + when X"2" => + VLC_DC_size <= X"3"; + VLC_DC <= resize("011", VLC_DC'length); + when X"3" => + VLC_DC_size <= X"3"; + VLC_DC <= resize("100", VLC_DC'length); + when X"4" => + VLC_DC_size <= X"3"; + VLC_DC <= resize("101", VLC_DC'length); + when X"5" => + VLC_DC_size <= X"3"; + VLC_DC <= resize("110", VLC_DC'length); + when X"6" => + VLC_DC_size <= X"4"; + VLC_DC <= resize("1110", VLC_DC'length); + when X"7" => + VLC_DC_size <= X"5"; + VLC_DC <= resize("11110", VLC_DC'length); + when X"8" => + VLC_DC_size <= X"6"; + VLC_DC <= resize("111110", VLC_DC'length); + when X"9" => + VLC_DC_size <= X"7"; + VLC_DC <= resize("1111110", VLC_DC'length); + when X"A" => + VLC_DC_size <= X"8"; + VLC_DC <= resize("11111110", VLC_DC'length); + when X"B" => + VLC_DC_size <= X"9"; + VLC_DC <= resize("111111110", VLC_DC'length); + when others => + VLC_DC_size <= X"0"; + VLC_DC <= (others => '0'); + end case; + end if; + end process; + + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/huffman/DoubleFifo.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/huffman/DoubleFifo.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/huffman/DoubleFifo.vhd (revision 48) @@ -0,0 +1,178 @@ +------------------------------------------------------------------------------- +-- File Name : DoubleFifo.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : DoubleFifo +-- +-- Content : DoubleFifo +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090228: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity DoubleFifo is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- HUFFMAN + data_in : in std_logic_vector(7 downto 0); + wren : in std_logic; + -- BYTE STUFFER + buf_sel : in std_logic; + rd_req : in std_logic; + fifo_empty : out std_logic; + data_out : out std_logic_vector(7 downto 0) + ); +end entity DoubleFifo; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of DoubleFifo is + + signal fifo1_rd : std_logic; + signal fifo1_wr : std_logic; + signal fifo1_q : std_logic_vector(7 downto 0); + signal fifo1_full : std_logic; + signal fifo1_empty : std_logic; + signal fifo1_count : std_logic_vector(7 downto 0); + + signal fifo2_rd : std_logic; + signal fifo2_wr : std_logic; + signal fifo2_q : std_logic_vector(7 downto 0); + signal fifo2_full : std_logic; + signal fifo2_empty : std_logic; + signal fifo2_count : std_logic_vector(7 downto 0); + + signal fifo_data_in : std_logic_vector(7 downto 0); +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + ------------------------------------------------------------------- + -- FIFO 1 + ------------------------------------------------------------------- + U_FIFO_1 : entity work.FIFO + generic map + ( + DATA_WIDTH => 8, + ADDR_WIDTH => 7 + ) + port map + ( + rst => RST, + clk => CLK, + rinc => fifo1_rd, + winc => fifo1_wr, + datai => fifo_data_in, + + datao => fifo1_q, + fullo => fifo1_full, + emptyo => fifo1_empty, + count => fifo1_count + ); + + ------------------------------------------------------------------- + -- FIFO 2 + ------------------------------------------------------------------- + U_FIFO_2 : entity work.FIFO + generic map + ( + DATA_WIDTH => 8, + ADDR_WIDTH => 7 + ) + port map + ( + rst => RST, + clk => CLK, + rinc => fifo2_rd, + winc => fifo2_wr, + datai => fifo_data_in, + + datao => fifo2_q, + fullo => fifo2_full, + emptyo => fifo2_empty, + count => fifo2_count + ); + + ------------------------------------------------------------------- + -- mux2 + ------------------------------------------------------------------- + p_mux2 : process(CLK, RST) + begin + if RST = '1' then + fifo1_wr <= '0'; + fifo2_wr <= '0'; + fifo_data_in <= (others => '0'); + elsif CLK'event and CLK = '1' then + if buf_sel = '0' then + fifo1_wr <= wren; + else + fifo2_wr <= wren; + end if; + fifo_data_in <= data_in; + end if; + end process; + + ------------------------------------------------------------------- + -- mux3 + ------------------------------------------------------------------- + p_mux3 : process(CLK, RST) + begin + if RST = '1' then + data_out <= (others => '0'); + fifo1_rd <= '0'; + fifo2_rd <= '0'; + fifo_empty <= '0'; + elsif CLK'event and CLK = '1' then + if buf_sel = '1' then + data_out <= fifo1_q; + fifo1_rd <= rd_req; + fifo_empty <= fifo1_empty; + else + data_out <= fifo2_q; + fifo2_rd <= rd_req; + fifo_empty <= fifo2_empty; + end if; + end if; + end process; + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/JFIFGen/JFIFGen.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/JFIFGen/JFIFGen.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/JFIFGen/JFIFGen.vhd (revision 48) @@ -0,0 +1,284 @@ +------------------------------------------------------------------------------- +-- File Name : JFIFGen.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : JFIFGen +-- +-- Content : JFIF Header Generator +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090309: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +library work; + use work.JPEG_PKG.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity JFIFGen is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- CTRL + start : in std_logic; + ready : out std_logic; + eoi : in std_logic; + + -- ByteStuffer + num_enc_bytes : in std_logic_vector(23 downto 0); + + -- HOST IF + qwren : in std_logic; + qwaddr : in std_logic_vector(6 downto 0); + qwdata : in std_logic_vector(7 downto 0); + image_size_reg : in std_logic_vector(31 downto 0); + image_size_reg_wr : in std_logic; + + -- OUT RAM + ram_byte : out std_logic_vector(7 downto 0); + ram_wren : out std_logic; + ram_wraddr : out std_logic_vector(23 downto 0) + ); +end entity JFIFGen; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of JFIFGen is + + constant C_SIZE_Y_H : integer := 25; + constant C_SIZE_Y_L : integer := 26; + constant C_SIZE_X_H : integer := 27; + constant C_SIZE_X_L : integer := 28; + + constant C_EOI : std_logic_vector(15 downto 0) := X"FFD9"; + constant C_QLUM_BASE : integer := 44; + constant C_QCHR_BASE : integer := 44+69; + + + signal hr_data : std_logic_vector(7 downto 0); + signal hr_waddr : std_logic_vector(9 downto 0); + signal hr_raddr : std_logic_vector(9 downto 0); + signal hr_we : std_logic; + signal hr_q : std_logic_vector(7 downto 0); + signal size_wr_cnt : unsigned(2 downto 0); + signal size_wr : std_logic; + signal rd_cnt : unsigned(9 downto 0); + signal rd_en : std_logic; + signal rd_en_d1 : std_logic; + signal rd_cnt_d1 : unsigned(rd_cnt'range); + signal rd_cnt_d2 : unsigned(rd_cnt'range); + signal eoi_cnt : unsigned(1 downto 0); + signal eoi_wr : std_logic; + signal eoi_wr_d1 : std_logic; + + component HeaderRam is + port + ( + d : in STD_LOGIC_VECTOR(7 downto 0); + waddr : in STD_LOGIC_VECTOR(9 downto 0); + raddr : in STD_LOGIC_VECTOR(9 downto 0); + we : in STD_LOGIC; + clk : in STD_LOGIC; + + q : out STD_LOGIC_VECTOR(7 downto 0) + ); + end component; + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + ------------------------------------------------------------------- + -- Header RAM + ------------------------------------------------------------------- + U_Header_RAM : HeaderRam + port map + ( + d => hr_data, + waddr => hr_waddr, + raddr => hr_raddr, + we => hr_we, + clk => CLK, + + q => hr_q + ); + + hr_raddr <= std_logic_vector(rd_cnt); + + ------------------------------------------------------------------- + -- Host programming + ------------------------------------------------------------------- + p_host_wr : process(CLK, RST) + begin + if RST = '1' then + size_wr_cnt <= (others => '0'); + size_wr <= '0'; + hr_we <= '0'; + hr_data <= (others => '0'); + hr_waddr <= (others => '0'); + elsif CLK'event and CLK = '1' then + hr_we <= '0'; + + if image_size_reg_wr = '1' then + size_wr_cnt <= (others => '0'); + size_wr <= '1'; + end if; + + -- write image size + if size_wr = '1' then + if size_wr_cnt = 4 then + size_wr_cnt <= (others => '0'); + size_wr <= '0'; + else + size_wr_cnt <= size_wr_cnt + 1; + hr_we <= '1'; + case size_wr_cnt is + -- height H byte + when "000" => + hr_data <= image_size_reg(15 downto 8); + hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_Y_H,hr_waddr'length)); + -- height L byte + when "001" => + hr_data <= image_size_reg(7 downto 0); + hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_Y_L,hr_waddr'length)); + -- width H byte + when "010" => + hr_data <= image_size_reg(31 downto 24); + hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_X_H,hr_waddr'length)); + -- width L byte + when "011" => + hr_data <= image_size_reg(23 downto 16); + hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_X_L,hr_waddr'length)); + when others => + null; + end case; + end if; + -- write Quantization table + elsif qwren = '1' then + -- luminance table select + if qwaddr(6) = '0' then + hr_waddr <= std_logic_vector + ( resize(unsigned(qwaddr(5 downto 0)),hr_waddr'length) + + to_unsigned(C_QLUM_BASE,hr_waddr'length)); + else + -- chrominance table select + hr_waddr <= std_logic_vector + ( resize(unsigned(qwaddr(5 downto 0)),hr_waddr'length) + + to_unsigned(C_QCHR_BASE,hr_waddr'length)); + end if; + hr_we <= '1'; + hr_data <= qwdata; + end if; + + end if; + end process; + + ------------------------------------------------------------------- + -- CTRL + ------------------------------------------------------------------- + p_ctrl : process(CLK, RST) + begin + if RST = '1' then + ready <= '0'; + rd_en <= '0'; + rd_cnt <= (others => '0'); + rd_cnt_d1 <= (others => '0'); + rd_cnt_d2 <= (others => '0'); + rd_cnt_d1 <= (others => '0'); + rd_en_d1 <= '0'; + eoi_wr_d1 <= '0'; + eoi_wr <= '0'; + eoi_cnt <= (others => '0'); + ram_wren <= '0'; + ram_byte <= (others => '0'); + ram_wraddr <= (others => '0'); + elsif CLK'event and CLK = '1' then + ready <= '0'; + rd_cnt_d1 <= rd_cnt; + rd_cnt_d2 <= rd_cnt_d1; + rd_en_d1 <= rd_en; + eoi_wr_d1 <= eoi_wr; + + -- defaults: encoded data write + ram_wren <= rd_en_d1; + ram_wraddr <= std_logic_vector(resize(rd_cnt_d1,ram_wraddr'length)); + ram_byte <= hr_q; + + -- start JFIF + if start = '1' and eoi = '0' then + rd_cnt <= (others => '0'); + rd_en <= '1'; + elsif start = '1' and eoi = '1' then + eoi_wr <= '1'; + eoi_cnt <= (others => '0'); + end if; + + -- read JFIF Header + if rd_en = '1' then + if rd_cnt = C_HDR_SIZE-1 then + rd_en <= '0'; + ready <= '1'; + else + rd_cnt <= rd_cnt + 1; + end if; + end if; + + -- EOI MARKER write + if eoi_wr = '1' then + if eoi_cnt = 2 then + eoi_cnt <= (others => '0'); + eoi_wr <= '0'; + ready <= '1'; + else + eoi_cnt <= eoi_cnt + 1; + ram_wren <= '1'; + if eoi_cnt = 0 then + ram_byte <= C_EOI(15 downto 8); + ram_wraddr <= num_enc_bytes; + elsif eoi_cnt = 1 then + ram_byte <= C_EOI(7 downto 0); + ram_wraddr <= std_logic_vector(unsigned(num_enc_bytes) + + to_unsigned(1,ram_wraddr'length)); + end if; + end if; + end if; + end if; + end process; + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/JFIFGen/header.hex =================================================================== --- mkjpeg/branches/16rgb/trunk/design/JFIFGen/header.hex (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/JFIFGen/header.hex (revision 48) @@ -0,0 +1,623 @@ +FF +D8 +FF +E0 +00 +10 +4A +46 +49 +46 +00 +01 +01 +00 +00 +01 +00 +01 +00 +00 +FF +C0 +00 +11 +08 +01 +20 +01 +60 +03 +01 +11 +00 +02 +11 +01 +03 +11 +01 +FF +DB +00 +43 +00 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +FF +DB +00 +43 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +01 +FF +C4 +00 +1F +00 +00 +01 +05 +01 +01 +01 +01 +01 +01 +00 +00 +00 +00 +00 +00 +00 +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0A +0B +FF +C4 +00 +1F +01 +00 +03 +01 +01 +01 +01 +01 +01 +01 +01 +01 +00 +00 +00 +00 +00 +00 +01 +02 +03 +04 +05 +06 +07 +08 +09 +0A +0B +FF +C4 +00 +B5 +10 +00 +02 +01 +03 +03 +02 +04 +03 +05 +05 +04 +04 +00 +00 +01 +7D +01 +02 +03 +00 +04 +11 +05 +12 +21 +31 +41 +06 +13 +51 +61 +07 +22 +71 +14 +32 +81 +91 +A1 +08 +23 +42 +B1 +C1 +15 +52 +D1 +F0 +24 +33 +62 +72 +82 +09 +0A +16 +17 +18 +19 +1A +25 +26 +27 +28 +29 +2A +34 +35 +36 +37 +38 +39 +3A +43 +44 +45 +46 +47 +48 +49 +4A +53 +54 +55 +56 +57 +58 +59 +5A +63 +64 +65 +66 +67 +68 +69 +6A +73 +74 +75 +76 +77 +78 +79 +7A +83 +84 +85 +86 +87 +88 +89 +8A +92 +93 +94 +95 +96 +97 +98 +99 +9A +A2 +A3 +A4 +A5 +A6 +A7 +A8 +A9 +AA +B2 +B3 +B4 +B5 +B6 +B7 +B8 +B9 +BA +C2 +C3 +C4 +C5 +C6 +C7 +C8 +C9 +CA +D2 +D3 +D4 +D5 +D6 +D7 +D8 +D9 +DA +E1 +E2 +E3 +E4 +E5 +E6 +E7 +E8 +E9 +EA +F1 +F2 +F3 +F4 +F5 +F6 +F7 +F8 +F9 +FA +FF +C4 +00 +B5 +11 +00 +02 +01 +02 +04 +04 +03 +04 +07 +05 +04 +04 +00 +01 +02 +77 +00 +01 +02 +03 +11 +04 +05 +21 +31 +06 +12 +41 +51 +07 +61 +71 +13 +22 +32 +81 +08 +14 +42 +91 +A1 +B1 +C1 +09 +23 +33 +52 +F0 +15 +62 +72 +D1 +0A +16 +24 +34 +E1 +25 +F1 +17 +18 +19 +1A +26 +27 +28 +29 +2A +35 +36 +37 +38 +39 +3A +43 +44 +45 +46 +47 +48 +49 +4A +53 +54 +55 +56 +57 +58 +59 +5A +63 +64 +65 +66 +67 +68 +69 +6A +73 +74 +75 +76 +77 +78 +79 +7A +82 +83 +84 +85 +86 +87 +88 +89 +8A +92 +93 +94 +95 +96 +97 +98 +99 +9A +A2 +A3 +A4 +A5 +A6 +A7 +A8 +A9 +AA +B2 +B3 +B4 +B5 +B6 +B7 +B8 +B9 +BA +C2 +C3 +C4 +C5 +C6 +C7 +C8 +C9 +CA +D2 +D3 +D4 +D5 +D6 +D7 +D8 +D9 +DA +E2 +E3 +E4 +E5 +E6 +E7 +E8 +E9 +EA +F2 +F3 +F4 +F5 +F6 +F7 +F8 +F9 +FA +FF +DA +00 +0C +03 +01 +00 +02 +11 +03 +11 +00 +3F +00 Index: mkjpeg/branches/16rgb/trunk/design/JFIFGen/HeaderRAM.v =================================================================== --- mkjpeg/branches/16rgb/trunk/design/JFIFGen/HeaderRAM.v (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/JFIFGen/HeaderRAM.v (revision 48) @@ -0,0 +1,21 @@ +module HeaderRam(d, waddr, raddr, we, clk, q); +output [7:0] q; +input [7:0] d; +input[9:0] raddr; +input[9:0] waddr; +input clk, we; + +reg [9:0] read_addr; +reg[7:0] mem [1023:0] /* synthesis syn_ramstyle="block_ram" */; + +initial $readmemh("../design/jfifgen/header.hex", mem); + +assign q = mem[read_addr]; + +always @(posedge clk) begin +if (we) +mem[waddr] <= d; +read_addr <= raddr; +end + +endmodule Index: mkjpeg/branches/16rgb/trunk/design/bytestuffer/ByteStuffer.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/bytestuffer/ByteStuffer.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/bytestuffer/ByteStuffer.vhd (revision 48) @@ -0,0 +1,220 @@ +------------------------------------------------------------------------------- +-- File Name : ByteStuffer.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : ByteStuffer +-- +-- Content : ByteStuffer +-- +-- Description : ByteStuffer core +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090301: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- +library work; + use work.JPEG_PKG.all; +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity ByteStuffer is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- CTRL + start_pb : in std_logic; + ready_pb : out std_logic; + + -- HOST IF + sof : in std_logic; + num_enc_bytes : out std_logic_vector(23 downto 0); + outram_base_addr : in std_logic_vector(9 downto 0); + + -- Huffman + huf_buf_sel : out std_logic; + huf_fifo_empty : in std_logic; + huf_rd_req : out std_logic; + huf_packed_byte : in std_logic_vector(7 downto 0); + + -- OUT RAM + ram_byte : out std_logic_vector(7 downto 0); + ram_wren : out std_logic; + ram_wraddr : out std_logic_vector(23 downto 0) + ); +end entity ByteStuffer; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of ByteStuffer is + + signal huf_data_val : std_logic_vector(3 downto 0); + signal wdata_reg : std_logic_vector(15 downto 0); + signal wraddr : unsigned(23 downto 0); + signal wr_n_cnt : unsigned(1 downto 0); + signal huf_buf_sel_s : std_logic; + signal rd_en : std_logic; + signal rd_en_d1 : std_logic; + signal huf_rd_req_s : std_logic; + signal latch_byte : std_logic_vector(7 downto 0); + signal data_valid : std_logic; + signal wait_for_ndata : std_logic; + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + huf_buf_sel <= huf_buf_sel_s; + huf_rd_req <= huf_rd_req_s; + + ------------------------------------------------------------------- + -- CTRL_SM + ------------------------------------------------------------------- + p_ctrl_sm : process(CLK, RST) + begin + if RST = '1' then + wr_n_cnt <= (others => '0'); + ready_pb <= '0'; + huf_rd_req_s <= '0'; + huf_data_val <= (others => '0'); + rd_en <= '0'; + rd_en_d1 <= '0'; + wdata_reg <= (others => '0'); + ram_wren <= '0'; + wraddr <= (others => '0'); + ram_wraddr <= (others => '0'); + ram_byte <= (others => '0'); + latch_byte <= (others => '0'); + wait_for_ndata <= '0'; + data_valid <= '0'; + elsif CLK'event and CLK = '1' then + huf_rd_req_s <= '0'; + ready_pb <= '0'; + huf_data_val <= huf_data_val(huf_data_val'length-2 downto 0) & huf_rd_req_s; + rd_en_d1 <= rd_en; + ram_wren <= '0'; + data_valid <= '0'; + + if start_pb = '1' then + rd_en <= '1'; + end if; + + -- read FIFO until it becomes empty. wait until last byte read is + -- serviced + if rd_en_d1 = '1' and wait_for_ndata = '0' then + -- FIFO empty + if huf_fifo_empty = '1' then + rd_en <= '0'; + rd_en_d1 <= '0'; + ready_pb <= '1'; + else + huf_rd_req_s <= '1'; + wait_for_ndata <= '1'; + end if; + end if; + + -- show ahead FIFO, capture data early + if huf_rd_req_s = '1' then + latch_byte <= huf_packed_byte; + data_valid <= '1'; + end if; + + if huf_data_val(1) = '1' then + wait_for_ndata <= '0'; + end if; + + -- data from FIFO is valid + if data_valid = '1' then + -- stuffing necessary + if latch_byte = X"FF" then + -- two writes are necessary for byte stuffing + wr_n_cnt <= "10"; + wdata_reg <= X"FF00"; + -- no stuffing + else + wr_n_cnt <= "01"; + wdata_reg <= X"00" & latch_byte; + end if; + end if; + + if wr_n_cnt > 0 then + wr_n_cnt <= wr_n_cnt - 1; + ram_wren <= '1'; + wraddr <= wraddr + 1; + end if; + -- delayed to make address post-increment + ram_wraddr <= std_logic_vector(wraddr); + + -- stuffing + if wr_n_cnt = 2 then + ram_byte <= wdata_reg(15 downto 8); + elsif wr_n_cnt = 1 then + ram_byte <= wdata_reg(7 downto 0); + end if; + + if sof = '1' then + wraddr <= to_unsigned(C_HDR_SIZE,wraddr'length); + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- HUFFMAN buf_sel + ------------------------------------------------------------------- + p_huf_buf_sel : process(CLK, RST) + begin + if RST = '1' then + huf_buf_sel_s <= '0'; + elsif CLK'event and CLK = '1' then + if start_pb = '1' then + huf_buf_sel_s <= not huf_buf_sel_s; + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- num_enc_bytes + ------------------------------------------------------------------- + p_num_enc_bytes : process(CLK, RST) + begin + if RST = '1' then + num_enc_bytes <= (others => '0'); + elsif CLK'event and CLK = '1' then + -- plus 2 for EOI marker last bytes + num_enc_bytes <= std_logic_vector(wraddr + 2); + end if; + end process; + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/quantizer/QUANT_TOP.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/quantizer/QUANT_TOP.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/quantizer/QUANT_TOP.VHD (revision 48) @@ -0,0 +1,233 @@ +------------------------------------------------------------------------------- +-- File Name : QUANT_TOP.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : QUANT_TOP +-- +-- Content : Quantizer Top level +-- +-- Description : Quantizer Top level +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090328: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- +library work; + use work.JPEG_PKG.all; +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity QUANT_TOP is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- CTRL + start_pb : in std_logic; + ready_pb : out std_logic; + qua_sm_settings : in T_SM_SETTINGS; + + -- RLE + rle_buf_sel : in std_logic; + rle_rdaddr : in std_logic_vector(5 downto 0); + rle_data : out std_logic_vector(11 downto 0); + + -- ZIGZAG + zig_buf_sel : out std_logic; + zig_rd_addr : out std_logic_vector(5 downto 0); + zig_data : in std_logic_vector(11 downto 0); + + -- HOST + qdata : in std_logic_vector(7 downto 0); + qaddr : in std_logic_vector(6 downto 0); + qwren : in std_logic + ); +end entity QUANT_TOP; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of QUANT_TOP is + + signal dbuf_data : std_logic_vector(11 downto 0); + signal dbuf_q : std_logic_vector(11 downto 0); + signal dbuf_we : std_logic; + signal dbuf_waddr : std_logic_vector(6 downto 0); + signal dbuf_raddr : std_logic_vector(6 downto 0); + signal zigzag_di : std_logic_vector(11 downto 0); + signal zigzag_divalid : std_logic; + signal quant_dout : std_logic_vector(11 downto 0); + signal quant_dovalid : std_logic; + signal wr_cnt : unsigned(5 downto 0); + signal rd_cnt : unsigned(5 downto 0); + signal rd_en_d : std_logic_vector(5 downto 0); + signal rd_en : std_logic; + signal zig_buf_sel_s : std_logic; + signal zz_rd_addr : std_logic_vector(5 downto 0); + signal fifo_empty : std_logic; + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + zig_rd_addr <= std_logic_vector(rd_cnt); + rle_data <= dbuf_q; + zig_buf_sel <= zig_buf_sel_s; + + zigzag_di <= zig_data; + zigzag_divalid <= rd_en_d(0); + + ------------------------------------------------------------------- + -- Quantizer + ------------------------------------------------------------------- + U_quantizer : entity work.quantizer + generic map + ( + SIZE_C => 12, + RAMQADDR_W => 7, + RAMQDATA_W => 8 + ) + port map + ( + rst => RST, + clk => CLK, + di => zigzag_di, + divalid => zigzag_divalid, + qdata => qdata, + qwaddr => qaddr, + qwren => qwren, + cmp_idx => qua_sm_settings.cmp_idx, + + do => quant_dout, + dovalid => quant_dovalid + ); + + ------------------------------------------------------------------- + -- DBUF + ------------------------------------------------------------------- + U_RAMZ : entity work.RAMZ + generic map + ( + RAMADDR_W => 7, + RAMDATA_W => 12 + ) + port map + ( + d => dbuf_data, + waddr => dbuf_waddr, + raddr => dbuf_raddr, + we => dbuf_we, + clk => CLK, + + q => dbuf_q + ); + + dbuf_data <= quant_dout; + dbuf_waddr <= (not rle_buf_sel) & std_logic_vector(wr_cnt); + dbuf_we <= quant_dovalid; + dbuf_raddr <= rle_buf_sel & rle_rdaddr; + + ------------------------------------------------------------------- + -- Counter1 + ------------------------------------------------------------------- + p_counter1 : process(CLK, RST) + begin + if RST = '1' then + rd_en <= '0'; + rd_en_d <= (others => '0'); + rd_cnt <= (others => '0'); + elsif CLK'event and CLK = '1' then + rd_en_d <= rd_en_d(rd_en_d'length-2 downto 0) & rd_en; + + if start_pb = '1' then + rd_cnt <= (others => '0'); + rd_en <= '1'; + end if; + + if rd_en = '1' then + if rd_cnt = 64-1 then + rd_cnt <= (others => '0'); + rd_en <= '0'; + else + rd_cnt <= rd_cnt + 1; + end if; + end if; + + end if; + end process; + + ------------------------------------------------------------------- + -- wr_cnt + ------------------------------------------------------------------- + p_wr_cnt : process(CLK, RST) + begin + if RST = '1' then + wr_cnt <= (others => '0'); + ready_pb <= '0'; + elsif CLK'event and CLK = '1' then + ready_pb <= '0'; + + if start_pb = '1' then + wr_cnt <= (others => '0'); + end if; + + if quant_dovalid = '1' then + if wr_cnt = 64-1 then + wr_cnt <= (others => '0'); + else + wr_cnt <=wr_cnt + 1; + end if; + + -- give ready ahead to save cycles! + if wr_cnt = 64-1-3 then + ready_pb <= '1'; + end if; + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- zig_buf_sel + ------------------------------------------------------------------- + p_buf_sel : process(CLK, RST) + begin + if RST = '1' then + zig_buf_sel_s <= '0'; + elsif CLK'event and CLK = '1' then + if start_pb = '1' then + zig_buf_sel_s <= not zig_buf_sel_s; + end if; + end if; + end process; + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/quantizer/QUANTIZER.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/quantizer/QUANTIZER.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/quantizer/QUANTIZER.vhd (revision 48) @@ -0,0 +1,156 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006-2009 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Title : DIVIDER -- +-- Design : DCT QUANTIZER -- +-- Author : Michal Krepa -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- File : QUANTIZER.VHD -- +-- Created : Sun Aug 27 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + +library IEEE; + use IEEE.STD_LOGIC_1164.All; + use IEEE.NUMERIC_STD.all; + +entity quantizer is + generic + ( + SIZE_C : INTEGER := 12; + RAMQADDR_W : INTEGER := 7; + RAMQDATA_W : INTEGER := 8 + ); + port + ( + rst : in STD_LOGIC; + clk : in STD_LOGIC; + di : in STD_LOGIC_VECTOR(SIZE_C-1 downto 0); + divalid : in STD_LOGIC; + qdata : in std_logic_vector(7 downto 0); + qwaddr : in std_logic_vector(6 downto 0); + qwren : in std_logic; + cmp_idx : in unsigned(1 downto 0); + + do : out STD_LOGIC_VECTOR(SIZE_C-1 downto 0); + dovalid : out STD_LOGIC + ); +end quantizer; + +architecture rtl of quantizer is + + constant INTERN_PIPE_C : INTEGER := 3; + + signal romaddr_s : UNSIGNED(RAMQADDR_W-2 downto 0); + signal slv_romaddr_s : STD_LOGIC_VECTOR(RAMQADDR_W-1 downto 0); + signal romdatao_s : STD_LOGIC_VECTOR(RAMQDATA_W-1 downto 0); + signal divisor_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); + signal remainder_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); + signal do_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); + signal round_s : STD_LOGIC; + signal di_d1 : std_logic_vector(SIZE_C-1 downto 0); + + signal pipeline_reg : STD_LOGIC_VECTOR(4 downto 0); + signal sign_bit_pipe : std_logic_vector(SIZE_C+INTERN_PIPE_C+1-1 downto 0); + signal do_rdiv : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); + signal table_select : std_logic; + +begin + + ---------------------------- + -- RAMQ + ---------------------------- + U_RAMQ : entity work.RAMZ + generic map + ( + RAMADDR_W => RAMQADDR_W, + RAMDATA_W => RAMQDATA_W + ) + port map + ( + d => qdata, + waddr => qwaddr, + raddr => slv_romaddr_s, + we => qwren, + clk => CLK, + + q => romdatao_s + ); + + + + divisor_s(RAMQDATA_W-1 downto 0) <= romdatao_s; + divisor_s(SIZE_C-1 downto RAMQDATA_W) <= (others => '0'); + + r_divider : entity work.r_divider + port map + ( + rst => rst, + clk => clk, + a => di_d1, + d => romdatao_s, + + q => do_s + ) ; + do <= do_s; + slv_romaddr_s <= table_select & STD_LOGIC_VECTOR(romaddr_s); + + ------------------------------ + -- Quantization sub table select + ------------------------------ + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + table_select <= '0'; + else + -- luminance table select + if cmp_idx = 0 then + table_select <= '0'; + -- chrominance table select + else + table_select <= '1'; + end if; + end if; + end if; + end process; + + ---------------------------- + -- address incrementer + ---------------------------- + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + romaddr_s <= (others => '0'); + pipeline_reg <= (OTHERS => '0'); + di_d1 <= (OTHERS => '0'); + sign_bit_pipe <= (others => '0'); + else + if divalid = '1' then + romaddr_s <= romaddr_s + TO_UNSIGNED(1,romaddr_s'length); + end if; + + pipeline_reg <= pipeline_reg(pipeline_reg'length-2 downto 0) & divalid; + + di_d1 <= di; + + sign_bit_pipe <= sign_bit_pipe(sign_bit_pipe'length-2 downto 0) & di(SIZE_C-1); + end if; + end if; + end process; + + dovalid <= pipeline_reg(pipeline_reg'high); + +end rtl; +-------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/quantizer/ROMR.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/quantizer/ROMR.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/quantizer/ROMR.vhd (revision 48) @@ -0,0 +1,322 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2009 -- +-- -- +-------------------------------------------------------------------------------- +-- +-- Title : ROMR +-- Design : EV_JPEG_ENC +-- Author : Michal Krepa +-- +-------------------------------------------------------------------------------- +-- +-- File : ROMR.VHD +-- Created : Wed Mar 19 21:09 2009 +-- +-------------------------------------------------------------------------------- +-- +-- Description : Reciprocal of 1/X where X is 1..255 +-- +-------------------------------------------------------------------------------- + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use ieee.numeric_std.all; + +entity ROMR is + generic + ( + ROMADDR_W : INTEGER := 8; + ROMDATA_W : INTEGER := 16 + ); + port( + addr : in STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); + clk : in STD_LOGIC; + + datao : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0) + ); + +end ROMR; + +architecture RTL of ROMR is + + constant CK : integer := 256*256; + type ROMQ_TYPE is array (0 to 2**ROMADDR_W-1) + of INTEGER range 0 to 2**ROMDATA_W-1; + + constant rom : ROMQ_TYPE := + ( + 0, + 65535, + 32768, + 21845, + 16384, + 13107, + 10923, + 9362, + 8192, + 7282, + 6554, + 5958, + 5461, + 5041, + 4681, + 4369, + 4096, + 3855, + 3641, + 3449, + 3277, + 3121, + 2979, + 2849, + 2731, + 2621, + 2521, + 2427, + 2341, + 2260, + 2185, + 2114, + 2048, + 1986, + 1928, + 1872, + 1820, + 1771, + 1725, + 1680, + 1638, + 1598, + 1560, + 1524, + 1489, + 1456, + 1425, + 1394, + 1365, + 1337, + 1311, + 1285, + 1260, + 1237, + 1214, + 1192, + 1170, + 1150, + 1130, + 1111, + 1092, + 1074, + 1057, + 1040, + 1024, + 1008, + 993, + 978, + 964, + 950, + 936, + 923, + 910, + 898, + 886, + 874, + 862, + 851, + 840, + 830, + 819, + 809, + 799, + 790, + 780, + 771, + 762, + 753, + 745, + 736, + 728, + 720, + 712, + 705, + 697, + 690, + 683, + 676, + 669, + 662, + 655, + 649, + 643, + 636, + 630, + 624, + 618, + 612, + 607, + 601, + 596, + 590, + 585, + 580, + 575, + 570, + 565, + 560, + 555, + 551, + 546, + 542, + 537, + 533, + 529, + 524, + 520, + 516, + 512, + 508, + 504, + 500, + 496, + 493, + 489, + 485, + 482, + 478, + 475, + 471, + 468, + 465, + 462, + 458, + 455, + 452, + 449, + 446, + 443, + 440, + 437, + 434, + 431, + 428, + 426, + 423, + 420, + 417, + 415, + 412, + 410, + 407, + 405, + 402, + 400, + 397, + 395, + 392, + 390, + 388, + 386, + 383, + 381, + 379, + 377, + 374, + 372, + 370, + 368, + 366, + 364, + 362, + 360, + 358, + 356, + 354, + 352, + 350, + 349, + 347, + 345, + 343, + 341, + 340, + 338, + 336, + 334, + 333, + 331, + 329, + 328, + 326, + 324, + 323, + 321, + 320, + 318, + 317, + 315, + 314, + 312, + 311, + 309, + 308, + 306, + 305, + 303, + 302, + 301, + 299, + 298, + 297, + 295, + 294, + 293, + 291, + 290, + 289, + 287, + 286, + 285, + 284, + 282, + 281, + 280, + 279, + 278, + 277, + 275, + 274, + 273, + 272, + 271, + 270, + 269, + 267, + 266, + 265, + 264, + 263, + 262, + 261, + 260, + 259, + 258, + 257 + ); + + + + signal addr_reg : STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); +begin + + datao <= STD_LOGIC_VECTOR(TO_UNSIGNED( rom( TO_INTEGER(UNSIGNED(addr_reg)) ), ROMDATA_W)); + + process(clk) + begin + if clk = '1' and clk'event then + addr_reg <= addr; + end if; + end process; + +end RTL; Index: mkjpeg/branches/16rgb/trunk/design/quantizer/r_divider.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/quantizer/r_divider.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/quantizer/r_divider.vhd (revision 48) @@ -0,0 +1,124 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2009 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Title : DIVIDER -- +-- Design : Divider using reciprocal table -- +-- Author : Michal Krepa -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- File : R_DIVIDER.VHD -- +-- Created : Wed 18-03-2009 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- +-- MAIN DIVIDER top level +-------------------------------------------------------------------------------- +library IEEE; + use IEEE.STD_LOGIC_1164.All; + use IEEE.NUMERIC_STD.all; + +entity r_divider is + port + ( + rst : in STD_LOGIC; + clk : in STD_LOGIC; + a : in STD_LOGIC_VECTOR(11 downto 0); + d : in STD_LOGIC_VECTOR(7 downto 0); + + q : out STD_LOGIC_VECTOR(11 downto 0) + ) ; +end r_divider ; + +architecture rtl of r_divider is + + signal romr_datao : std_logic_vector(15 downto 0); + signal romr_addr : std_logic_vector(7 downto 0); + signal dividend : signed(11 downto 0); + signal dividend_d1 : unsigned(11 downto 0); + signal reciprocal : unsigned(15 downto 0); + signal mult_out : unsigned(27 downto 0); + signal mult_out_s : signed(11 downto 0); + signal signbit : std_logic; + signal signbit_d1 : std_logic; + signal signbit_d2 : std_logic; + signal signbit_d3 : std_logic; + signal round : std_logic; + +begin + + U_ROMR : entity work.ROMR + generic map + ( + ROMADDR_W => 8, + ROMDATA_W => 16 + ) + port map + ( + addr => romr_addr, + clk => CLK, + datao => romr_datao + ); + + romr_addr <= d; + reciprocal <= unsigned(romr_datao); + + dividend <= signed(a); + signbit <= dividend(dividend'high); + + rdiv : process(clk,rst) + begin + if rst = '1' then + mult_out <= (others => '0'); + mult_out_s <= (others => '0'); + dividend_d1 <= (others => '0'); + q <= (others => '0'); + signbit_d1 <= '0'; + signbit_d2 <= '0'; + signbit_d3 <= '0'; + round <= '0'; + elsif clk = '1' and clk'event then + signbit_d1 <= signbit; + signbit_d2 <= signbit_d1; + signbit_d3 <= signbit_d2; + if signbit = '1' then + dividend_d1 <= unsigned(0-dividend); + else + dividend_d1 <= unsigned(dividend); + end if; + + mult_out <= dividend_d1 * reciprocal; + + if signbit_d2 = '0' then + mult_out_s <= resize(signed(mult_out(27 downto 16)),mult_out_s'length); + else + mult_out_s <= resize(0-signed(mult_out(27 downto 16)),mult_out_s'length); + end if; + round <= mult_out(15); + + if signbit_d3 = '0' then + if round = '1' then + q <= std_logic_vector(mult_out_s + 1); + else + q <= std_logic_vector(mult_out_s); + end if; + else + if round = '1' then + q <= std_logic_vector(mult_out_s - 1); + else + q <= std_logic_vector(mult_out_s); + end if; + end if; + end if; + end process; + +end rtl; + + Index: mkjpeg/branches/16rgb/trunk/design/quantizer/s_divider.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/quantizer/s_divider.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/quantizer/s_divider.vhd (revision 48) @@ -0,0 +1,170 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006-2009 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Title : DIVIDER -- +-- Design : Signed Pipelined Divider core -- +-- Author : Michal Krepa -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- File : S_DIVIDER.VHD -- +-- Created : Sat Aug 26 2006 -- +-- Modified : Thu Mar 12 2009 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Description : Signed Pipelined Divider -- +-- -- +-- dividend allowable range of -2**SIZE_C to 2**SIZE_C-1 [SIGNED number] -- +-- divisor allowable range of 1 to (2**SIZE_C)/2-1 [UNSIGNED number] -- +-- pipeline latency is 2*SIZE_C+2 (time from latching input to result ready) -- +-- when pipeline is full new result is generated every clock cycle -- +-- Non-Restoring division algorithm -- +-- Use SIZE_C constant in divider entity to adjust bit width -- +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- +-- MAIN DIVIDER top level +-------------------------------------------------------------------------------- +library IEEE; + use IEEE.STD_LOGIC_1164.All; + use IEEE.NUMERIC_STD.all; + +entity s_divider is + generic + ( + SIZE_C : INTEGER := 32 + ) ; -- SIZE_C: Number of bits + port + ( + rst : in STD_LOGIC; + clk : in STD_LOGIC; + a : in STD_LOGIC_VECTOR(SIZE_C-1 downto 0) ; + d : in STD_LOGIC_VECTOR(SIZE_C-1 downto 0) ; + + q : out STD_LOGIC_VECTOR(SIZE_C-1 downto 0) ; + r : out STD_LOGIC_VECTOR(SIZE_C-1 downto 0) ; + round : out STD_LOGIC + ) ; +end s_divider ; + +architecture str of s_divider is + + type S_ARRAY is array(0 to SIZE_C+3) of unsigned(SIZE_C-1 downto 0); + type S2_ARRAY is array(0 to SIZE_C+1) of unsigned(2*SIZE_C-1 downto 0); + + signal d_s : S_ARRAY; + signal q_s : S_ARRAY; + signal r_s : S2_ARRAY; + signal diff : S_ARRAY; + signal qu_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); + signal ru_s : unsigned(SIZE_C-1 downto 0); + signal qu_s2 : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); + signal ru_s2 : unsigned(SIZE_C-1 downto 0); + signal d_reg : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); + signal pipeline_reg : STD_LOGIC_VECTOR(SIZE_C+3-1 downto 0); + signal r_reg : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); + +begin + + pipeline : process(clk,rst) + begin + if rst = '1' then + for k in 0 to SIZE_C loop + r_s(k) <= (others => '0'); + q_s(k) <= (others => '0'); + d_s(k) <= (others => '0'); + end loop; + pipeline_reg <= (others => '0'); + elsif clk = '1' and clk'event then + + -- negative number + if a(SIZE_C-1) = '1' then + -- negate negative number to create positive + r_s(0) <= unsigned(resize(unsigned(not(SIGNED(a)) + TO_SIGNED(1,SIZE_C)),2*SIZE_C)); + -- left shift + pipeline_reg <= pipeline_reg(pipeline_reg'high-1 downto 0) & '1'; + else + r_s(0) <= resize(unsigned(a),2*SIZE_C); + -- left shift + pipeline_reg <= pipeline_reg(pipeline_reg'high-1 downto 0) & '0'; + end if; + d_s(0) <= unsigned(d); + q_s(0) <= (others => '0'); + + -- pipeline + for k in 0 to SIZE_C loop + -- test remainder if positive/negative + if r_s(k)(2*SIZE_C-1) = '0' then + -- shift r_tmp one bit left and subtract d_tmp from upper part of r_tmp + r_s(k+1)(2*SIZE_C-1 downto SIZE_C) <= r_s(k)(2*SIZE_C-2 downto SIZE_C-1) - d_s(k); + else + r_s(k+1)(2*SIZE_C-1 downto SIZE_C) <= r_s(k)(2*SIZE_C-2 downto SIZE_C-1) + d_s(k); + end if; + -- shift r_tmp one bit left (lower part) + r_s(k+1)(SIZE_C-1 downto 0) <= r_s(k)(SIZE_C-2 downto 0) & '0'; + + if diff(k)(SIZE_C-1) = '0' then + q_s(k+1) <= q_s(k)(SIZE_C-2 downto 0) & '1'; + else + q_s(k+1) <= q_s(k)(SIZE_C-2 downto 0) & '0'; + end if; + + d_s(k+1) <= d_s(k); + end loop; + end if; + end process; + + G_DIFF: for x in 0 to SIZE_C generate + diff(x) <= r_s(x)(2*SIZE_C-2 downto SIZE_C-1) - d_s(x) when r_s(x)(2*SIZE_C-1) = '0' + else r_s(x)(2*SIZE_C-2 downto SIZE_C-1) + d_s(x); + end generate G_DIFF; + + qu_s <= STD_LOGIC_VECTOR( q_s(SIZE_C) ); + ru_s <= r_s(SIZE_C)(2*SIZE_C-1 downto SIZE_C); + + process(clk,rst) + begin + if rst = '1' then + q <= (others => '0'); + r_reg <= (others => '0'); + round <= '0'; + elsif clk = '1' and clk'event then + + + if ru_s(SIZE_C-1) = '0' then + ru_s2 <= (ru_s); + else + ru_s2 <= (unsigned(ru_s) + d_s(SIZE_C)); + end if; + qu_s2 <= qu_s; + + -- negative number + if pipeline_reg(SIZE_C+1) = '1' then + -- negate positive number to create negative + q <= STD_LOGIC_VECTOR(not(SIGNED(qu_s2)) + TO_SIGNED(1,SIZE_C)); + r_reg <= STD_LOGIC_VECTOR(not(SIGNED(ru_s2)) + TO_SIGNED(1,SIZE_C)); + else + q <= STD_LOGIC_VECTOR(qu_s2); + r_reg <= STD_LOGIC_VECTOR(ru_s2); + end if; + + -- if 2*remainder >= divisor then add 1 to round to nearest integer + if (ru_s2(SIZE_C-2 downto 0) & '0') >= d_s(SIZE_C+1) then + round <= '1'; + else + round <= '0'; + end if; + end if; + end process; + + -- remainder + r <= r_reg; + +end str; + + Index: mkjpeg/branches/16rgb/trunk/design/quantizer/ROMQ.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/quantizer/ROMQ.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/quantizer/ROMQ.vhd (revision 48) @@ -0,0 +1,92 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- +-- Title : DCT +-- Design : MDCT Core +-- Author : Michal Krepa +-- +-------------------------------------------------------------------------------- +-- +-- File : ROMQ.VHD +-- Created : Sun Aug 27 18:09 2006 +-- +-------------------------------------------------------------------------------- +-- +-- Description : ROM for DCT quantizer matrix +-- +-------------------------------------------------------------------------------- + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use ieee.numeric_std.all; + +entity ROMQ is + generic + ( + ROMADDR_W : INTEGER := 6; + ROMDATA_W : INTEGER := 8 + ); + port( + addr : in STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); + clk : in STD_LOGIC; + + datao : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0) + ); + +end ROMQ; + +architecture RTL of ROMQ is + + type ROMQ_TYPE is array (0 to 2**ROMADDR_W-1) + of INTEGER range 0 to 2**ROMDATA_W-1; + + constant rom : ROMQ_TYPE := + -- ( + -- 16,11,10,16,24,40,51,61, + -- 12,12,14,19,26,58,60,55, + -- 14,13,16,24,40,57,69,56, + -- 14,17,22,29,51,87,80,62, + -- 18,22,37,56,68,109,103,77, + -- 24,35,55,64,81,104,113,92, + -- 49,64,78,87,103,121,120,101, + -- 72,92,95,98,112,100,103,99); + ( + --8,6,6,7,6,5,8, + --7,7,7,9,9,8,10,12, + --20,13,12,11,11,12,25,18,19,15,20,29, + --26,31,30,29,26,28,28,32,36,46,39,32, + --34,44,35,28,28,40,55,41,44,48,49,52,52,52, + --31,39,57,61,56,50,60,46,51,52,50 + + + + + 1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1, + 1,1,1,1,1,1,1,1 + ); + + + + signal addr_reg : STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); +begin + + datao <= STD_LOGIC_VECTOR(TO_UNSIGNED( rom( TO_INTEGER(UNSIGNED(addr_reg)) ), ROMDATA_W)); + + process(clk) + begin + if clk = '1' and clk'event then + addr_reg <= addr; + end if; + end process; + +end RTL; Index: mkjpeg/branches/16rgb/trunk/design/zigzag/ZZ_TOP.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/zigzag/ZZ_TOP.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/zigzag/ZZ_TOP.VHD (revision 48) @@ -0,0 +1,247 @@ +------------------------------------------------------------------------------- +-- File Name : ZZ_TOP.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : ZZ_TOP +-- +-- Content : ZigZag Top level +-- +-- Description : Zig Zag scan +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090301: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- +library work; + use work.JPEG_PKG.all; +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity ZZ_TOP is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- CTRL + start_pb : in std_logic; + ready_pb : out std_logic; + zig_sm_settings : in T_SM_SETTINGS; + + -- Quantizer + qua_buf_sel : in std_logic; + qua_rdaddr : in std_logic_vector(5 downto 0); + qua_data : out std_logic_vector(11 downto 0); + + -- FDCT + fdct_buf_sel : out std_logic; + fdct_rd_addr : out std_logic_vector(5 downto 0); + fdct_data : in std_logic_vector(11 downto 0); + fdct_rden : out std_logic + ); +end entity ZZ_TOP; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of ZZ_TOP is + + signal dbuf_data : std_logic_vector(11 downto 0); + signal dbuf_q : std_logic_vector(11 downto 0); + signal dbuf_we : std_logic; + signal dbuf_waddr : std_logic_vector(6 downto 0); + signal dbuf_raddr : std_logic_vector(6 downto 0); + signal zigzag_di : std_logic_vector(11 downto 0); + signal zigzag_divalid : std_logic; + signal zigzag_dout : std_logic_vector(11 downto 0); + signal zigzag_dovalid : std_logic; + signal wr_cnt : unsigned(5 downto 0); + signal rd_cnt : unsigned(5 downto 0); + signal rd_en_d : std_logic_vector(5 downto 0); + signal rd_en : std_logic; + signal fdct_buf_sel_s : std_logic; + signal zz_rd_addr : std_logic_vector(5 downto 0); + signal fifo_empty : std_logic; + signal fifo_rden : std_logic; + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + fdct_rd_addr <= std_logic_vector(zz_rd_addr); + qua_data <= dbuf_q; + fdct_buf_sel <= fdct_buf_sel_s; + fdct_rden <= rd_en; + + ------------------------------------------------------------------- + -- ZigZag Core + ------------------------------------------------------------------- + U_zigzag : entity work.zigzag + generic map + ( + RAMADDR_W => 6, + RAMDATA_W => 12 + ) + port map + ( + rst => RST, + clk => CLK, + di => zigzag_di, + divalid => zigzag_divalid, + rd_addr => rd_cnt, + fifo_rden => fifo_rden, + + fifo_empty => fifo_empty, + dout => zigzag_dout, + dovalid => zigzag_dovalid, + zz_rd_addr => zz_rd_addr + ); + + zigzag_di <= fdct_data; + zigzag_divalid <= rd_en_d(1); + + ------------------------------------------------------------------- + -- DBUF + ------------------------------------------------------------------- + U_RAMZ : entity work.RAMZ + generic map + ( + RAMADDR_W => 7, + RAMDATA_W => 12 + ) + port map + ( + d => dbuf_data, + waddr => dbuf_waddr, + raddr => dbuf_raddr, + we => dbuf_we, + clk => CLK, + + q => dbuf_q + ); + + dbuf_data <= zigzag_dout; + dbuf_waddr <= (not qua_buf_sel) & std_logic_vector(wr_cnt); + dbuf_we <= zigzag_dovalid; + dbuf_raddr <= qua_buf_sel & qua_rdaddr; + + ------------------------------------------------------------------- + -- FIFO Ctrl + ------------------------------------------------------------------- + p_fifo_ctrl : process(CLK, RST) + begin + if RST = '1' then + fifo_rden <= '0'; + elsif CLK'event and CLK = '1' then + if fifo_empty = '0' then + fifo_rden <= '1'; + else + fifo_rden <= '0'; + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- Counter1 + ------------------------------------------------------------------- + p_counter1 : process(CLK, RST) + begin + if RST = '1' then + rd_en <= '0'; + rd_en_d <= (others => '0'); + rd_cnt <= (others => '0'); + elsif CLK'event and CLK = '1' then + rd_en_d <= rd_en_d(rd_en_d'length-2 downto 0) & rd_en; + + if start_pb = '1' then + rd_cnt <= (others => '0'); + rd_en <= '1'; + end if; + + if rd_en = '1' then + if rd_cnt = 64-1 then + rd_cnt <= (others => '0'); + rd_en <= '0'; + else + rd_cnt <= rd_cnt + 1; + end if; + end if; + + end if; + end process; + + ------------------------------------------------------------------- + -- wr_cnt + ------------------------------------------------------------------- + p_wr_cnt : process(CLK, RST) + begin + if RST = '1' then + wr_cnt <= (others => '0'); + ready_pb <= '0'; + elsif CLK'event and CLK = '1' then + ready_pb <= '0'; + + if start_pb = '1' then + wr_cnt <= (others => '0'); + end if; + + if zigzag_dovalid = '1' then + if wr_cnt = 64-1 then + wr_cnt <= (others => '0'); + else + wr_cnt <=wr_cnt + 1; + end if; + + -- give ready ahead to save cycles! + if wr_cnt = 64-1-3 then + ready_pb <= '1'; + end if; + + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- fdct_buf_sel + ------------------------------------------------------------------- + p_buf_sel : process(CLK, RST) + begin + if RST = '1' then + fdct_buf_sel_s <= '0'; + elsif CLK'event and CLK = '1' then + if start_pb = '1' then + fdct_buf_sel_s <= not fdct_buf_sel_s; + end if; + end if; + end process; + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/zigzag/ZIGZAG.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/zigzag/ZIGZAG.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/zigzag/ZIGZAG.VHD (revision 48) @@ -0,0 +1,123 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Title : ZIGZAG -- +-- Design : MDCT CORE -- +-- Author : Michal Krepa -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- File : ZIGZAG.VHD -- +-- Created : Sun Sep 3 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Description : Zig-Zag scan -- +-- -- +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + +library IEEE; + use IEEE.STD_LOGIC_1164.All; + use IEEE.NUMERIC_STD.all; + +entity zigzag is + generic + ( + RAMADDR_W : INTEGER := 6; + RAMDATA_W : INTEGER := 12 + ); + port + ( + rst : in STD_LOGIC; + clk : in STD_LOGIC; + di : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + divalid : in STD_LOGIC; + rd_addr : in unsigned(5 downto 0); + fifo_rden : in std_logic; + + fifo_empty : out std_logic; + dout : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + dovalid : out std_logic; + zz_rd_addr : out STD_LOGIC_VECTOR(5 downto 0) + ); +end zigzag; + +architecture rtl of zigzag is + + type ZIGZAG_TYPE is array (0 to 2**RAMADDR_W-1) of INTEGER range 0 to 2**RAMADDR_W-1; + constant ZIGZAG_ARRAY : ZIGZAG_TYPE := + ( + 0,1,8,16,9,2,3,10, + 17,24,32,25,18,11,4,5, + 12,19,26,33,40,48,41,34, + 27,20,13,6,7,14,21,28, + 35,42,49,56,57,50,43,36, + 29,22,15,23,30,37,44,51, + 58,59,52,45,38,31,39,46, + 53,60,61,54,47,55,62,63 + ); + + signal fifo_wr : std_logic; + signal fifo_q : std_logic_vector(11 downto 0); + signal fifo_full : std_logic; + signal fifo_count : std_logic_vector(6 downto 0); + signal fifo_data_in : std_logic_vector(11 downto 0); + signal fifo_empty_s : std_logic; + + +begin + + dout <= fifo_q; + fifo_empty <= fifo_empty_s; + + ------------------------------------------------------------------- + -- FIFO (show ahead) + ------------------------------------------------------------------- + U_FIFO : entity work.FIFO + generic map + ( + DATA_WIDTH => 12, + ADDR_WIDTH => 6 + ) + port map + ( + rst => RST, + clk => CLK, + rinc => fifo_rden, + winc => fifo_wr, + datai => fifo_data_in, + + datao => fifo_q, + fullo => fifo_full, + emptyo => fifo_empty_s, + count => fifo_count + ); + + fifo_wr <= divalid; + fifo_data_in <= di; + + + process(clk) + begin + if clk = '1' and clk'event then + if rst = '1' then + zz_rd_addr <= (others => '0'); + dovalid <= '0'; + else + zz_rd_addr <= std_logic_vector( + to_unsigned((ZIGZAG_ARRAY(to_integer(rd_addr))),6)); + + dovalid <= fifo_rden and not fifo_empty_s; + end if; + end if; + end process; + + +end rtl; +-------------------------------------------------------------------------------- Index: mkjpeg/branches/16rgb/trunk/design/hostif/HostIF.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/hostif/HostIF.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/hostif/HostIF.vhd (revision 48) @@ -0,0 +1,274 @@ +------------------------------------------------------------------------------- +-- File Name : HostIF.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : HostIF +-- +-- Content : Host Interface (Xilinx OPB v2.1) +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090301: (MK): Initial Creation. +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +entity HostIF is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- OPB + OPB_ABus : in std_logic_vector(31 downto 0); + OPB_BE : in std_logic_vector(3 downto 0); + OPB_DBus_in : in std_logic_vector(31 downto 0); + OPB_RNW : in std_logic; + OPB_select : in std_logic; + OPB_DBus_out : out std_logic_vector(31 downto 0); + OPB_XferAck : out std_logic; + OPB_retry : out std_logic; + OPB_toutSup : out std_logic; + OPB_errAck : out std_logic; + + -- Quantizer RAM + qdata : out std_logic_vector(7 downto 0); + qaddr : out std_logic_vector(6 downto 0); + qwren : out std_logic; + + -- CTRL + jpeg_ready : in std_logic; + jpeg_busy : in std_logic; + + -- ByteStuffer + outram_base_addr : out std_logic_vector(9 downto 0); + num_enc_bytes : in std_logic_vector(23 downto 0); + + -- others + img_size_x : out std_logic_vector(15 downto 0); + img_size_y : out std_logic_vector(15 downto 0); + img_size_wr : out std_logic; + sof : out std_logic; + cmp_max : out std_logic_vector(1 downto 0) + + ); +end entity HostIF; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of HostIF is + + constant C_ENC_START_REG : std_logic_vector(31 downto 0) := X"0000_0000"; + constant C_IMAGE_SIZE_REG : std_logic_vector(31 downto 0) := X"0000_0004"; + constant C_IMAGE_RAM_ACCESS_REG : std_logic_vector(31 downto 0) := X"0000_0008"; + constant C_ENC_STS_REG : std_logic_vector(31 downto 0) := X"0000_000C"; + constant C_COD_DATA_ADDR_REG : std_logic_vector(31 downto 0) := X"0000_0010"; + constant C_ENC_LENGTH_REG : std_logic_vector(31 downto 0) := X"0000_0014"; + constant C_QUANTIZER_RAM_LUM : std_logic_vector(31 downto 0) := + X"0000_01" & "------00"; + constant C_QUANTIZER_RAM_CHR : std_logic_vector(31 downto 0) := + X"0000_02" & "------00"; + constant C_IMAGE_RAM : std_logic_vector(31 downto 0) := + X"001" & "------------------00"; + + constant C_IMAGE_RAM_BASE : unsigned(31 downto 0) := X"0010_0000"; + + signal enc_start_reg : std_logic_vector(31 downto 0); + signal image_size_reg : std_logic_vector(31 downto 0); + signal image_ram_access_reg : std_logic_vector(31 downto 0); + signal enc_sts_reg : std_logic_vector(31 downto 0); + signal cod_data_addr_reg : std_logic_vector(31 downto 0); + signal enc_length_reg : std_logic_vector(31 downto 0); + + signal rd_dval : std_logic; + signal data_read : std_logic_vector(31 downto 0); + signal write_done : std_logic; + signal OPB_select_d : std_logic; + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + OPB_retry <= '0'; + OPB_toutSup <= '0'; + OPB_errAck <= '0'; + + img_size_x <= image_size_reg(31 downto 16); + img_size_y <= image_size_reg(15 downto 0); + + outram_base_addr <= cod_data_addr_reg(outram_base_addr'range); + + cmp_max <= enc_start_reg(2 downto 1); + + ------------------------------------------------------------------- + -- OPB read + ------------------------------------------------------------------- + p_read : process(CLK, RST) + begin + if RST = '1' then + OPB_DBus_out <= (others => '0'); + rd_dval <= '0'; + data_read <= (others => '0'); + elsif CLK'event and CLK = '1' then + rd_dval <= '0'; + + OPB_DBus_out <= data_read; + + if OPB_select = '1' and OPB_select_d = '0' then + -- only double word transactions are be supported + if OPB_RNW = '1' and OPB_BE = X"F" then + case OPB_ABus is + when C_ENC_START_REG => + data_read <= enc_start_reg; + rd_dval <= '1'; + + when C_IMAGE_SIZE_REG => + data_read <= image_size_reg; + rd_dval <= '1'; + + when C_IMAGE_RAM_ACCESS_REG => + data_read <= image_ram_access_reg; + rd_dval <= '1'; + + when C_ENC_STS_REG => + data_read <= enc_sts_reg; + rd_dval <= '1'; + + when C_COD_DATA_ADDR_REG => + data_read <= cod_data_addr_reg; + rd_dval <= '1'; + + when C_ENC_LENGTH_REG => + data_read <= enc_length_reg; + rd_dval <= '1'; + + when others => + data_read <= (others => '0'); + end case; + + end if; + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- OPB write + ------------------------------------------------------------------- + p_write : process(CLK, RST) + begin + if RST = '1' then + qwren <= '0'; + write_done <= '0'; + enc_start_reg <= (others => '0'); + image_size_reg <= (others => '0'); + image_ram_access_reg <= (others => '0'); + enc_sts_reg <= (others => '0'); + cod_data_addr_reg <= (others => '0'); + enc_length_reg <= (others => '0'); + qdata <= (others => '0'); + qaddr <= (others => '0'); + OPB_select_d <= '0'; + sof <= '0'; + img_size_wr <= '0'; + elsif CLK'event and CLK = '1' then + qwren <= '0'; + write_done <= '0'; + sof <= '0'; + img_size_wr <= '0'; + OPB_select_d <= OPB_select; + + if OPB_select = '1' and OPB_select_d = '0' then + -- only double word transactions are be supported + if OPB_RNW = '0' and OPB_BE = X"F" then + case OPB_ABus is + when C_ENC_START_REG => + enc_start_reg <= OPB_DBus_in; + write_done <= '1'; + if OPB_DBus_in(0) = '1' then + sof <= '1'; + end if; + + when C_IMAGE_SIZE_REG => + image_size_reg <= OPB_DBus_in; + img_size_wr <= '1'; + write_done <= '1'; + + when C_IMAGE_RAM_ACCESS_REG => + image_ram_access_reg <= OPB_DBus_in; + write_done <= '1'; + + when C_ENC_STS_REG => + enc_sts_reg <= (others => '0'); + write_done <= '1'; + + when C_COD_DATA_ADDR_REG => + cod_data_addr_reg <= OPB_DBus_in; + write_done <= '1'; + + when C_ENC_LENGTH_REG => + --enc_length_reg <= OPB_DBus_in; + write_done <= '1'; + + when others => + null; + end case; + + if std_match(OPB_ABus, C_QUANTIZER_RAM_LUM) then + qdata <= OPB_DBus_in(qdata'range); + qaddr <= '0' & OPB_ABus(qaddr'high+2-1 downto 2); + qwren <= '1'; + write_done <= '1'; + end if; + + if std_match(OPB_ABus, C_QUANTIZER_RAM_CHR) then + qdata <= OPB_DBus_in(qdata'range); + qaddr <= '1' & OPB_ABus(qaddr'high+2-1 downto 2); + qwren <= '1'; + write_done <= '1'; + end if; + + end if; + end if; + + -- special handling of status reg + if jpeg_ready = '1' then + -- set jpeg done flag + enc_sts_reg(1) <= '1'; + end if; + enc_sts_reg(0) <= jpeg_busy; + + enc_length_reg <= (others => '0'); + enc_length_reg(num_enc_bytes'range) <= num_enc_bytes; + + end if; + end process; + + ------------------------------------------------------------------- + -- transfer ACK + ------------------------------------------------------------------- + p_ack : process(CLK, RST) + begin + if RST = '1' then + OPB_XferAck <= '0'; + elsif CLK'event and CLK = '1' then + OPB_XferAck <= rd_dval or write_done; + end if; + end process; + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/mdct/FDCT.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/mdct/FDCT.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/mdct/FDCT.vhd (revision 48) @@ -0,0 +1,555 @@ +------------------------------------------------------------------------------- +-- File Name : FDCT.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : FDCT +-- +-- Content : FDCT +-- +-- Description : 2D Discrete Cosine Transform +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090301: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- +library work; + use work.JPEG_PKG.all; +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity FDCT is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- CTRL + start_pb : in std_logic; + ready_pb : out std_logic; + fdct_sm_settings : in T_SM_SETTINGS; + + -- BUF_FIFO + bf_block_cnt : out std_logic_vector(12 downto 0); + bf_fifo_rd : out std_logic; + bf_fifo_empty : in std_logic; + bf_fifo_q : in std_logic_vector(23 downto 0); + bf_fifo_hf_full : in std_logic; + + -- ZIG ZAG + zz_buf_sel : in std_logic; + zz_rd_addr : in std_logic_vector(5 downto 0); + zz_data : out std_logic_vector(11 downto 0); + zz_rden : in std_logic; + + -- HOST + img_size_x : in std_logic_vector(15 downto 0); + img_size_y : in std_logic_vector(15 downto 0); + sof : in std_logic + ); +end entity FDCT; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of FDCT is + + constant C_Y_1 : signed(14 downto 0) := to_signed(4899, 15); + constant C_Y_2 : signed(14 downto 0) := to_signed(9617, 15); + constant C_Y_3 : signed(14 downto 0) := to_signed(1868, 15); + constant C_Cb_1 : signed(14 downto 0) := to_signed(-2764, 15); + constant C_Cb_2 : signed(14 downto 0) := to_signed(-5428, 15); + constant C_Cb_3 : signed(14 downto 0) := to_signed(8192, 15); + constant C_Cr_1 : signed(14 downto 0) := to_signed(8192, 15); + constant C_Cr_2 : signed(14 downto 0) := to_signed(-6860, 15); + constant C_Cr_3 : signed(14 downto 0) := to_signed(-1332, 15); + + + signal mdct_data_in : std_logic_vector(7 downto 0); + signal mdct_idval : std_logic; + signal mdct_odval : std_logic; + signal mdct_data_out : std_logic_vector(11 downto 0); + signal odv1 : std_logic; + signal dcto1 : std_logic_vector(11 downto 0); + signal x_block_cnt : unsigned(15 downto 0); + signal y_block_cnt : unsigned(15 downto 0); + signal x_block_cnt_cur : unsigned(15 downto 0); + signal y_block_cnt_cur : unsigned(15 downto 0); + signal rd_addr : std_logic_vector(31 downto 0); + signal input_rd_cnt : unsigned(5 downto 0); + signal rd_en : std_logic; + signal rd_en_d1 : std_logic; + signal rdaddr : unsigned(31 downto 0); + signal bf_dval : std_logic_vector(3 downto 0); + signal wr_cnt : unsigned(5 downto 0); + signal dbuf_data : std_logic_vector(11 downto 0); + signal dbuf_q : std_logic_vector(11 downto 0); + signal dbuf_we : std_logic; + signal dbuf_waddr : std_logic_vector(6 downto 0); + signal dbuf_raddr : std_logic_vector(6 downto 0); + signal xw_cnt : unsigned(2 downto 0); + signal yw_cnt : unsigned(2 downto 0); + + signal dbuf_q_z1 : std_logic_vector(11 downto 0); + constant C_SIMA_ASZ : integer := 9; + signal sim_rd_addr : unsigned(C_SIMA_ASZ-1 downto 0); + signal Y_reg_1 : signed(23 downto 0); + signal Y_reg_2 : signed(23 downto 0); + signal Y_reg_3 : signed(23 downto 0); + signal Cb_reg_1 : signed(23 downto 0); + signal Cb_reg_2 : signed(23 downto 0); + signal Cb_reg_3 : signed(23 downto 0); + signal Cr_reg_1 : signed(23 downto 0); + signal Cr_reg_2 : signed(23 downto 0); + signal Cr_reg_3 : signed(23 downto 0); + signal Y_reg : signed(23 downto 0); + signal Cb_reg : signed(23 downto 0); + signal Cr_reg : signed(23 downto 0); + signal R_s : signed(8 downto 0); + signal G_s : signed(8 downto 0); + signal B_s : signed(8 downto 0); + signal Y_8bit : unsigned(7 downto 0); + signal Cb_8bit : unsigned(7 downto 0); + signal Cr_8bit : unsigned(7 downto 0); + signal cmp_idx : unsigned(1 downto 0); + signal cur_cmp_idx : unsigned(1 downto 0); + signal cur_cmp_idx_d1 : unsigned(1 downto 0); + signal cur_cmp_idx_d2 : unsigned(1 downto 0); + signal cur_cmp_idx_d3 : unsigned(1 downto 0); + signal cur_cmp_idx_d4 : unsigned(1 downto 0); + signal cur_cmp_idx_d5 : unsigned(1 downto 0); + signal cur_cmp_idx_d6 : unsigned(1 downto 0); + signal cur_cmp_idx_d7 : unsigned(1 downto 0); + signal cur_cmp_idx_d8 : unsigned(1 downto 0); + signal cur_cmp_idx_d9 : unsigned(1 downto 0); + signal fifo1_rd : std_logic; + signal fifo1_wr : std_logic; + signal fifo1_q : std_logic_vector(11 downto 0); + signal fifo1_full : std_logic; + signal fifo1_empty : std_logic; + signal fifo1_count : std_logic_vector(8 downto 0); + signal fifo1_rd_cnt : unsigned(5 downto 0); + signal fifo1_q_dval : std_logic; + signal fifo_data_in : std_logic_vector(11 downto 0); + signal fifo_rd_arm : std_logic; + + signal eoi_fdct : std_logic; + signal bf_fifo_rd_s : std_logic; + signal start_int : std_logic; + + signal fram1_data : std_logic_vector(23 downto 0); + signal fram1_q : std_logic_vector(23 downto 0); + signal fram1_we : std_logic; + signal fram1_waddr : std_logic_vector(5 downto 0); + signal fram1_raddr : std_logic_vector(5 downto 0); + signal fram1_rd_d : std_logic_vector(8 downto 0); + signal fram1_rd : std_logic; + signal bf_fifo_empty_d1 : std_logic; + signal rd_started : std_logic; + signal writing_en : std_logic; + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + zz_data <= dbuf_q; + + bf_fifo_rd <= bf_fifo_rd_s; + bf_block_cnt <= std_logic_vector(x_block_cnt_cur(15 downto 3)); + + ------------------------------------------------------------------- + -- FRAM1 + ------------------------------------------------------------------- + U_FRAM1 : entity work.RAMZ + generic map + ( + RAMADDR_W => 6, + RAMDATA_W => 24 + ) + port map + ( + d => fram1_data, + waddr => fram1_waddr, + raddr => fram1_raddr, + we => fram1_we, + clk => CLK, + + q => fram1_q + ); + + fram1_we <= bf_dval(bf_dval'high); + fram1_data <= bf_fifo_q; + + ------------------------------------------------------------------- + -- FRAM1 process + ------------------------------------------------------------------- + p_fram1_acc : process(CLK, RST) + begin + if RST = '1' then + fram1_waddr <= (others => '0'); + elsif CLK'event and CLK = '1' then + if fram1_we = '1' then + fram1_waddr <= std_logic_vector(unsigned(fram1_waddr) + 1); + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- IRAM read process + ------------------------------------------------------------------- + p_counter1 : process(CLK, RST) + begin + if RST = '1' then + rd_en <= '0'; + rd_en_d1 <= '0'; + x_block_cnt <= (others => '0'); + y_block_cnt <= (others => '0'); + input_rd_cnt <= (others => '0'); + cmp_idx <= (others => '0'); + cur_cmp_idx <= (others => '0'); + cur_cmp_idx_d1 <= (others => '0'); + cur_cmp_idx_d2 <= (others => '0'); + cur_cmp_idx_d3 <= (others => '0'); + cur_cmp_idx_d4 <= (others => '0'); + cur_cmp_idx_d5 <= (others => '0'); + cur_cmp_idx_d6 <= (others => '0'); + cur_cmp_idx_d7 <= (others => '0'); + cur_cmp_idx_d8 <= (others => '0'); + cur_cmp_idx_d9 <= (others => '0'); + eoi_fdct <= '0'; + x_block_cnt_cur <= (others => '0'); + y_block_cnt_cur <= (others => '0'); + start_int <= '0'; + bf_fifo_rd_s <= '0'; + bf_dval <= (others => '0'); + fram1_rd <= '0'; + fram1_rd_d <= (others => '0'); + fram1_raddr <= (others => '0'); + elsif CLK'event and CLK = '1' then + rd_en_d1 <= rd_en; + cur_cmp_idx_d1 <= cur_cmp_idx; + cur_cmp_idx_d2 <= cur_cmp_idx_d1; + cur_cmp_idx_d3 <= cur_cmp_idx_d2; + cur_cmp_idx_d4 <= cur_cmp_idx_d3; + cur_cmp_idx_d5 <= cur_cmp_idx_d4; + cur_cmp_idx_d6 <= cur_cmp_idx_d5; + cur_cmp_idx_d7 <= cur_cmp_idx_d6; + cur_cmp_idx_d8 <= cur_cmp_idx_d7; + cur_cmp_idx_d9 <= cur_cmp_idx_d8; + start_int <= '0'; + + bf_dval <= bf_dval(bf_dval'length-2 downto 0) & bf_fifo_rd_s; + fram1_rd_d <= fram1_rd_d(fram1_rd_d'length-2 downto 0) & fram1_rd; + + -- SOF or internal self-start + if (sof = '1' or start_int = '1') then + input_rd_cnt <= (others => '0'); + -- enable BUF_FIFO/FRAM1 reading + rd_started <= '1'; + + -- component index + if cmp_idx = 3-1 then + cmp_idx <= (others => '0'); + -- horizontal block counter + if x_block_cnt = unsigned(img_size_x)-8 then + x_block_cnt <= (others => '0'); + -- vertical block counter + if y_block_cnt = unsigned(img_size_y)-8 then + y_block_cnt <= (others => '0'); + eoi_fdct <= '1'; + else + y_block_cnt <= y_block_cnt + 8; + end if; + else + x_block_cnt <= x_block_cnt + 8; + end if; + else + cmp_idx <=cmp_idx + 1; + end if; + + x_block_cnt_cur <= x_block_cnt; + y_block_cnt_cur <= y_block_cnt; + cur_cmp_idx <= cmp_idx; + end if; + + -- wait until FIFO becomes half full + if rd_started = '1' and (bf_fifo_hf_full = '1' or cur_cmp_idx /= 0) then + rd_en <= '1'; + rd_started <= '0'; + end if; + + bf_fifo_rd_s <= '0'; + fram1_rd <= '0'; + -- stall reading from input FIFO and writing to output FIFO + -- when output FIFO is almost full + if rd_en = '1' and unsigned(fifo1_count) < 256-64 then + -- read request goes to BUF_FIFO only for component 0. + if cur_cmp_idx = 0 then + bf_fifo_rd_s <= '1'; + end if; + + -- count number of samples read from input in one run + if input_rd_cnt = 64-1 then + rd_en <= '0'; + start_int <= '1' and not eoi_fdct; + eoi_fdct <= '0'; + else + input_rd_cnt <= input_rd_cnt + 1; + end if; + -- FRAM read enable + fram1_rd <= '1'; + end if; + + -- increment FRAM1 read address + if fram1_rd_d(4) = '1' then + fram1_raddr <= std_logic_vector(unsigned(fram1_raddr) + 1); + end if; + + end if; + end process; + + ------------------------------------------------------------------- + -- FDCT with input level shift + ------------------------------------------------------------------- + U_MDCT : entity work.MDCT + port map + ( + clk => CLK, + rst => RST, + dcti => mdct_data_in, + idv => mdct_idval, + odv => mdct_odval, + dcto => mdct_data_out, + odv1 => odv1, + dcto1 => dcto1 + ); + + mdct_idval <= fram1_rd_d(8); + + R_s <= signed('0' & fram1_q(7 downto 0)); + G_s <= signed('0' & fram1_q(15 downto 8)); + B_s <= signed('0' & fram1_q(23 downto 16)); + + ------------------------------------------------------------------- + -- Mux1 + ------------------------------------------------------------------- + p_mux1 : process(CLK, RST) + begin + if RST = '1' then + mdct_data_in <= (others => '0'); + elsif CLK'event and CLK = '1' then + case cur_cmp_idx_d9 is + when "00" => + mdct_data_in <= std_logic_vector(Y_8bit); + when "01" => + mdct_data_in <= std_logic_vector(Cb_8bit); + when "10" => + mdct_data_in <= std_logic_vector(Cr_8bit); + when others => + null; + end case; + end if; + end process; + + + ------------------------------------------------------------------- + -- FIFO1 + ------------------------------------------------------------------- + U_FIFO1 : entity work.FIFO + generic map + ( + DATA_WIDTH => 12, + ADDR_WIDTH => 8 + ) + port map + ( + rst => RST, + clk => CLK, + rinc => fifo1_rd, + winc => fifo1_wr, + datai => fifo_data_in, + + datao => fifo1_q, + fullo => fifo1_full, + emptyo => fifo1_empty, + count => fifo1_count + ); + + fifo1_wr <= mdct_odval; + fifo_data_in <= mdct_data_out; + + + + ------------------------------------------------------------------- + -- FIFO rd controller + ------------------------------------------------------------------- + p_fifo_rd_ctrl : process(CLK, RST) + begin + if RST = '1' then + fifo1_rd <= '0'; + fifo_rd_arm <= '0'; + fifo1_rd_cnt <= (others => '0'); + fifo1_q_dval <= '0'; + elsif CLK'event and CLK = '1' then + fifo1_rd <= '0'; + + fifo1_q_dval <= fifo1_rd; + + if start_pb = '1' then + fifo_rd_arm <= '1'; + fifo1_rd_cnt <= (others => '0'); + end if; + + if fifo_rd_arm = '1' then + + if fifo1_rd_cnt = 64-1 then + fifo_rd_arm <= '0'; + fifo1_rd <= '1'; + elsif fifo1_empty = '0' then + fifo1_rd <= '1'; + fifo1_rd_cnt <= fifo1_rd_cnt + 1; + end if; + + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- write counter + ------------------------------------------------------------------- + p_wr_cnt : process(CLK, RST) + begin + if RST = '1' then + wr_cnt <= (others => '0'); + ready_pb <= '0'; + xw_cnt <= (others => '0'); + yw_cnt <= (others => '0'); + writing_en <= '0'; + elsif CLK'event and CLK = '1' then + ready_pb <= '0'; + + if start_pb = '1' then + wr_cnt <= (others => '0'); + xw_cnt <= (others => '0'); + yw_cnt <= (others => '0'); + writing_en <= '1'; + end if; + + if writing_en = '1' then + if fifo1_q_dval = '1' then + if wr_cnt = 64-1 then + wr_cnt <= (others => '0'); + ready_pb <= '1'; + writing_en <= '0'; + else + wr_cnt <= wr_cnt + 1; + end if; + + if yw_cnt = 8-1 then + yw_cnt <= (others => '0'); + xw_cnt <= xw_cnt+1; + else + yw_cnt <= yw_cnt+1; + end if; + end if; + end if; + end if; + end process; + + ------------------------------------------------------------------- + -- RGB to YCbCr conversion + ------------------------------------------------------------------- + p_rgb2ycbcr : process(CLK, RST) + begin + if RST = '1' then + Y_Reg_1 <= (others => '0'); + Y_Reg_2 <= (others => '0'); + Y_Reg_3 <= (others => '0'); + Cb_Reg_1 <= (others => '0'); + Cb_Reg_2 <= (others => '0'); + Cb_Reg_3 <= (others => '0'); + Cr_Reg_1 <= (others => '0'); + Cr_Reg_2 <= (others => '0'); + Cr_Reg_3 <= (others => '0'); + Y_Reg <= (others => '0'); + Cb_Reg <= (others => '0'); + Cr_Reg <= (others => '0'); + elsif CLK'event and CLK = '1' then + Y_Reg_1 <= R_s*C_Y_1; + Y_Reg_2 <= G_s*C_Y_2; + Y_Reg_3 <= B_s*C_Y_3; + + Cb_Reg_1 <= R_s*C_Cb_1; + Cb_Reg_2 <= G_s*C_Cb_2; + Cb_Reg_3 <= B_s*C_Cb_3; + + Cr_Reg_1 <= R_s*C_Cr_1; + Cr_Reg_2 <= G_s*C_Cr_2; + Cr_Reg_3 <= B_s*C_Cr_3; + + Y_Reg <= Y_Reg_1 + Y_Reg_2 + Y_Reg_3; + Cb_Reg <= Cb_Reg_1 + Cb_Reg_2 + Cb_Reg_3 + to_signed(128*16384,Cb_Reg'length); + Cr_Reg <= Cr_Reg_1 + Cr_Reg_2 + Cr_Reg_3 + to_signed(128*16384,Cr_Reg'length); + + end if; + end process; + + Y_8bit <= unsigned(Y_Reg(21 downto 14)); + Cb_8bit <= unsigned(Cb_Reg(21 downto 14)); + Cr_8bit <= unsigned(Cr_Reg(21 downto 14)); + + + ------------------------------------------------------------------- + -- DBUF + ------------------------------------------------------------------- + U_RAMZ : entity work.RAMZ + generic map + ( + RAMADDR_W => 7, + RAMDATA_W => 12 + ) + port map + ( + d => dbuf_data, + waddr => dbuf_waddr, + raddr => dbuf_raddr, + we => dbuf_we, + clk => CLK, + + q => dbuf_q + ); + + dbuf_data <= fifo1_q; + dbuf_we <= fifo1_q_dval; + dbuf_waddr <= (not zz_buf_sel) & std_logic_vector(yw_cnt & xw_cnt); + dbuf_raddr <= zz_buf_sel & zz_rd_addr; + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/mdct/DCT1D.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/mdct/DCT1D.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/mdct/DCT1D.vhd (revision 48) @@ -0,0 +1,334 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- +-- Title : DCT1D +-- Design : MDCT Core +-- Author : Michal Krepa +-- +-------------------------------------------------------------------------------- +-- +-- File : DCT1D.VHD +-- Created : Sat Mar 5 7:37 2006 +-- +-------------------------------------------------------------------------------- +-- +-- Description : 1D Discrete Cosine Transform (1st stage) +-- +-------------------------------------------------------------------------------- + + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use IEEE.NUMERIC_STD.all; + +library WORK; + use WORK.MDCT_PKG.all; + +-------------------------------------------------------------------------------- +-- ENTITY +-------------------------------------------------------------------------------- +entity DCT1D is + port( + clk : in STD_LOGIC; + rst : in std_logic; + dcti : in std_logic_vector(IP_W-1 downto 0); + idv : in STD_LOGIC; + romedatao : in T_ROM1DATAO; + romodatao : in T_ROM1DATAO; + + odv : out STD_LOGIC; + dcto : out std_logic_vector(OP_W-1 downto 0); + romeaddro : out T_ROM1ADDRO; + romoaddro : out T_ROM1ADDRO; + ramwaddro : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + ramdatai : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + ramwe : out STD_LOGIC; + wmemsel : out STD_LOGIC + ); +end DCT1D; + +-------------------------------------------------------------------------------- +-- ARCHITECTURE +-------------------------------------------------------------------------------- +architecture RTL of DCT1D is + + type INPUT_DATA is array (N-1 downto 0) of SIGNED(IP_W downto 0); + + signal databuf_reg : INPUT_DATA; + signal latchbuf_reg : INPUT_DATA; + signal col_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal row_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal rowr_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal inpcnt_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal ramwe_s : STD_LOGIC; + signal wmemsel_reg : STD_LOGIC; + signal stage2_reg : STD_LOGIC; + signal stage2_cnt_reg : UNSIGNED(RAMADRR_W-1 downto 0); + signal col_2_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal ramwaddro_s : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + + signal even_not_odd : std_logic; + signal even_not_odd_d1 : std_logic; + signal even_not_odd_d2 : std_logic; + signal even_not_odd_d3 : std_logic; + signal ramwe_d1 : STD_LOGIC; + signal ramwe_d2 : STD_LOGIC; + signal ramwe_d3 : STD_LOGIC; + signal ramwe_d4 : STD_LOGIC; + signal ramwaddro_d1 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + signal ramwaddro_d2 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + signal ramwaddro_d3 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + signal ramwaddro_d4 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + signal wmemsel_d1 : STD_LOGIC; + signal wmemsel_d2 : STD_LOGIC; + signal wmemsel_d3 : STD_LOGIC; + signal wmemsel_d4 : STD_LOGIC; + signal romedatao_d1 : T_ROM1DATAO; + signal romodatao_d1 : T_ROM1DATAO; + signal romedatao_d2 : T_ROM1DATAO; + signal romodatao_d2 : T_ROM1DATAO; + signal romedatao_d3 : T_ROM1DATAO; + signal romodatao_d3 : T_ROM1DATAO; + signal dcto_1 : STD_LOGIC_VECTOR(DA_W-1 downto 0); + signal dcto_2 : STD_LOGIC_VECTOR(DA_W-1 downto 0); + signal dcto_3 : STD_LOGIC_VECTOR(DA_W-1 downto 0); + signal dcto_4 : STD_LOGIC_VECTOR(DA_W-1 downto 0); + +begin + + ramwaddro <= ramwaddro_d4; + ramwe <= ramwe_d4; + ramdatai <= dcto_4(DA_W-1 downto 12); + wmemsel <= wmemsel_d4; + + process(clk,rst) + begin + if rst = '1' then + inpcnt_reg <= (others => '0'); + latchbuf_reg <= (others => (others => '0')); + databuf_reg <= (others => (others => '0')); + stage2_reg <= '0'; + stage2_cnt_reg <= (others => '1'); + ramwe_s <= '0'; + ramwaddro_s <= (others => '0'); + col_reg <= (others => '0'); + row_reg <= (others => '0'); + wmemsel_reg <= '0'; + col_2_reg <= (others => '0'); + elsif clk = '1' and clk'event then + stage2_reg <= '0'; + ramwe_s <= '0'; + + -------------------------------- + -- 1st stage + -------------------------------- + if idv = '1' then + + inpcnt_reg <= inpcnt_reg + 1; + + -- right shift input data + latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1); + latchbuf_reg(N-1) <= SIGNED('0' & dcti) - LEVEL_SHIFT; + + if inpcnt_reg = N-1 then + -- after this sum databuf_reg is in range of -256 to 254 (min to max) + databuf_reg(0) <= latchbuf_reg(1)+(SIGNED('0' & dcti) - LEVEL_SHIFT); + databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7); + databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6); + databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5); + databuf_reg(4) <= latchbuf_reg(1)-(SIGNED('0' & dcti) - LEVEL_SHIFT); + databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7); + databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6); + databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5); + stage2_reg <= '1'; + end if; + end if; + -------------------------------- + + -------------------------------- + -- 2nd stage + -------------------------------- + if stage2_cnt_reg < N then + + stage2_cnt_reg <= stage2_cnt_reg + 1; + + -- write RAM + ramwe_s <= '1'; + -- reverse col/row order for transposition purpose + ramwaddro_s <= STD_LOGIC_VECTOR(col_2_reg & row_reg); + -- increment column counter + col_reg <= col_reg + 1; + col_2_reg <= col_2_reg + 1; + + -- finished processing one input row + if col_reg = 0 then + row_reg <= row_reg + 1; + -- switch to 2nd memory + if row_reg = N - 1 then + wmemsel_reg <= not wmemsel_reg; + col_reg <= (others => '0'); + end if; + end if; + + end if; + + if stage2_reg = '1' then + stage2_cnt_reg <= (others => '0'); + col_reg <= (0=>'1',others => '0'); + col_2_reg <= (others => '0'); + end if; + ---------------------------------- + + + end if; + end process; + + -- output data pipeline + p_data_out_pipe : process(CLK, RST) + begin + if RST = '1' then + even_not_odd <= '0'; + even_not_odd_d1 <= '0'; + even_not_odd_d2 <= '0'; + even_not_odd_d3 <= '0'; + ramwe_d1 <= '0'; + ramwe_d2 <= '0'; + ramwe_d3 <= '0'; + ramwe_d4 <= '0'; + ramwaddro_d1 <= (others => '0'); + ramwaddro_d2 <= (others => '0'); + ramwaddro_d3 <= (others => '0'); + ramwaddro_d4 <= (others => '0'); + wmemsel_d1 <= '0'; + wmemsel_d2 <= '0'; + wmemsel_d3 <= '0'; + wmemsel_d4 <= '0'; + dcto_1 <= (others => '0'); + dcto_2 <= (others => '0'); + dcto_3 <= (others => '0'); + dcto_4 <= (others => '0'); + elsif CLK'event and CLK = '1' then + even_not_odd <= stage2_cnt_reg(0); + even_not_odd_d1 <= even_not_odd; + even_not_odd_d2 <= even_not_odd_d1; + even_not_odd_d3 <= even_not_odd_d2; + ramwe_d1 <= ramwe_s; + ramwe_d2 <= ramwe_d1; + ramwe_d3 <= ramwe_d2; + ramwe_d4 <= ramwe_d3; + ramwaddro_d1 <= ramwaddro_s; + ramwaddro_d2 <= ramwaddro_d1; + ramwaddro_d3 <= ramwaddro_d2; + ramwaddro_d4 <= ramwaddro_d3; + wmemsel_d1 <= wmemsel_reg; + wmemsel_d2 <= wmemsel_d1; + wmemsel_d3 <= wmemsel_d2; + wmemsel_d4 <= wmemsel_d3; + + if even_not_odd = '0' then + dcto_1 <= STD_LOGIC_VECTOR(RESIZE + (RESIZE(SIGNED(romedatao(0)),DA_W) + + (RESIZE(SIGNED(romedatao(1)),DA_W-1) & '0') + + (RESIZE(SIGNED(romedatao(2)),DA_W-2) & "00"), + DA_W)); + else + dcto_1 <= STD_LOGIC_VECTOR(RESIZE + (RESIZE(SIGNED(romodatao(0)),DA_W) + + (RESIZE(SIGNED(romodatao(1)),DA_W-1) & '0') + + (RESIZE(SIGNED(romodatao(2)),DA_W-2) & "00"), + DA_W)); + end if; + + if even_not_odd_d1 = '0' then + dcto_2 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_1) + + (RESIZE(SIGNED(romedatao_d1(3)),DA_W-3) & "000") + + (RESIZE(SIGNED(romedatao_d1(4)),DA_W-4) & "0000"), + DA_W)); + else + dcto_2 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_1) + + (RESIZE(SIGNED(romodatao_d1(3)),DA_W-3) & "000") + + (RESIZE(SIGNED(romodatao_d1(4)),DA_W-4) & "0000"), + DA_W)); + end if; + + if even_not_odd_d2 = '0' then + dcto_3 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_2) + + (RESIZE(SIGNED(romedatao_d2(5)),DA_W-5) & "00000") + + (RESIZE(SIGNED(romedatao_d2(6)),DA_W-6) & "000000"), + DA_W)); + else + dcto_3 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_2) + + (RESIZE(SIGNED(romodatao_d2(5)),DA_W-5) & "00000") + + (RESIZE(SIGNED(romodatao_d2(6)),DA_W-6) & "000000"), + DA_W)); + end if; + + if even_not_odd_d3 = '0' then + dcto_4 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_3) + + (RESIZE(SIGNED(romedatao_d3(7)),DA_W-7) & "0000000") - + (RESIZE(SIGNED(romedatao_d3(8)),DA_W-8) & "00000000"), + DA_W)); + else + dcto_4 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_3) + + (RESIZE(SIGNED(romodatao_d3(7)),DA_W-7) & "0000000") - + (RESIZE(SIGNED(romodatao_d3(8)),DA_W-8) & "00000000"), + DA_W)); + end if; + end if; + end process; + + -- read precomputed MAC results from LUT + p_romaddr : process(CLK, RST) + begin + if RST = '1' then + romeaddro <= (others => (others => '0')); + romoaddro <= (others => (others => '0')); + elsif CLK'event and CLK = '1' then + for i in 0 to 8 loop + -- even + romeaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & + databuf_reg(0)(i) & + databuf_reg(1)(i) & + databuf_reg(2)(i) & + databuf_reg(3)(i); + -- odd + romoaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & + databuf_reg(4)(i) & + databuf_reg(5)(i) & + databuf_reg(6)(i) & + databuf_reg(7)(i); + end loop; + end if; + end process; + + p_romdatao_d1 : process(CLK, RST) + begin + if RST = '1' then + romedatao_d1 <= (others => (others => '0')); + romodatao_d1 <= (others => (others => '0')); + romedatao_d2 <= (others => (others => '0')); + romodatao_d2 <= (others => (others => '0')); + romedatao_d3 <= (others => (others => '0')); + romodatao_d3 <= (others => (others => '0')); + elsif CLK'event and CLK = '1' then + romedatao_d1 <= romedatao; + romodatao_d1 <= romodatao; + romedatao_d2 <= romedatao_d1; + romodatao_d2 <= romodatao_d1; + romedatao_d3 <= romedatao_d2; + romodatao_d3 <= romodatao_d2; + end if; + end process; + +end RTL; +-------------------------------------------------------------------------------- Index: mkjpeg/branches/16rgb/trunk/design/mdct/RAM.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/mdct/RAM.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/mdct/RAM.VHD (revision 48) @@ -0,0 +1,79 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- -- +-- Title : RAM -- +-- Design : MDCT -- +-- Author : Michal Krepa -- -- -- +-- -- +-------------------------------------------------------------------------------- +-- +-- File : RAM.VHD +-- Created : Sat Mar 5 7:37 2006 +-- +-------------------------------------------------------------------------------- +-- +-- Description : RAM memory simulation model +-- +-------------------------------------------------------------------------------- + +-- 5:3 row select +-- 2:0 col select + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use IEEE.NUMERIC_STD.all; + +library WORK; + use WORK.MDCT_PKG.all; + +entity RAM is + port ( + d : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + waddr : in STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + raddr : in STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + we : in STD_LOGIC; + clk : in STD_LOGIC; + + q : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0) + ); +end RAM; + +architecture RTL of RAM is + type mem_type is array ((2**RAMADRR_W)-1 downto 0) of + STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + signal mem : mem_type; + signal read_addr : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + +begin + + ------------------------------------------------------------------------------- + q_sg: + ------------------------------------------------------------------------------- + q <= mem(TO_INTEGER(UNSIGNED(read_addr))); + + ------------------------------------------------------------------------------- + read_proc: -- register read address + ------------------------------------------------------------------------------- + process (clk) + begin + if clk = '1' and clk'event then + read_addr <= raddr; + end if; + end process; + + ------------------------------------------------------------------------------- + write_proc: --write access + ------------------------------------------------------------------------------- + process (clk) begin + if clk = '1' and clk'event then + if we = '1' then + mem(TO_INTEGER(UNSIGNED(waddr))) <= d; + end if; + end if; + end process; + +end RTL; \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/mdct/DCT2D.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/mdct/DCT2D.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/mdct/DCT2D.VHD (revision 48) @@ -0,0 +1,357 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- +-- Title : DCT2D +-- Design : MDCT Core +-- Author : Michal Krepa +-- +-------------------------------------------------------------------------------- +-- +-- File : DCT2D.VHD +-- Created : Sat Mar 28 22:32 2006 +-- +-------------------------------------------------------------------------------- +-- +-- Description : 1D Discrete Cosine Transform (second stage) +-- +-------------------------------------------------------------------------------- + + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use ieee.numeric_std.all; + +library WORK; + use WORK.MDCT_PKG.all; + +entity DCT2D is + port( + clk : in STD_LOGIC; + rst : in std_logic; + romedatao : in T_ROM2DATAO; + romodatao : in T_ROM2DATAO; + ramdatao : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + dataready : in STD_LOGIC; + + odv : out STD_LOGIC; + dcto : out std_logic_vector(OP_W-1 downto 0); + romeaddro : out T_ROM2ADDRO; + romoaddro : out T_ROM2ADDRO; + ramraddro : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + rmemsel : out STD_LOGIC; + datareadyack : out STD_LOGIC + + ); +end DCT2D; + +architecture RTL of DCT2D is + + type input_data2 is array (N-1 downto 0) of SIGNED(RAMDATA_W downto 0); + + signal databuf_reg : input_data2; + signal latchbuf_reg : input_data2; + signal col_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal row_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal colram_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal rowram_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal colr_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal rowr_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); + signal rmemsel_reg : STD_LOGIC; + signal stage1_reg : STD_LOGIC; + signal stage2_reg : STD_LOGIC; + signal stage2_cnt_reg : UNSIGNED(RAMADRR_W-1 downto 0); + signal dataready_2_reg : STD_LOGIC; + signal even_not_odd : std_logic; + signal even_not_odd_d1 : std_logic; + signal even_not_odd_d2 : std_logic; + signal even_not_odd_d3 : std_logic; + signal even_not_odd_d4 : std_logic; + signal odv_d0 : std_logic; + signal odv_d1 : std_logic; + signal odv_d2 : std_logic; + signal odv_d3 : std_logic; + signal odv_d4 : std_logic; + signal odv_d5 : std_logic; + signal dcto_1 : std_logic_vector(DA2_W-1 downto 0); + signal dcto_2 : std_logic_vector(DA2_W-1 downto 0); + signal dcto_3 : std_logic_vector(DA2_W-1 downto 0); + signal dcto_4 : std_logic_vector(DA2_W-1 downto 0); + signal dcto_5 : std_logic_vector(DA2_W-1 downto 0); + signal romedatao_d1 : T_ROM2DATAO; + signal romodatao_d1 : T_ROM2DATAO; + signal romedatao_d2 : T_ROM2DATAO; + signal romodatao_d2 : T_ROM2DATAO; + signal romedatao_d3 : T_ROM2DATAO; + signal romodatao_d3 : T_ROM2DATAO; + signal romedatao_d4 : T_ROM2DATAO; + signal romodatao_d4 : T_ROM2DATAO; +begin + + ramraddro_sg: + ramraddro <= STD_LOGIC_VECTOR(rowr_reg & colr_reg); + + rmemsel_sg: + rmemsel <= rmemsel_reg; + + process(clk,rst) + begin + if rst = '1' then + stage2_cnt_reg <= (others => '1'); + rmemsel_reg <= '0'; + stage1_reg <= '0'; + stage2_reg <= '0'; + colram_reg <= (others => '0'); + rowram_reg <= (others => '0'); + col_reg <= (others => '0'); + row_reg <= (others => '0'); + latchbuf_reg <= (others => (others => '0')); + databuf_reg <= (others => (others => '0')); + odv_d0 <= '0'; + colr_reg <= (others => '0'); + rowr_reg <= (others => '0'); + dataready_2_reg <= '0'; + elsif clk='1' and clk'event then + stage2_reg <= '0'; + odv_d0 <= '0'; + datareadyack <= '0'; + dataready_2_reg <= dataready; + + ---------------------------------- + -- read DCT 1D to barrel shifer + ---------------------------------- + if stage1_reg = '1' then + + -- right shift input data + latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1); + latchbuf_reg(N-1) <= RESIZE(SIGNED(ramdatao),RAMDATA_W+1); + + colram_reg <= colram_reg + 1; + colr_reg <= colr_reg + 1; + + if colram_reg = N-2 then + rowr_reg <= rowr_reg + 1; + end if; + + if colram_reg = N-1 then + rowram_reg <= rowram_reg + 1; + if rowram_reg = N-1 then + stage1_reg <= '0'; + colr_reg <= (others => '0'); + -- release memory + rmemsel_reg <= not rmemsel_reg; + end if; + + -- after this sum databuf_reg is in range of -256 to 254 (min to max) + databuf_reg(0) <= latchbuf_reg(1)+RESIZE(SIGNED(ramdatao),RAMDATA_W+1); + databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7); + databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6); + databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5); + databuf_reg(4) <= latchbuf_reg(1)-RESIZE(SIGNED(ramdatao),RAMDATA_W+1); + databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7); + databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6); + databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5); + + -- 8 point input latched + stage2_reg <= '1'; + end if; + end if; + + -------------------------------- + -- 2nd stage + -------------------------------- + if stage2_cnt_reg < N then + stage2_cnt_reg <= stage2_cnt_reg + 1; + + -- output data valid + odv_d0 <= '1'; + + -- increment column counter + col_reg <= col_reg + 1; + + -- finished processing one input row + if col_reg = N - 1 then + row_reg <= row_reg + 1; + end if; + end if; + + if stage2_reg = '1' then + stage2_cnt_reg <= (others => '0'); + col_reg <= (0=>'1',others => '0'); + end if; + -------------------------------- + + ---------------------------------- + -- wait for new data + ---------------------------------- + -- one of ram buffers has new data, process it + if dataready = '1' and dataready_2_reg = '0' then + stage1_reg <= '1'; + -- to account for 1T RAM delay, increment RAM address counter + colram_reg <= (others => '0'); + colr_reg <= (0=>'1',others => '0'); + datareadyack <= '1'; + end if; + ---------------------------------- + + + end if; + end process; + + p_data_pipe : process(CLK, RST) + begin + if RST = '1' then + even_not_odd <= '0'; + even_not_odd_d1 <= '0'; + even_not_odd_d2 <= '0'; + even_not_odd_d3 <= '0'; + even_not_odd_d4 <= '0'; + odv_d1 <= '0'; + odv_d2 <= '0'; + odv_d3 <= '0'; + odv_d4 <= '0'; + odv_d5 <= '0'; + dcto_1 <= (others => '0'); + dcto_2 <= (others => '0'); + dcto_3 <= (others => '0'); + dcto_4 <= (others => '0'); + dcto_5 <= (others => '0'); + elsif CLK'event and CLK = '1' then + even_not_odd <= stage2_cnt_reg(0); + even_not_odd_d1 <= even_not_odd; + even_not_odd_d2 <= even_not_odd_d1; + even_not_odd_d3 <= even_not_odd_d2; + even_not_odd_d4 <= even_not_odd_d3; + odv_d1 <= odv_d0; + odv_d2 <= odv_d1; + odv_d3 <= odv_d2; + odv_d4 <= odv_d3; + odv_d5 <= odv_d4; + + if even_not_odd = '0' then + dcto_1 <= STD_LOGIC_VECTOR(RESIZE + (RESIZE(SIGNED(romedatao(0)),DA2_W) + + (RESIZE(SIGNED(romedatao(1)),DA2_W-1) & '0') + + (RESIZE(SIGNED(romedatao(2)),DA2_W-2) & "00"), + DA2_W)); + else + dcto_1 <= STD_LOGIC_VECTOR(RESIZE + (RESIZE(SIGNED(romodatao(0)),DA2_W) + + (RESIZE(SIGNED(romodatao(1)),DA2_W-1) & '0') + + (RESIZE(SIGNED(romodatao(2)),DA2_W-2) & "00"), + DA2_W)); + end if; + + if even_not_odd_d1 = '0' then + dcto_2 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_1) + + (RESIZE(SIGNED(romedatao_d1(3)),DA2_W-3) & "000") + + (RESIZE(SIGNED(romedatao_d1(4)),DA2_W-4) & "0000"), + DA2_W)); + else + dcto_2 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_1) + + (RESIZE(SIGNED(romodatao_d1(3)),DA2_W-3) & "000") + + (RESIZE(SIGNED(romodatao_d1(4)),DA2_W-4) & "0000"), + DA2_W)); + end if; + + if even_not_odd_d2 = '0' then + dcto_3 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_2) + + (RESIZE(SIGNED(romedatao_d2(5)),DA2_W-5) & "00000") + + (RESIZE(SIGNED(romedatao_d2(6)),DA2_W-6) & "000000"), + DA2_W)); + else + dcto_3 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_2) + + (RESIZE(SIGNED(romodatao_d2(5)),DA2_W-5) & "00000") + + (RESIZE(SIGNED(romodatao_d2(6)),DA2_W-6) & "000000"), + DA2_W)); + end if; + + if even_not_odd_d3 = '0' then + dcto_4 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_3) + + (RESIZE(SIGNED(romedatao_d3(7)),DA2_W-7) & "0000000") + + (RESIZE(SIGNED(romedatao_d3(8)),DA2_W-8) & "00000000"), + DA2_W)); + else + dcto_4 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_3) + + (RESIZE(SIGNED(romodatao_d3(7)),DA2_W-7) & "0000000") + + (RESIZE(SIGNED(romodatao_d3(8)),DA2_W-8) & "00000000"), + DA2_W)); + end if; + + if even_not_odd_d4 = '0' then + dcto_5 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_4) + + (RESIZE(SIGNED(romedatao_d4(9)),DA2_W-9) & "000000000") - + (RESIZE(SIGNED(romedatao_d4(10)),DA2_W-10) & "0000000000"), + DA2_W)); + else + dcto_5 <= STD_LOGIC_VECTOR(RESIZE + (signed(dcto_4) + + (RESIZE(SIGNED(romodatao_d4(9)),DA2_W-9) & "000000000") - + (RESIZE(SIGNED(romodatao_d4(10)),DA2_W-10) & "0000000000"), + DA2_W)); + end if; + end if; + end process; + + dcto <= dcto_5(DA2_W-1 downto 12); + odv <= odv_d5; + + p_romaddr : process(CLK, RST) + begin + if RST = '1' then + romeaddro <= (others => (others => '0')); + romoaddro <= (others => (others => '0')); + elsif CLK'event and CLK = '1' then + for i in 0 to 10 loop + -- read precomputed MAC results from LUT + romeaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & + databuf_reg(0)(i) & + databuf_reg(1)(i) & + databuf_reg(2)(i) & + databuf_reg(3)(i); + -- odd + romoaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & + databuf_reg(4)(i) & + databuf_reg(5)(i) & + databuf_reg(6)(i) & + databuf_reg(7)(i); + end loop; + end if; + end process; + + p_romdatao_dly : process(CLK, RST) + begin + if RST = '1' then + romedatao_d1 <= (others => (others => '0')); + romodatao_d1 <= (others => (others => '0')); + romedatao_d2 <= (others => (others => '0')); + romodatao_d2 <= (others => (others => '0')); + romedatao_d3 <= (others => (others => '0')); + romodatao_d3 <= (others => (others => '0')); + romedatao_d4 <= (others => (others => '0')); + romodatao_d4 <= (others => (others => '0')); + elsif CLK'event and CLK = '1' then + romedatao_d1 <= romedatao; + romodatao_d1 <= romodatao; + romedatao_d2 <= romedatao_d1; + romodatao_d2 <= romodatao_d1; + romedatao_d3 <= romedatao_d2; + romodatao_d3 <= romodatao_d2; + romedatao_d4 <= romedatao_d3; + romodatao_d4 <= romodatao_d3; + end if; + end process; + +end RTL; +-------------------------------------------------------------------------------- + Index: mkjpeg/branches/16rgb/trunk/design/mdct/ROME.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/mdct/ROME.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/mdct/ROME.VHD (revision 48) @@ -0,0 +1,133 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- +-- Title : DCT +-- Design : MDCT Core +-- Author : Michal Krepa +-- +-------------------------------------------------------------------------------- +-- +-- File : ROME.VHD +-- Created : Sat Mar 5 7:37 2006 +-- +-------------------------------------------------------------------------------- +-- +-- Description : ROM for DCT matrix constant cosine coefficients (even part) +-- +-------------------------------------------------------------------------------- + +-- 5:0 +-- 5:4 = select matrix row (1 out of 4) +-- 3:0 = select precomputed MAC ( 1 out of 16) + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use IEEE.STD_LOGIC_arith.all; + use WORK.MDCT_PKG.all; + +entity ROME is + port( + addr : in STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); + clk : in STD_LOGIC; + + datao : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0) + ); + +end ROME; + +architecture RTL of ROME is + + type ROM_TYPE is array (0 to (2**ROMADDR_W)-1) + of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0); + constant rom : ROM_TYPE := + ( + (others => '0'), + conv_std_logic_vector( AP,ROMDATA_W ), + conv_std_logic_vector( AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP,ROMDATA_W ), + conv_std_logic_vector( AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP+AP,ROMDATA_W ), + conv_std_logic_vector( AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP+AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP+AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP+AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP+AP+AP,ROMDATA_W ), + + + (others => '0'), + conv_std_logic_vector( BM,ROMDATA_W ), + conv_std_logic_vector( CM,ROMDATA_W ), + conv_std_logic_vector( CM+BM,ROMDATA_W ), + conv_std_logic_vector( CP,ROMDATA_W ), + conv_std_logic_vector( CP+BM,ROMDATA_W ), + (others => '0'), + conv_std_logic_vector( BM,ROMDATA_W ), + conv_std_logic_vector( BP,ROMDATA_W ), + (others => '0'), + conv_std_logic_vector( BP+CM,ROMDATA_W ), + conv_std_logic_vector( CM,ROMDATA_W ), + conv_std_logic_vector( BP+CP,ROMDATA_W ), + conv_std_logic_vector( CP,ROMDATA_W ), + conv_std_logic_vector( BP,ROMDATA_W ), + (others => '0'), + + + (others => '0'), + conv_std_logic_vector( AP,ROMDATA_W ), + conv_std_logic_vector( AM,ROMDATA_W ), + (others => '0'), + conv_std_logic_vector( AM,ROMDATA_W ), + (others => '0'), + conv_std_logic_vector( AM+AM,ROMDATA_W ), + conv_std_logic_vector( AM,ROMDATA_W ), + conv_std_logic_vector( AP,ROMDATA_W ), + conv_std_logic_vector( AP+AP,ROMDATA_W ), + (others => '0'), + conv_std_logic_vector( AP,ROMDATA_W ), + (others => '0'), + conv_std_logic_vector( AP,ROMDATA_W ), + conv_std_logic_vector( AM,ROMDATA_W ), + (others => '0'), + + + (others => '0'), + conv_std_logic_vector( CM,ROMDATA_W ), + conv_std_logic_vector( BP,ROMDATA_W ), + conv_std_logic_vector( BP+CM,ROMDATA_W ), + conv_std_logic_vector( BM,ROMDATA_W ), + conv_std_logic_vector( BM+CM,ROMDATA_W ), + (others => '0'), + conv_std_logic_vector( CM,ROMDATA_W ), + conv_std_logic_vector( CP,ROMDATA_W ), + (others => '0'), + conv_std_logic_vector( CP+BP,ROMDATA_W ), + conv_std_logic_vector( BP,ROMDATA_W ), + conv_std_logic_vector( CP+BM,ROMDATA_W ), + conv_std_logic_vector( BM,ROMDATA_W ), + conv_std_logic_vector( CP,ROMDATA_W ), + (others => '0') + ); + +begin + + + process(clk) + begin + if clk = '1' and clk'event then + datao <= rom(CONV_INTEGER(UNSIGNED(addr)) ); + end if; + end process; + +end RTL; + + + Index: mkjpeg/branches/16rgb/trunk/design/mdct/DBUFCTL.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/mdct/DBUFCTL.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/mdct/DBUFCTL.VHD (revision 48) @@ -0,0 +1,73 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- +-- Title : DBUFCTL +-- Design : MDCT Core +-- Author : Michal Krepa +-- +-------------------------------------------------------------------------------- +-- +-- File : DBUFCTL.VHD +-- Created : Thu Mar 30 22:19 2006 +-- +-------------------------------------------------------------------------------- +-- +-- Description : Double buffer memory controller +-- +-------------------------------------------------------------------------------- +library IEEE; + use IEEE.STD_LOGIC_1164.all; + +library WORK; + use WORK.MDCT_PKG.all; + +entity DBUFCTL is + port( + clk : in STD_LOGIC; + rst : in STD_LOGIC; + wmemsel : in STD_LOGIC; + rmemsel : in STD_LOGIC; + datareadyack : in STD_LOGIC; + + memswitchwr : out STD_LOGIC; + memswitchrd : out STD_LOGIC; + dataready : out STD_LOGIC + ); +end DBUFCTL; + +architecture RTL of DBUFCTL is + + signal memswitchwr_reg : STD_LOGIC; + signal memswitchrd_reg : STD_LOGIC; + +begin + + memswitchwr <= memswitchwr_reg; + memswitchrd <= memswitchrd_reg; + + memswitchrd_reg <= rmemsel; + + MEM_SWITCH : process(clk,rst) + begin + if rst = '1' then + memswitchwr_reg <= '0'; -- initially mem 1 is selected + dataready <= '0'; + elsif clk = '1' and clk'event then + memswitchwr_reg <= wmemsel; + + if wmemsel /= memswitchwr_reg then + dataready <= '1'; + end if; + + if datareadyack = '1' then + dataready <= '0'; + end if; + end if; + end process; + +end RTL; +-------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/mdct/MDCT.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/mdct/MDCT.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/mdct/MDCT.VHD (revision 48) @@ -0,0 +1,219 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006-2009 -- +-- -- +-------------------------------------------------------------------------------- +-- +-- Title : DCT +-- Design : MDCT Core +-- Author : Michal Krepa +-- Company : None +-- +-------------------------------------------------------------------------------- +-- +-- File : MDCT.VHD +-- Created : Sat Feb 25 16:12 2006 +-- +-------------------------------------------------------------------------------- +-- +-- Description : Discrete Cosine Transform - chip top level (w/ memories) +-- +-------------------------------------------------------------------------------- + + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + +library WORK; + use WORK.MDCT_PKG.all; + + +entity MDCT is + port( + clk : in STD_LOGIC; + rst : in std_logic; + dcti : in std_logic_vector(IP_W-1 downto 0); + idv : in STD_LOGIC; + + odv : out STD_LOGIC; + dcto : out std_logic_vector(COE_W-1 downto 0); + -- debug + odv1 : out STD_LOGIC; + dcto1 : out std_logic_vector(OP_W-1 downto 0) + + ); +end MDCT; + +architecture RTL of MDCT is + + signal ramdatao_s : STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + signal ramraddro_s : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + signal ramwaddro_s : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); + signal ramdatai_s : STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + signal ramwe_s : STD_LOGIC; + + signal romedatao_s : T_ROM1DATAO; + signal romodatao_s : T_ROM1DATAO; + signal romeaddro_s : T_ROM1ADDRO; + signal romoaddro_s : T_ROM1ADDRO; + + signal rome2datao_s : T_ROM2DATAO; + signal romo2datao_s : T_ROM2DATAO; + signal rome2addro_s : T_ROM2ADDRO; + signal romo2addro_s : T_ROM2ADDRO; + + signal odv2_s : STD_LOGIC; + signal dcto2_s : STD_LOGIC_VECTOR(OP_W-1 downto 0); + signal trigger2_s : STD_LOGIC; + signal trigger1_s : STD_LOGIC; + signal ramdatao1_s : STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + signal ramdatao2_s : STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); + signal ramwe1_s : STD_LOGIC; + signal ramwe2_s : STD_LOGIC; + signal memswitchrd_s : STD_LOGIC; + signal memswitchwr_s : STD_LOGIC; + signal wmemsel_s : STD_LOGIC; + signal rmemsel_s : STD_LOGIC; + signal dataready_s : STD_LOGIC; + signal datareadyack_s : STD_LOGIC; + +begin + +------------------------------ +-- 1D DCT port map +------------------------------ +U_DCT1D : entity work.DCT1D + port map( + clk => clk, + rst => rst, + dcti => dcti, + idv => idv, + romedatao => romedatao_s, + romodatao => romodatao_s, + + odv => odv1, + dcto => dcto1, + romeaddro => romeaddro_s, + romoaddro => romoaddro_s, + ramwaddro => ramwaddro_s, + ramdatai => ramdatai_s, + ramwe => ramwe_s, + wmemsel => wmemsel_s + ); + +------------------------------ +-- 1D DCT port map +------------------------------ +U_DCT2D : entity work.DCT2D + port map( + clk => clk, + rst => rst, + romedatao => rome2datao_s, + romodatao => romo2datao_s, + ramdatao => ramdatao_s, + dataready => dataready_s, + + odv => odv, + dcto => dcto, + romeaddro => rome2addro_s, + romoaddro => romo2addro_s, + ramraddro => ramraddro_s, + rmemsel => rmemsel_s, + datareadyack => datareadyack_s + ); + +------------------------------ +-- RAM1 port map +------------------------------ +U1_RAM : entity work.RAM + port map ( + d => ramdatai_s, + waddr => ramwaddro_s, + raddr => ramraddro_s, + we => ramwe1_s, + clk => clk, + + q => ramdatao1_s + ); + +------------------------------ +-- RAM2 port map +------------------------------ +U2_RAM : entity work.RAM + port map ( + d => ramdatai_s, + waddr => ramwaddro_s, + raddr => ramraddro_s, + we => ramwe2_s, + clk => clk, + + q => ramdatao2_s + ); + +-- double buffer switch +ramwe1_s <= ramwe_s when memswitchwr_s = '0' else '0'; +ramwe2_s <= ramwe_s when memswitchwr_s = '1' else '0'; +ramdatao_s <= ramdatao1_s when memswitchrd_s = '0' else ramdatao2_s; + +------------------------------ +-- DBUFCTL +------------------------------ +U_DBUFCTL : entity work.DBUFCTL + port map( + clk => clk, + rst => rst, + wmemsel => wmemsel_s, + rmemsel => rmemsel_s, + datareadyack => datareadyack_s, + + memswitchwr => memswitchwr_s, + memswitchrd => memswitchrd_s, + dataready => dataready_s + ); + +------------------------------ +-- 1st stage ROMs +------------------------------ + +G_ROM_ST1 : for i in 0 to 8 generate + U1_ROME : entity work.ROME + port map( + addr => romeaddro_s(i), + clk => clk, + + datao => romedatao_s(i) + ); + + U1_ROMO : entity work.ROMO + port map( + addr => romoaddro_s(i), + clk => clk, + + datao => romodatao_s(i) + ); +end generate G_ROM_ST1; + +------------------------------ +-- 2nd stage ROMs +------------------------------ +G_ROM_ST2 : for i in 0 to 10 generate + U2_ROME : entity work.ROME + port map( + addr => rome2addro_s(i), + clk => clk, + + datao => rome2datao_s(i) + ); + + U2_ROMO : entity work.ROMO + port map( + addr => romo2addro_s(i), + clk => clk, + + datao => romo2datao_s(i) + ); + +end generate G_ROM_ST2; + +end RTL; Index: mkjpeg/branches/16rgb/trunk/design/mdct/MDCT_PKG.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/mdct/MDCT_PKG.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/mdct/MDCT_PKG.vhd (revision 48) @@ -0,0 +1,66 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- +-- Title : MDCT_PKG +-- Design : MDCT Core +-- Author : Michal Krepa +-- +-------------------------------------------------------------------------------- +-- +-- File : MDCT_PKG.VHD +-- Created : Sat Mar 5 2006 +-- +-------------------------------------------------------------------------------- +-- +-- Description : Package for MDCT core +-- +-------------------------------------------------------------------------------- + +library IEEE; + use IEEE.STD_LOGIC_1164.all; + use ieee.numeric_std.all; + +package MDCT_PKG is + + constant IP_W : INTEGER := 8; + constant OP_W : INTEGER := 12; + constant N : INTEGER := 8; + constant COE_W : INTEGER := 12; + constant ROMDATA_W : INTEGER := COE_W+2; + constant ROMADDR_W : INTEGER := 6; + constant RAMDATA_W : INTEGER := 10; + constant RAMADRR_W : INTEGER := 6; + constant COL_MAX : INTEGER := N-1; + constant ROW_MAX : INTEGER := N-1; + constant LEVEL_SHIFT : INTEGER := 128; + constant DA_W : INTEGER := ROMDATA_W+IP_W; + constant DA2_W : INTEGER := DA_W+2; + -- 2's complement numbers + + constant AP : INTEGER := 1448; + constant BP : INTEGER := 1892; + constant CP : INTEGER := 784; + constant DP : INTEGER := 2009; + constant EP : INTEGER := 1703; + constant FP : INTEGER := 1138; + constant GP : INTEGER := 400; + constant AM : INTEGER := -1448; + constant BM : INTEGER := -1892; + constant CM : INTEGER := -784; + constant DM : INTEGER := -2009; + constant EM : INTEGER := -1703; + constant FM : INTEGER := -1138; + constant GM : INTEGER := -400; + + type T_ROM1DATAO is array(0 to 8) of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0); + type T_ROM1ADDRO is array(0 to 8) of STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); + + type T_ROM2DATAO is array(0 to 10) of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0); + type T_ROM2ADDRO is array(0 to 10) of STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); + + +end MDCT_PKG; \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/mdct/ROMO.VHD =================================================================== --- mkjpeg/branches/16rgb/trunk/design/mdct/ROMO.VHD (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/mdct/ROMO.VHD (revision 48) @@ -0,0 +1,132 @@ +-------------------------------------------------------------------------------- +-- -- +-- V H D L F I L E -- +-- COPYRIGHT (C) 2006 -- +-- -- +-------------------------------------------------------------------------------- +-- +-- Title : DCT +-- Design : MDCT Core +-- Author : Michal Krepa +-- +-------------------------------------------------------------------------------- +-- +-- File : ROMO.VHD +-- Created : Sat Mar 5 7:37 2006 +-- Modified : Dez. 30 2008 - Andreas Bergmann +-- Libs and Typeconversion fixed due Xilinx Synthesis errors +-- +-------------------------------------------------------------------------------- +-- +-- Description : ROM for DCT matrix constant cosine coefficients (odd part) +-- +-------------------------------------------------------------------------------- + +-- 5:0 +-- 5:4 = select matrix row (1 out of 4) +-- 3:0 = select precomputed MAC ( 1 out of 16) + +library IEEE; + use IEEE.STD_LOGIC_1164.all; +-- use ieee.STD_LOGIC_signed.all; + use IEEE.STD_LOGIC_arith.all; + use WORK.MDCT_PKG.all; + +entity ROMO is + port( + addr : in STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); + clk : in STD_LOGIC; + + datao : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0) + ); + +end ROMO; + +architecture RTL of ROMO is + type ROM_TYPE is array (0 to 2**ROMADDR_W-1) + of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0); + constant rom : ROM_TYPE := + ( + (others => '0'), + conv_std_logic_vector( GP,ROMDATA_W ), + conv_std_logic_vector( FP,ROMDATA_W ), + conv_std_logic_vector( FP+GP,ROMDATA_W ), + conv_std_logic_vector( EP,ROMDATA_W ), + conv_std_logic_vector( EP+GP,ROMDATA_W ), + conv_std_logic_vector( EP+FP,ROMDATA_W ), + conv_std_logic_vector( EP+FP+GP,ROMDATA_W ), + conv_std_logic_vector( DP,ROMDATA_W ), + conv_std_logic_vector( DP+GP,ROMDATA_W ), + conv_std_logic_vector( DP+FP,ROMDATA_W ), + conv_std_logic_vector( DP+FP+GP,ROMDATA_W ), + conv_std_logic_vector( DP+EP,ROMDATA_W ), + conv_std_logic_vector( DP+EP+GP,ROMDATA_W ), + conv_std_logic_vector( DP+EP+FP,ROMDATA_W ), + conv_std_logic_vector( DP+EP+FP+GP,ROMDATA_W ), + + (others => '0'), + conv_std_logic_vector( FM,ROMDATA_W ), + conv_std_logic_vector( DM,ROMDATA_W ), + conv_std_logic_vector( DM+FM,ROMDATA_W ), + conv_std_logic_vector( GM,ROMDATA_W ), + conv_std_logic_vector( GM+FM,ROMDATA_W ), + conv_std_logic_vector( GM+DM,ROMDATA_W ), + conv_std_logic_vector( GM+DM+FM,ROMDATA_W ), + conv_std_logic_vector( EP,ROMDATA_W ), + conv_std_logic_vector( EP+FM,ROMDATA_W ), + conv_std_logic_vector( EP+DM,ROMDATA_W ), + conv_std_logic_vector( EP+DM+FM,ROMDATA_W ), + conv_std_logic_vector( EP+GM,ROMDATA_W ), + conv_std_logic_vector( EP+GM+FM,ROMDATA_W ), + conv_std_logic_vector( EP+GM+DM,ROMDATA_W ), + conv_std_logic_vector( EP+GM+DM+FM,ROMDATA_W ), + + (others => '0'), + conv_std_logic_vector( EP,ROMDATA_W ), + conv_std_logic_vector( GP,ROMDATA_W ), + conv_std_logic_vector( EP+GP,ROMDATA_W ), + conv_std_logic_vector( DM,ROMDATA_W ), + conv_std_logic_vector( DM+EP,ROMDATA_W ), + conv_std_logic_vector( DM+GP,ROMDATA_W ), + conv_std_logic_vector( DM+GP+EP,ROMDATA_W ), + conv_std_logic_vector( FP,ROMDATA_W ), + conv_std_logic_vector( FP+EP,ROMDATA_W ), + conv_std_logic_vector( FP+GP,ROMDATA_W ), + conv_std_logic_vector( FP+GP+EP,ROMDATA_W ), + conv_std_logic_vector( FP+DM,ROMDATA_W ), + conv_std_logic_vector( FP+DM+EP,ROMDATA_W ), + conv_std_logic_vector( FP+DM+GP,ROMDATA_W ), + conv_std_logic_vector( FP+DM+GP+EP,ROMDATA_W ), + + (others => '0'), + conv_std_logic_vector( DM,ROMDATA_W ), + conv_std_logic_vector( EP,ROMDATA_W ), + conv_std_logic_vector( EP+DM,ROMDATA_W ), + conv_std_logic_vector( FM,ROMDATA_W ), + conv_std_logic_vector( FM+DM,ROMDATA_W ), + conv_std_logic_vector( FM+EP,ROMDATA_W ), + conv_std_logic_vector( FM+EP+DM,ROMDATA_W ), + conv_std_logic_vector( GP,ROMDATA_W ), + conv_std_logic_vector( GP+DM,ROMDATA_W ), + conv_std_logic_vector( GP+EP,ROMDATA_W ), + conv_std_logic_vector( GP+EP+DM,ROMDATA_W ), + conv_std_logic_vector( GP+FM,ROMDATA_W ), + conv_std_logic_vector( GP+FM+DM,ROMDATA_W ), + conv_std_logic_vector( GP+FM+EP,ROMDATA_W ), + conv_std_logic_vector( GP+FM+EP+DM,ROMDATA_W ) + ); + +begin + + process(clk) + begin + if clk = '1' and clk'event then + datao <= rom( CONV_INTEGER(UNSIGNED(addr)) ); + end if; + end process; + +end RTL; + + + + Index: mkjpeg/branches/16rgb/trunk/design/outmux/OutMux.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/outmux/OutMux.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/outmux/OutMux.vhd (revision 48) @@ -0,0 +1,110 @@ +------------------------------------------------------------------------------- +-- File Name : OutMux.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : OutMux +-- +-- Content : Output Multiplexer +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090308: (MK): Initial Creation. +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- LIBRARY/PACKAGE --------------------------- +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- + +------------------------------------------------------------------------------- +-- generic packages/libraries: +------------------------------------------------------------------------------- +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +------------------------------------------------------------------------------- +-- user packages/libraries: +------------------------------------------------------------------------------- +library work; + use work.JPEG_PKG.all; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ENTITY ------------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +entity OutMux is + port + ( + CLK : in std_logic; + RST : in std_logic; + -- CTRL + out_mux_ctrl : in std_logic; + + -- ByteStuffer + bs_ram_byte : in std_logic_vector(7 downto 0); + bs_ram_wren : in std_logic; + bs_ram_wraddr : in std_logic_vector(23 downto 0); + + -- JFIFGen + jfif_ram_byte : in std_logic_vector(7 downto 0); + jfif_ram_wren : in std_logic; + jfif_ram_wraddr : in std_logic_vector(23 downto 0); + + -- OUT RAM + ram_byte : out std_logic_vector(7 downto 0); + ram_wren : out std_logic; + ram_wraddr : out std_logic_vector(23 downto 0) + ); +end entity OutMux; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of OutMux is + + + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + + ------------------------------------------------------------------- + -- Mux + ------------------------------------------------------------------- + p_ctrl : process(CLK, RST) + begin + if RST = '1' then + ram_byte <= (others => '0'); + ram_wren <= '0'; + ram_wraddr <= (others => '0'); + elsif CLK'event and CLK = '1' then + if out_mux_ctrl = '0' then + ram_byte <= jfif_ram_byte; + ram_wren <= jfif_ram_wren; + ram_wraddr <= std_logic_vector(jfif_ram_wraddr); + else + ram_byte <= bs_ram_byte; + ram_wren <= bs_ram_wren; + ram_wraddr <= bs_ram_wraddr; + end if; + end if; + end process; + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/design/iramif/IRAMIF.vhd =================================================================== --- mkjpeg/branches/16rgb/trunk/design/iramif/IRAMIF.vhd (nonexistent) +++ mkjpeg/branches/16rgb/trunk/design/iramif/IRAMIF.vhd (revision 48) @@ -0,0 +1,74 @@ +------------------------------------------------------------------------------- +-- File Name : IRamIF.vhd +-- +-- Project : JPEG_ENC +-- +-- Module : IRamIF +-- +-- Content : IMAGE RAM Interface +-- +-- Description : +-- +-- Spec. : +-- +-- Author : Michal Krepa +-- +------------------------------------------------------------------------------- +-- History : +-- 20090301: (MK): Initial Creation. +------------------------------------------------------------------------------- + +library ieee; + use ieee.std_logic_1164.all; + use ieee.numeric_std.all; + +entity IRamIF is + port + ( + CLK : in std_logic; + RST : in std_logic; + + -- IMAGE RAM + iram_addr : out std_logic_vector(19 downto 0); + iram_rdata : in std_logic_vector(23 downto 0); + + -- FDCT + jpg_iram_rden : in std_logic; + jpg_iram_rdaddr : in std_logic_vector(31 downto 0); + jpg_iram_data : out std_logic_vector(23 downto 0) + ); +end entity IRamIF; + +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +----------------------------------- ARCHITECTURE ------------------------------ +------------------------------------------------------------------------------- +------------------------------------------------------------------------------- +architecture RTL of IRamIF is + + +------------------------------------------------------------------------------- +-- Architecture: begin +------------------------------------------------------------------------------- +begin + + jpg_iram_data <= iram_rdata; + + ------------------------------------------------------------------- + -- + ------------------------------------------------------------------- + p_if : process(CLK, RST) + begin + if RST = '1' then + iram_addr <= (others => '0'); + elsif CLK'event and CLK = '1' then + -- host has access + iram_addr <= jpg_iram_rdaddr(iram_addr'range); + end if; + end process; + + +end architecture RTL; +------------------------------------------------------------------------------- +-- Architecture: end +------------------------------------------------------------------------------- \ No newline at end of file Index: mkjpeg/branches/16rgb/trunk/doc/JPEG.doc =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: mkjpeg/branches/16rgb/trunk/doc/JPEG.doc =================================================================== --- mkjpeg/branches/16rgb/trunk/doc/JPEG.doc (nonexistent) +++ mkjpeg/branches/16rgb/trunk/doc/JPEG.doc (revision 48)
mkjpeg/branches/16rgb/trunk/doc/JPEG.doc Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: mkjpeg/branches/16rgb/trunk/license-lgpl-3.0.txt =================================================================== --- mkjpeg/branches/16rgb/trunk/license-lgpl-3.0.txt (nonexistent) +++ mkjpeg/branches/16rgb/trunk/license-lgpl-3.0.txt (revision 48) @@ -0,0 +1,165 @@ + GNU LESSER GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + + This version of the GNU Lesser General Public License incorporates +the terms and conditions of version 3 of the GNU General Public +License, supplemented by the additional permissions listed below. + + 0. Additional Definitions. + + As used herein, "this License" refers to version 3 of the GNU Lesser +General Public License, and the "GNU GPL" refers to version 3 of the GNU +General Public License. + + "The Library" refers to a covered work governed by this License, +other than an Application or a Combined Work as defined below. + + An "Application" is any work that makes use of an interface provided +by the Library, but which is not otherwise based on the Library. +Defining a subclass of a class defined by the Library is deemed a mode +of using an interface provided by the Library. + + A "Combined Work" is a work produced by combining or linking an +Application with the Library. The particular version of the Library +with which the Combined Work was made is also called the "Linked +Version". + + The "Minimal Corresponding Source" for a Combined Work means the +Corresponding Source for the Combined Work, excluding any source code +for portions of the Combined Work that, considered in isolation, are +based on the Application, and not on the Linked Version. + + The "Corresponding Application Code" for a Combined Work means the +object code and/or source code for the Application, including any data +and utility programs needed for reproducing the Combined Work from the +Application, but excluding the System Libraries of the Combined Work. + + 1. Exception to Section 3 of the GNU GPL. + + You may convey a covered work under sections 3 and 4 of this License +without being bound by section 3 of the GNU GPL. + + 2. Conveying Modified Versions. + + If you modify a copy of the Library, and, in your modifications, a +facility refers to a function or data to be supplied by an Application +that uses the facility (other than as an argument passed when the +facility is invoked), then you may convey a copy of the modified +version: + + a) under this License, provided that you make a good faith effort to + ensure that, in the event an Application does not supply the + function or data, the facility still operates, and performs + whatever part of its purpose remains meaningful, or + + b) under the GNU GPL, with none of the additional permissions of + this License applicable to that copy. + + 3. Object Code Incorporating Material from Library Header Files. + + The object code form of an Application may incorporate material from +a header file that is part of the Library. You may convey such object +code under terms of your choice, provided that, if the incorporated +material is not limited to numerical parameters, data structure +layouts and accessors, or small macros, inline functions and templates +(ten or fewer lines in length), you do both of the following: + + a) Give prominent notice with each copy of the object code that the + Library is used in it and that the Library and its use are + covered by this License. + + b) Accompany the object code with a copy of the GNU GPL and this license + document. + + 4. Combined Works. + + You may convey a Combined Work under terms of your choice that, +taken together, effectively do not restrict modification of the +portions of the Library contained in the Combined Work and reverse +engineering for debugging such modifications, if you also do each of +the following: + + a) Give prominent notice with each copy of the Combined Work that + the Library is used in it and that the Library and its use are + covered by this License. + + b) Accompany the Combined Work with a copy of the GNU GPL and this license + document. + + c) For a Combined Work that displays copyright notices during + execution, include the copyright notice for the Library among + these notices, as well as a reference directing the user to the + copies of the GNU GPL and this license document. + + d) Do one of the following: + + 0) Convey the Minimal Corresponding Source under the terms of this + License, and the Corresponding Application Code in a form + suitable for, and under terms that permit, the user to + recombine or relink the Application with a modified version of + the Linked Version to produce a modified Combined Work, in the + manner specified by section 6 of the GNU GPL for conveying + Corresponding Source. + + 1) Use a suitable shared library mechanism for linking with the + Library. A suitable mechanism is one that (a) uses at run time + a copy of the Library already present on the user's computer + system, and (b) will operate properly with a modified version + of the Library that is interface-compatible with the Linked + Version. + + e) Provide Installation Information, but only if you would otherwise + be required to provide such information under section 6 of the + GNU GPL, and only to the extent that such information is + necessary to install and execute a modified version of the + Combined Work produced by recombining or relinking the + Application with a modified version of the Linked Version. (If + you use option 4d0, the Installation Information must accompany + the Minimal Corresponding Source and Corresponding Application + Code. If you use option 4d1, you must provide the Installation + Information in the manner specified by section 6 of the GNU GPL + for conveying Corresponding Source.) + + 5. Combined Libraries. + + You may place library facilities that are a work based on the +Library side by side in a single library together with other library +facilities that are not Applications and are not covered by this +License, and convey such a combined library under terms of your +choice, if you do both of the following: + + a) Accompany the combined library with a copy of the same work based + on the Library, uncombined with any other library facilities, + conveyed under the terms of this License. + + b) Give prominent notice with the combined library that part of it + is a work based on the Library, and explaining where to find the + accompanying uncombined form of the same work. + + 6. Revised Versions of the GNU Lesser General Public License. + + The Free Software Foundation may publish revised and/or new versions +of the GNU Lesser General Public License from time to time. Such new +versions will be similar in spirit to the present version, but may +differ in detail to address new problems or concerns. + + Each version is given a distinguishing version number. If the +Library as you received it specifies that a certain numbered version +of the GNU Lesser General Public License "or any later version" +applies to it, you have the option of following the terms and +conditions either of that published version or of any later version +published by the Free Software Foundation. If the Library as you +received it does not specify a version number of the GNU Lesser +General Public License, you may choose any version of the GNU Lesser +General Public License ever published by the Free Software Foundation. + + If the Library as you received it specifies that a proxy can decide +whether future versions of the GNU Lesser General Public License shall +apply, that proxy's public statement of acceptance of any version is +permanent authorization for you to choose that version for the +Library. Index: mkjpeg/branches/16rgb/trunk =================================================================== --- mkjpeg/branches/16rgb/trunk (nonexistent) +++ mkjpeg/branches/16rgb/trunk (revision 48)
mkjpeg/branches/16rgb/trunk Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: mkjpeg/branches/16rgb/web_uploads =================================================================== --- mkjpeg/branches/16rgb/web_uploads (nonexistent) +++ mkjpeg/branches/16rgb/web_uploads (revision 48)
mkjpeg/branches/16rgb/web_uploads Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: mkjpeg/branches/16rgb/branches =================================================================== --- mkjpeg/branches/16rgb/branches (nonexistent) +++ mkjpeg/branches/16rgb/branches (revision 48)
mkjpeg/branches/16rgb/branches Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ## Index: mkjpeg/branches/16rgb/tags =================================================================== --- mkjpeg/branches/16rgb/tags (nonexistent) +++ mkjpeg/branches/16rgb/tags (revision 48)
mkjpeg/branches/16rgb/tags Property changes : Added: svn:mergeinfo ## -0,0 +0,0 ##

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.