OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 48 to Rev 49
    Reverse comparison

Rev 48 → Rev 49

/mkjpeg/trunk/doc/JPEG.doc Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/mkjpeg/trunk/tb/vhdl/HostBFM.vhd
50,7 → 50,7
OPB_errAck : in std_logic;
-- HOST DATA
iram_wdata : out std_logic_vector(23 downto 0);
iram_wdata : out std_logic_vector(C_PIXEL_BITS-1 downto 0);
iram_wren : out std_logic;
fifo_almost_full : in std_logic;
255,10 → 255,16
x_cnt := 0;
for x_n in 0 to x_size-1 loop
data_word := X"00" & UNSIGNED(image_line(x_cnt to x_cnt+num_comps*IP_W-1));
data_word2(7 downto 0) := data_word(23 downto 16);
data_word2(15 downto 8) := data_word(15 downto 8);
data_word2(23 downto 16) := data_word(7 downto 0);
if C_PIXEL_BITS = 24 then
data_word2(7 downto 0) := data_word(23 downto 16);
data_word2(15 downto 8) := data_word(15 downto 8);
data_word2(23 downto 16) := data_word(7 downto 0);
else
data_word2(4 downto 0) := data_word(23 downto 19);
data_word2(10 downto 5) := data_word(15 downto 10);
data_word2(15 downto 11) := data_word(7 downto 3);
end if;
 
iram_wren <= '0';
iram_wdata <= (others => 'X');
while(fifo_almost_full = '1') loop
270,7 → 276,7
--end loop;
iram_wren <= '1';
iram_wdata <= std_logic_vector(data_word2(23 downto 0));
iram_wdata <= std_logic_vector(data_word2(C_PIXEL_BITS-1 downto 0));
wait until rising_edge(clk);
x_cnt := x_cnt + num_comps*IP_W;
/mkjpeg/trunk/tb/vhdl/JPEG_TB.VHD
32,6 → 32,7
use work.GPL_V2_Image_Pkg.ALL;
use WORK.MDCT_PKG.all;
use WORK.MDCTTB_PKG.all;
use work.JPEG_PKG.all;
 
entity JPEG_TB is
end JPEG_TB;
68,8 → 69,8
signal OPB_errAck : std_logic;
signal iram_waddr : std_logic_vector(19 downto 0);
signal iram_raddr : std_logic_vector(19 downto 0);
signal iram_wdata : std_logic_vector(23 downto 0);
signal iram_rdata : std_logic_vector(23 downto 0);
signal iram_wdata : std_logic_vector(C_PIXEL_BITS-1 downto 0);
signal iram_rdata : std_logic_vector(C_PIXEL_BITS-1 downto 0);
signal iram_wren : std_logic;
signal iram_rden : std_logic;
signal sim_done : std_logic;
/mkjpeg/trunk/design/BufFifo/BUF_FIFO.vhd
53,7 → 53,7
-- HOST DATA
iram_wren : in std_logic;
iram_wdata : in std_logic_vector(23 downto 0);
iram_wdata : in std_logic_vector(C_PIXEL_BITS-1 downto 0);
fifo_almost_full : out std_logic;
-- FDCT
73,7 → 73,7
architecture RTL of BUF_FIFO is
 
constant C_NUM_SUBF : integer := C_MAX_LINE_WIDTH/8;
constant C_PIXEL_BITS : integer := 24;
constant C_SUBF_ADDRW : integer := 7-C_MEMORY_OPTIMIZED;
--constant C_LOG2_NUM_SUBF : integer := integer(log2(real(C_NUM_SUBF)));
86,8 → 86,8
 
signal fifo_rd : std_logic_vector(C_NUM_SUBF-1 downto 0);
signal fifo_wr : std_logic_vector(C_NUM_SUBF-1 downto 0);
signal fifo_data : std_logic_vector(23 downto 0);
signal fifo_data_d1 : std_logic_vector(23 downto 0);
signal fifo_data : std_logic_vector(C_PIXEL_BITS-1 downto 0);
signal fifo_data_d1 : std_logic_vector(C_PIXEL_BITS-1 downto 0);
signal fifo_full : std_logic_vector(C_NUM_SUBF-1 downto 0);
signal fifo_empty : std_logic_vector(C_NUM_SUBF-1 downto 0);
signal fifo_half_full : std_logic_vector(C_NUM_SUBF-1 downto 0);
320,7 → 320,9
end if;
end process;
fdct_fifo_q <= ramq;
fdct_fifo_q <= (ramq(15 downto 11) & "000" &
ramq(10 downto 5) & "00" &
ramq(4 downto 0) & "000") when C_PIXEL_BITS = 16 else ramq;
-------------------------------------------------------------------
-- Mux3
/mkjpeg/trunk/design/top/JpegEnc.vhd
60,7 → 60,7
OPB_errAck : out std_logic;
-- IMAGE RAM
iram_wdata : in std_logic_vector(23 downto 0);
iram_wdata : in std_logic_vector(C_PIXEL_BITS-1 downto 0);
iram_wren : in std_logic;
iram_fifo_afull : out std_logic;
/mkjpeg/trunk/design/common/JPEG_PKG.vhd
38,6 → 38,10
-- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18%
constant C_MEMORY_OPTIMIZED : integer := 0;
-- 24 bit format RGB 888 bits
-- 16 bit format RGB 565 bits
constant C_PIXEL_BITS : integer := 16;
type T_SM_SETTINGS is record
x_cnt : unsigned(15 downto 0);
y_cnt : unsigned(15 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.