OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/branches/16rgb/trunk/design/common
    from Rev 48 to Rev 52
    Reverse comparison

Rev 48 → Rev 52

/JPEG_PKG.vhd
36,7 → 36,7
 
-- 0=highest clock per pixel performance
-- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18%
constant C_MEMORY_OPTIMIZED : integer := 0;
constant C_MEMORY_OPTIMIZED : integer := 1;
type T_SM_SETTINGS is record
x_cnt : unsigned(15 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.