OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/branches
    from Rev 22 to Rev 23
    Reverse comparison

Rev 22 → Rev 23

/main/doc/JPEG.doc Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/main/design/BufFifo/BUF_FIFO.vhd
75,7 → 75,8
constant C_NUM_SUBF : integer := ((C_MAX_LINE_WIDTH/8));
type T_DATA_ARR is array (0 to C_NUM_SUBF-1) of std_logic_vector(23 downto 0);
type T_CNT_ARR is array (0 to C_NUM_SUBF-1) of std_logic_vector(7 downto 0);
type T_CNT_ARR is array (0 to C_NUM_SUBF-1) of
std_logic_vector(7-C_MEMORY_OPTIMIZED downto 0);
 
signal fifo_rd : std_logic_vector(C_NUM_SUBF-1 downto 0);
signal fifo_wr : std_logic_vector(C_NUM_SUBF-1 downto 0);
106,7 → 107,7
generic map
(
DATA_WIDTH => 24,
ADDR_WIDTH => 7
ADDR_WIDTH => 7-C_MEMORY_OPTIMIZED
)
port map
(
139,10 → 140,18
end if;
if last_idx > 0 then
if unsigned(fifo_count(to_integer(last_idx)-4)) > to_unsigned(128-32,8) then
fifo_almost_full <= '1';
if C_MEMORY_OPTIMIZED = 0 then
if unsigned(fifo_count(to_integer(last_idx)-2)) > to_unsigned(128-2*8,8) then
fifo_almost_full <= '1';
else
fifo_almost_full <= '0';
end if;
else
fifo_almost_full <= '0';
if unsigned(fifo_count(to_integer(last_idx))) = to_unsigned(64,8) then
fifo_almost_full <= '1';
else
fifo_almost_full <= '0';
end if;
end if;
end if;
end if;
/main/design/common/JPEG_PKG.vhd
26,9 → 26,17
package JPEG_PKG is
 
constant C_HDR_SIZE : integer := 338;
-- do not change, constant
constant C_HDR_SIZE : integer := 338;
constant C_MAX_LINE_WIDTH : integer := 640;
-- warning! this parameter heavily affects memory size required
-- if expected image width is known change this parameter to match this
-- otherwise some onchip RAM will be wasted and never used
constant C_MAX_LINE_WIDTH : integer := 640;
 
-- 0=highest clock per pixel performance
-- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18%
constant C_MEMORY_OPTIMIZED : integer := 0;
type T_SM_SETTINGS is record
x_cnt : unsigned(15 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.