OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/branches
    from Rev 23 to Rev 26
    Reverse comparison

Rev 23 → Rev 26

/main/doc/JPEG.doc Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
main/doc/JPEG.doc Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: main/tb/matlab/img2txt.m =================================================================== --- main/tb/matlab/img2txt.m (revision 23) +++ main/tb/matlab/img2txt.m (nonexistent) @@ -1,48 +0,0 @@ -filename='test'; -filenamet=strcat(filename,'.txt'); - -I = imread(filename,'bmp'); - -IYUV=rgb2ycbcr(I); - -fid = fopen(filenamet,'w+'); -[X,Y,Z] = size(I); -fprintf(fid, '%d\n',Z); % number of image components -fprintf(fid, '%d\n',X); % lines -fprintf(fid, '%d\n',Y); % pixels in line -for x = 1:X - for y = 1:Y - for z = 1:Z - R = int16(I(x,y,1)); - G = int16(I(x,y,2)); - B = int16(I(x,y,3)); - % Y - if z == 1 - sample = (0.299*R)+(0.587*G)+(0.114*B); - % Cb - elseif z == 2 - sample = (-0.1687*R)-(0.3313*G)+(0.5*B)+128; - % Cr - elseif z == 3 - sample = (0.5*R)-(0.4187*G)-(0.0813*B)+128; - end - if sample > 255 - sample = 255; - elseif sample < 0 - sample = 0; - end - ID(x,y,z) = sample; - - %sample = IYUV(x,y,z); - sample = I(x,y,z); - - if sample < 16 - fprintf(fid, '0%x', double(sample)); - else - fprintf(fid, '%x', double(sample)); - end; - end; - end; - fprintf(fid,'\n'); -end; -fclose(fid); Index: main/tb/matlab/txt2img.m =================================================================== --- main/tb/matlab/txt2img.m (revision 23) +++ main/tb/matlab/txt2img.m (nonexistent) @@ -1,47 +0,0 @@ -clear -fid = fopen('test.txt','r+'); - -line = fgetl(fid); -uns16 = sscanf(line, '%d'); -num_comp = double(uns16); - -line = fgetl(fid); -uns16 = sscanf(line, '%d'); -y_size = double(uns16); -line = fgetl(fid); -uns16 = sscanf(line, '%d'); -x_size = double(uns16); - -for y = 1 : y_size , - for x = 1 : x_size , - for z = 1 : num_comp - signed = fscanf(fid, '%2x',1); - E(y,x,z) = uint8(signed); - end - end -end - -imwrite(E, 'imageo.jpg','jpg','Quality',100); -fclose(fid); - -return; -%%%%%%%%%%%%%%% -clear -fid = fopen('imagee.txt','r+'); - -line = fgetl(fid); -uns16 = sscanf(line, '%d'); -y_size = double(uns16); -line = fgetl(fid); -uns16 = sscanf(line, '%d'); -x_size = double(uns16); - -for y = 1 : y_size , - for x = 1 : x_size , - signed = fscanf(fid, '%2x',1); - E(y,x) = uint8(signed); - end -end - -imwrite(E, 'imagee.jpg','jpg'); -fclose(fid); \ No newline at end of file Index: main/tb/matlab/test.bmp =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: main/tb/matlab/test.bmp =================================================================== --- main/tb/matlab/test.bmp (revision 23) +++ main/tb/matlab/test.bmp (nonexistent)
main/tb/matlab/test.bmp Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: main/tb/wave.do =================================================================== --- main/tb/wave.do (revision 23) +++ main/tb/wave.do (nonexistent) @@ -1,655 +0,0 @@ -onerror {resume} -quietly virtual signal -install /jpeg_tb/u_jpegenc/u_fdct { /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr(5 downto 0)} wad -quietly virtual signal -install /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider { /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out(27 downto 16)} mult_out_msb -quietly WaveActivateNextPane {} 0 -add wave -noupdate -divider HostBFM -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/clk -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/rst -add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_abus -add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_be -add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_in -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_rnw -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_select -add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_out -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_xferack -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_retry -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_toutsup -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_errack -add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/iram_wdata -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/iram_wren -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/fifo_almost_full -add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/sim_done -add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc -add wave -noupdate -divider JpegEnc -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_be -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_in -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_rnw -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_select -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_out -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_xferack -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_retry -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_toutsup -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_errack -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/iram_wdata -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/iram_wren -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_byte -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/ram_wren -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_wraddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qdata -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qaddr -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/qwren -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_busy -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/outram_base_addr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/num_enc_bytes -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_x -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_y -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/sof -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpg_iram_rden -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdaddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdata -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zz_buf_sel -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_rd_addr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_data -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_buf_sel -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_rdaddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_data -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_buf_sel -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_rdaddr -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_rden -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_runlength -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_size -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_amplitude -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_dval -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_buf_sel -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_fifo_empty -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_rd_req -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_packed_byte -add wave -noupdate -divider CtrlSM -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/sof -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_x -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_y -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_busy -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_ready -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/fdct_sm_settings -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zig_sm_settings -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_sm_settings -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_sm_settings -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/main_state -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/cmp_max -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/reg -add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/rsm -add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/start -add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/idle -add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/start_pb -add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/ready_pb -add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_ctrlsm/fsm -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/start1_d -add wave -noupdate -divider BUF_FIFO -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_block_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_empty -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_rd -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data_d1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_q -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_empty -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/last_idx -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/wblock_cnt -add wave -noupdate -divider FDCT -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_pb -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/ready_pb -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/writing_en -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fdct_sm_settings -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_block_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty -add wave -noupdate -format Literal -radix hexadecimal /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_hf_full -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_int -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_data -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_we -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_waddr -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_raddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty_d1 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_started -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_buf_sel -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_rd_addr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_data -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_rden -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_x -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_y -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/sof -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/mdct_data_in -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_idval -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_odval -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/mdct_data_out -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/odv1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dcto1 -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt_cur -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt_cur -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cmp_idx -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rd_addr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/input_rd_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en_d1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rdaddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_dval -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wr_cnt -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_data -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/dbuf_we -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_raddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/xw_cnt -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/yw_cnt -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q_z1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/sim_rd_addr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_2 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_3 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_2 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_3 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_2 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_3 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/r_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/g_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/b_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_8bit -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_8bit -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_8bit -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d2 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d3 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d4 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d5 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d6 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d7 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d8 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d9 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_wr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_full -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_empty -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fifo1_count -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_q_dval -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo_data_in -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo_rd_arm -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wad -add wave -noupdate -divider mdct -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rst -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcti -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/idv -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/odv -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcto -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/odv1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcto1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatao_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramraddro_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwaddro_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatai_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwe_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romedatao_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romodatao_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romeaddro_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romoaddro_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rome2datao_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romo2datao_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rome2addro_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/romo2addro_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/odv2_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dcto2_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/trigger2_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/trigger1_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatao1_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramdatao2_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwe1_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/ramwe2_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/memswitchrd_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/memswitchwr_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/wmemsel_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/rmemsel_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/dataready_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/datareadyack_s -add wave -noupdate -divider dct1d -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/rst -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcti -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/idv -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romedatao -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romodatao -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/odv -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcto -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romeaddro -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romoaddro -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramdatai -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/databuf_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/latchbuf_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/col_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/row_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/rowr_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/inpcnt_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcto_1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/dcto_2 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe_d1 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwe_d2 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel_reg -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel_d1 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/wmemsel_d2 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/stage2_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/stage2_cnt_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/col_2_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro_d1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/ramwaddro_d2 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/even_not_odd -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/even_not_odd_d1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romedatao_d1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct1d/romodatao_d1 -add wave -noupdate -divider dct2d -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rst -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/ramdatao -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/dataready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/odv -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/dcto -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/ramraddro -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rmemsel -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/datareadyack -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/databuf_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/latchbuf_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/col_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/row_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/colram_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rowram_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/colr_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rowr_reg -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/rmemsel_reg -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/stage1_reg -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/stage2_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/stage2_cnt_reg -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/u_mdct/u_dct2d/dataready_2_reg -add wave -noupdate -divider ZZ_TOP -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/start_pb -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/ready_pb -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zig_sm_settings -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rle_buf_sel -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rle_rdaddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rle_data -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_rd_addr -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/fdct_data -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qdata -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qaddr -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/qwren -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_data -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/dbuf_we -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_waddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_raddr -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_di -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_divalid -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dout -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dovalid -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/quant_dout -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/quant_dovalid -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/wr_cnt -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_cnt -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_en_d -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rd_en -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in -add wave -noupdate -divider {zigzag core} -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di -add wave -noupdate -format Logic -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in -add wave -noupdate -divider quantizer -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/clk -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/di -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/divalid -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/do -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/dovalid -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/romaddr_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/slv_romaddr_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/romdatao_s -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/di_d1 -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/divisor_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/remainder_s -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/do_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/round_s -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/sign_bit_pipe -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/pipeline_reg -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/do_rdiv -add wave -noupdate -divider r_divider -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/clk -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/a -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/d -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/q -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/romr_addr -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/romr_datao -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/dividend -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/dividend_d1 -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/reciprocal -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out_msb -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/mult_out_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit_d1 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit_d2 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_quantizer/r_divider/signbit_d3 -add wave -noupdate -divider RLE_TOP -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/start_pb -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/ready_pb -add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/rle_sm_settings -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_buf_sel -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_rden -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/huf_runlength -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_size -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_amplitude -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_fifo_empty -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/zig_buf_sel -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/zig_rd_addr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/zig_data -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_data -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/dbuf_we -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rd_cnt -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rd_en_d -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rd_en -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_runlength -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_size -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_amplitude -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_dovalid -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_di -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_divalid -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/zig_buf_sel_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval_p0 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/wr_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count -add wave -noupdate -divider rle_core -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/clk -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/di -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/divalid -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/start_pb -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/sof -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/acc_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/ampli_vli_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength_reg -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zero_cnt -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt_d1 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/amplitude -add wave -noupdate -divider HUFFMAN -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/start_pb -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_pb -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_sm_settings -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/sof -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/runlength -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size -add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_huffman/vli -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_x -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_y -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_fifo_empty -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/state -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/first_rle_word -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/word_reg -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/bit_ptr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/num_fifo_wrs -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wbyte -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wrt_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/fifo_wren -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/last_block -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/image_area_size -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/block_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rd_en -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_d -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_d -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_size -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext_size -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc_size -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac_size -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d1 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d2 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d3 -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_hfw -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/hfw_running -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_r -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_r -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_buf_sel -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_fifo_empty -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_rd_req -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/bs_packed_byte -add wave -noupdate -divider DoubleFIFO -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/rst -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/data_in -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/wren -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/buf_sel -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/rd_req -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo_empty -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/data_out -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_rd -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_wr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_full -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_empty -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo1_count -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_rd -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_wr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_q -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_full -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_empty -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo2_count -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/u_doublefifo/fifo_data_in -add wave -noupdate -divider BYTE_STUFFER -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/start_pb -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ready_pb -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/sof -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_bytestuffer/num_enc_bytes -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/outram_base_addr -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_fifo_empty -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/huf_packed_byte -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/latch_byte -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/data_valid -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/wait_for_ndata -add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_bytestuffer/huf_data_val -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wdata_reg -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wraddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wr_n_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rd_en -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req_s -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wren -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wraddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_byte -add wave -noupdate -format Logic /jpeg_tb/sim_done -add wave -noupdate -divider JFIFGen -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/start -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ready -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/eoi -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/qwren -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwaddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwdata -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg_wr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/ram_byte -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ram_wren -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_jfifgen/ram_wraddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_data -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_waddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_raddr -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/hr_we -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_q -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/size_wr_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/size_wr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en_d1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d1 -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d2 -add wave -noupdate -divider OutMux -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/clk -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/rst -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/out_mux_ctrl -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/bs_ram_byte -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wren -add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wraddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_byte -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wren -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wraddr -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_byte -add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/ram_wren -add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_wraddr -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 4} {78632065 ps} 0} -configure wave -namecolwidth 150 -configure wave -valuecolwidth 55 -configure wave -justifyvalue left -configure wave -signalnamewidth 1 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ps -update -WaveRestoreZoom {78558950 ps} {78764030 ps} Index: main/tb/modelsim.ini =================================================================== --- main/tb/modelsim.ini (revision 23) +++ main/tb/modelsim.ini (nonexistent) @@ -1,1067 +0,0 @@ -; Copyright 1991-2008 Mentor Graphics Corporation -; -; All Rights Reserved. -; -; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF -; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. -; - -[Library] -others = $MODEL_TECH/../modelsim.ini -;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release -;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release - -work = work -[vcom] -; VHDL93 variable selects language version as the default. -; Default is VHDL-2002. -; Value of 0 or 1987 for VHDL-1987. -; Value of 1 or 1993 for VHDL-1993. -; Default or value of 2 or 2002 for VHDL-2002. -VHDL93 = 2002 - -; Show source line containing error. Default is off. -; Show_source = 1 - -; Turn off unbound-component warnings. Default is on. -; Show_Warning1 = 0 - -; Turn off process-without-a-wait-statement warnings. Default is on. -; Show_Warning2 = 0 - -; Turn off null-range warnings. Default is on. -; Show_Warning3 = 0 - -; Turn off no-space-in-time-literal warnings. Default is on. -; Show_Warning4 = 0 - -; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. -; Show_Warning5 = 0 - -; Turn off optimization for IEEE std_logic_1164 package. Default is on. -; Optimize_1164 = 0 - -; Turn on resolving of ambiguous function overloading in favor of the -; "explicit" function declaration (not the one automatically created by -; the compiler for each type declaration). Default is off. -; The .ini file has Explicit enabled so that std_logic_signed/unsigned -; will match the behavior of synthesis tools. -Explicit = 1 - -; Turn off acceleration of the VITAL packages. Default is to accelerate. -; NoVital = 1 - -; Turn off VITAL compliance checking. Default is checking on. -; NoVitalCheck = 1 - -; Ignore VITAL compliance checking errors. Default is to not ignore. -; IgnoreVitalErrors = 1 - -; Turn off VITAL compliance checking warnings. Default is to show warnings. -; Show_VitalChecksWarnings = 0 - -; Turn off PSL assertion warning messages. Default is to show warnings. -; Show_PslChecksWarnings = 0 - -; Enable parsing of embedded PSL assertions. Default is enabled. -; EmbeddedPsl = 0 - -; Keep silent about case statement static warnings. -; Default is to give a warning. -; NoCaseStaticError = 1 - -; Keep silent about warnings caused by aggregates that are not locally static. -; Default is to give a warning. -; NoOthersStaticError = 1 - -; Treat as errors: -; case statement static warnings -; warnings caused by aggregates that are not locally static -; Overrides NoCaseStaticError, NoOthersStaticError settings. -; PedanticErrors = 1 - -; Turn off inclusion of debugging info within design units. -; Default is to include debugging info. -; NoDebug = 1 - -; Turn off "Loading..." messages. Default is messages on. -; Quiet = 1 - -; Turn on some limited synthesis rule compliance checking. Checks only: -; -- signals used (read) by a process must be in the sensitivity list -; CheckSynthesis = 1 - -; Activate optimizations on expressions that do not involve signals, -; waits, or function/procedure/task invocations. Default is off. -; ScalarOpts = 1 - -; Turns on lint-style checking. -; Show_Lint = 1 - -; Require the user to specify a configuration for all bindings, -; and do not generate a compile time default binding for the -; component. This will result in an elaboration error of -; 'component not bound' if the user fails to do so. Avoids the rare -; issue of a false dependency upon the unused default binding. -; RequireConfigForAllDefaultBinding = 1 - -; Perform default binding at compile time. -; Default is to do default binding at load time. -; BindAtCompile=1; - -; Inhibit range checking on subscripts of arrays. Range checking on -; scalars defined with subtypes is inhibited by default. -; NoIndexCheck = 1 - -; Inhibit range checks on all (implicit and explicit) assignments to -; scalar objects defined with subtypes. -; NoRangeCheck = 1 - -; Run the 0in tools from within the simulator. -; Default value set to 0. Please set it to 1 to invoke 0in. -; VcomZeroIn = 1 - -; Set the options to be passed to the 0in tools. -; Default value set to "". Please set it to appropriate options needed. -; VcomZeroInOptions = "" - -; Turn on code coverage in VHDL design units. Default is off. -; Coverage = sbceft - -; Turn off code coverage in VHDL subprograms. Default is on. -; CoverageNoSub = 0 - -; Automatically exclude VHDL case statement default branches. -; Default is to not exclude. -; CoverExcludeDefault = 1 - -; Turn on code coverage in VHDL generate blocks. Default is on. -CoverGenerate = 1 - -; Inform code coverage optimizations to respect VHDL 'H' and 'L' -; values on signals in conditions and expressions, and to not automatically -; convert them to '1' and '0'. Default is to not convert. -; CoverRespectHandL = 0 - -; Use this directory for compiler temporary files instead of "work/_temp" -; CompilerTempDir = /tmp - -; Add VHDL-AMS declarations to package STANDARD -; Default is not to add -; AmsStandard = 1 - -; Range and length checking will be performed on array indices and discrete -; ranges, and when violations are found within subprograms, errors will be -; reported. Default is to issue warnings for violations, because subprograms -; may not be invoked. -; NoDeferSubpgmCheck = 0 - -[vlog] - -; Turn off inclusion of debugging info within design units. -; Default is to include debugging info. -; NoDebug = 1 - -; Turn on `protect compiler directive processing. -; Default is to ignore `protect directives. -; Protect = 1 - -; Turn off "Loading..." messages. Default is messages on. -; Quiet = 1 - -; Turn on Verilog hazard checking (order-dependent accessing of global vars). -; Default is off. -; Hazard = 1 - -; Turn on converting regular Verilog identifiers to uppercase. Allows case -; insensitivity for module names. Default is no conversion. -; UpCase = 1 - -; Activate optimizations on expressions that do not involve signals, -; waits, or function/procedure/task invocations. Default is off. -; ScalarOpts = 1 - -; Turns on lint-style checking. -; Show_Lint = 1 - -; Show source line containing error. Default is off. -; Show_source = 1 - -; Turn on bad option warning. Default is off. -; Show_BadOptionWarning = 1 - -; Revert back to IEEE 1364-1995 syntax, default is 0 (off). -vlog95compat = 0 - -; Turn off PSL warning messages. Default is to show warnings. -; Show_PslChecksWarnings = 0 - -; Enable parsing of embedded PSL assertions. Default is enabled. -; EmbeddedPsl = 0 - -; Set the threshold for automatically identifying sparse Verilog memories. -; A memory with depth equal to or more than the sparse memory threshold gets -; marked as sparse automatically, unless specified otherwise in source code -; or by +nosparse commandline option of vlog or vopt. -; The default is 1M. (i.e. memories with depth equal to or more than 1M are -; marked as sparse) -SparseMemThreshold = 1048576 - -; Set the maximum number of iterations permitted for a generate loop. -; Restricting this permits the implementation to recognize infinite -; generate loops. -; GenerateLoopIterationMax = 100000 - -; Set the maximum depth permitted for a recursive generate instantiation. -; Restricting this permits the implementation to recognize infinite -; recursions. -; GenerateRecursionDepthMax = 200 - -; Run the 0in tools from within the simulator. -; Default value set to 0. Please set it to 1 to invoke 0in. -; VlogZeroIn = 1 - -; Set the options to be passed to the 0in tools. -; Default value set to "". Please set it to appropriate options needed. -; VlogZeroInOptions = "" - -; Run the 0in tools from within the simulator. -; Default value set to 0. Please set it to 1 to invoke 0in. -; VoptZeroIn = 1 - -; Set the options to be passed to the 0in tools. -; Default value set to "". Please set it to appropriate options needed. -; VoptZeroInOptions = "" - -; Set the option to treat all files specified in a vlog invocation as a -; single compilation unit. The default value is set to 0 which will treat -; each file as a separate compilation unit as specified in the P1800 draft standard. -; MultiFileCompilationUnit = 1 - -; Turn on code coverage in Verilog design units. Default is off. -; Coverage = sbceft - -; Automatically exclude Verilog case statement default branches. -; Default is to not exclude. -; CoverExcludeDefault = 1 - -; Turn on code coverage in VLOG generate blocks. Default is on. -CoverGenerate = 1 - -; Turn on code coverage in VLOG `celldefine modules and modules included -; using vlog -v and -y. Default is on. -CoverCells = 0 - -; Control compiler and VOPT optimizations that are allowed when -; code coverage is on. This is a number from 1 to 4, with the following -; meanings (the default is 3): -; 1 -- Turn off all optimizations that affect coverage reports. -; 2 -- Allow optimizations that allow large performance improvements -; by invoking sequential processes only when the data changes. -; Allow VHDL FF recognition. This may make major reductions in -; coverage counts. -; 3 -- In addition, allow optimizations that may change expressions or -; remove some statements. Allow constant propagation. -; 4 -- In addition, allow optimizations that may remove major regions of -; code by changing assignments to built-ins or removing unused -; signals. Allow VHDL subprogram inlining. Change Verilog gates to -; continuous assignments. -CoverOpt = 3 - -; Specify the override for the default value of "cross_num_print_missing" -; option for the Cross in Covergroups. If not specified then LRM default -; value of 0 (zero) is used. This is a compile time option. -; SVCrossNumPrintMissingDefault = 0 - -; Setting following to 1 would cause creation of variables which -; would represent the value of Coverpoint expressions. This is used -; in conjunction with "SVCoverpointExprVariablePrefix" option -; in the modelsim.ini -; EnableSVCoverpointExprVariable = 0 - -; Specify the override for the prefix used in forming the variable names -; which represent the Coverpoint expressions. This is used in conjunction with -; "EnableSVCoverpointExprVariable" option of the modelsim.ini -; The default prefix is "expr". -; The variable name is -; variable name => _ -; SVCoverpointExprVariablePrefix = expr - -; Override for the default value of the SystemVerilog covergroup, -; coverpoint, and cross option.goal (defined to be 100 in the LRM). -; NOTE: It does not override specific assignments in SystemVerilog -; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" -; can override this value. -; SVCovergroupGoalDefault = 100 - -; Override for the default value of the SystemVerilog covergroup, -; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) -; NOTE: It does not override specific assignments in SystemVerilog -; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" -; can override this value. -; SVCovergroupTypeGoalDefault = 100 - -; Specify the override for the default value of "strobe" option for the -; Covergroup Type. This is a compile time option which forces "strobe" to -; a user specified default value and supersedes SystemVerilog specified -; default value of '0'(zero). NOTE: This can be overriden by a runtime -; modelsim.ini variable "SVCovergroupStrobeDefault". -; SVCovergroupStrobeDefault = 0 - -; Specify the override for the default value of "per_instance" option for the -; Covergroup variables. This is a compile time option which forces "per_instance" -; to a user specified default value and supersedes SystemVerilog specified -; default value of '0'(zero). NOTE: This can be overriden by a runtime -; modelsim.ini variable "SVCovergroupPerInstanceDefault". -; SVCovergroupPerInstanceDefault = 0 - -; -; A space separated list of resource libraries that contain precompiled -; packages. The behavior is identical to using the "-L" switch. -; -; LibrarySearchPath = [ ...] -LibrarySearchPath = mtiAvm - -; The behavior is identical to the "-mixedansiports" switch. Default is off. -; MixedAnsiPorts = 1 - -; Enable SystemVerilog 3.1a $typeof() function. Default is off. -; EnableTypeOf = 1 - -; Only allow lower case pragmas. Default is disabled. -; AcceptLowerCasePragmaOnly = 1 - -; Set the maximum depth permitted for a recursive include file nesting. -; IncludeRecursionDepthMax = 5 - -[sccom] -; Enable use of SCV include files and library. Default is off. -; UseScv = 1 - -; Add C++ compiler options to the sccom command line by using this variable. -; CppOptions = -g - -; Use custom C++ compiler located at this path rather than the default path. -; The path should point directly at a compiler executable. -; CppPath = /usr/bin/g++ - -; Enable verbose messages from sccom. Default is off. -; SccomVerbose = 1 - -; sccom logfile. Default is no logfile. -; SccomLogfile = sccom.log - -; Enable use of SC_MS include files and library. Default is off. -; UseScMs = 1 - -[vsim] - -; vopt flow -; Set to turn on automatic optimization of a design. -; Default is on -VoptFlow = 1 - -; vopt automatic SDF -; If automatic design optimization is on, enables automatic compilation -; of SDF files. -; Default is on, uncomment to turn off. -; VoptAutoSDFCompile = 0 - -; Simulator resolution -; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. -Resolution = ns - -; Enables certain code coverage exclusions automatically. Set AutoExclusions = none to disable. -AutoExclusions = fsm - -; User time unit for run commands -; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the -; unit specified for Resolution. For example, if Resolution is 100ps, -; then UserTimeUnit defaults to ps. -; Should generally be set to default. -UserTimeUnit = default - -; Default run length -RunLength = 100 - -; Maximum iterations that can be run without advancing simulation time -IterationLimit = 5000 - -; Control PSL and Verilog Assume directives during simulation -; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts -; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts -; SimulateAssumeDirectives = 1 - -; Control the simulation of PSL and SVA -; These switches can be overridden by the vsim command line switches: -; -psl, -nopsl, -sva, -nosva. -; Set SimulatePSL = 0 to disable PSL simulation -; Set SimulatePSL = 1 to enable PSL simulation (default) -; SimulatePSL = 1 -; Set SimulateSVA = 0 to disable SVA simulation -; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) -; SimulateSVA = 1 - -; Directives to license manager can be set either as single value or as -; space separated multi-values: -; vhdl Immediately reserve a VHDL license -; vlog Immediately reserve a Verilog license -; plus Immediately reserve a VHDL and Verilog license -; nomgc Do not look for Mentor Graphics Licenses -; nomti Do not look for Model Technology Licenses -; noqueue Do not wait in the license queue when a license is not available -; viewsim Try for viewer license but accept simulator license(s) instead -; of queuing for viewer license (PE ONLY) -; noviewer Disable checkout of msimviewer and vsim-viewer license -; features (PE ONLY) -; noslvhdl Disable checkout of qhsimvh and vsim license features -; noslvlog Disable checkout of qhsimvl and vsimvlog license features -; nomix Disable checkout of msimhdlmix and hdlmix license features -; nolnl Disable checkout of msimhdlsim and hdlsim license features -; mixedonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license -; features -; lnlonly Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix, -; hdlmix license features -; Single value: -; License = plus -; Multi-value: -; License = noqueue plus - -; Stop the simulator after a VHDL/Verilog immediate assertion message -; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal -BreakOnAssertion = 3 - -; VHDL assertion Message Format -; %S - Severity Level -; %R - Report Message -; %T - Time of assertion -; %D - Delta -; %I - Instance or Region pathname (if available) -; %i - Instance pathname with process -; %O - Process name -; %K - Kind of object path is to return: Instance, Signal, Process or Unknown -; %P - Instance or Region path without leaf process -; %F - File -; %L - Line number of assertion or, if assertion is in a subprogram, line -; from which the call is made -; %% - Print '%' character -; If specific format for assertion level is defined, use its format. -; If specific format is not defined for assertion level: -; - and if failure occurs during elaboration, use MessageFormatBreakLine; -; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion -; level), use MessageFormatBreak; -; - otherwise, use MessageFormat. -; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" -; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" -; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n" -; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" -; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" -; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" -; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" -; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" - -; Error File - alternate file for storing error messages -; ErrorFile = error.log - - -; Simulation Breakpoint messages -; This flag controls the display of function names when reporting the location -; where the simulator stops do to a breakpoint or fatal error. -; Example w/function name: # Break in Process ctr at counter.vhd line 44 -; Example wo/function name: # Break at counter.vhd line 44 -ShowFunctions = 1 - - -; Default radix for all windows and commands. -; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned -DefaultRadix = symbolic - -; VSIM Startup command -; Startup = do startup.do - -; File for saving command transcript -TranscriptFile = transcript - -; File for saving command history -; CommandHistory = cmdhist.log - -; Specify whether paths in simulator commands should be described -; in VHDL or Verilog format. -; For VHDL, PathSeparator = / -; For Verilog, PathSeparator = . -; Must not be the same character as DatasetSeparator. -PathSeparator = / - -; Specify the dataset separator for fully rooted contexts. -; The default is ':'. For example: sim:/top -; Must not be the same character as PathSeparator. -DatasetSeparator = : - -; Specify a unique path separator for the Signal Spy set of functions. -; The default will be to use the PathSeparator variable. -; Must not be the same character as DatasetSeparator. -; SignalSpyPathSeparator = / - -; Used to control parsing of HDL identifiers input to the tool. -; This includes CLI commands, vsim/vopt/vlog/vcom options, -; string arguments to FLI/VPI/DPI calls, etc. -; If set to 1, accept either Verilog escaped Id syntax or -; VHDL extended id syntax, regardless of source language. -; If set to 0, the syntax of the source language must be used. -; Each identifier in a hierarchical name may need different syntax, -; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or -; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" -; GenerousIdentifierParsing = 1 - -; Disable VHDL assertion messages -; IgnoreNote = 1 -; IgnoreWarning = 1 -; IgnoreError = 1 -; IgnoreFailure = 1 - -; Disable System Verilog assertion messages -; Info and Warning are disabled by default -; IgnoreSVAInfo = 0 -; IgnoreSVAWarning = 0 -; IgnoreSVAError = 1 -; IgnoreSVAFatal = 1 - -; Default force kind. May be freeze, drive, deposit, or default -; or in other terms, fixed, wired, or charged. -; A value of "default" will use the signal kind to determine the -; force kind, drive for resolved signals, freeze for unresolved signals -; DefaultForceKind = freeze - -; If zero, open files when elaborated; otherwise, open files on -; first read or write. Default is 0. -; DelayFileOpen = 1 - -; Control VHDL files opened for write. -; 0 = Buffered, 1 = Unbuffered -UnbufferedOutput = 0 - -; Control the number of VHDL files open concurrently. -; This number should always be less than the current ulimit -; setting for max file descriptors. -; 0 = unlimited -ConcurrentFileLimit = 40 - -; Control the number of hierarchical regions displayed as -; part of a signal name shown in the Wave window. -; A value of zero tells VSIM to display the full name. -; The default is 0. -; WaveSignalNameWidth = 0 - -; Turn off warnings when changing VHDL constants and generics -; Default is 1 to generate warning messages -; WarnConstantChange = 0 - -; Turn off warnings from the std_logic_arith, std_logic_unsigned -; and std_logic_signed packages. -; StdArithNoWarnings = 1 - -; Turn off warnings from the IEEE numeric_std and numeric_bit packages. -; NumericStdNoWarnings = 1 - -; Control the format of the (VHDL) FOR generate statement label -; for each iteration. Do not quote it. -; The format string here must contain the conversion codes %s and %d, -; in that order, and no other conversion codes. The %s represents -; the generate_label; the %d represents the generate parameter value -; at a particular generate iteration (this is the position number if -; the generate parameter is of an enumeration type). Embedded whitespace -; is allowed (but discouraged); leading and trailing whitespace is ignored. -; Application of the format must result in a unique scope name over all -; such names in the design so that name lookup can function properly. -; GenerateFormat = %s__%d - -; Specify whether checkpoint files should be compressed. -; The default is 1 (compressed). -; CheckpointCompressMode = 0 - -; Specify whether to enable SystemVerilog DPI out-of-the-blue call. -; Out-of-the-blue call refers to a SystemVerilog export function call -; directly from a C function that don't have the proper context setup -; as done in DPI-C import C functions. When this is enabled, one can -; call a DPI export function (but not task) from any C code. -; The default is 0 (disabled). -; DpiOutOfTheBlue = 1 - -; List of dynamically loaded objects for Verilog PLI applications -; Veriuser = veriuser.sl - - -; Should the tool conform to the 2001 or 2005 VPI object model -; Note that System Verilog objects are only available in the 2005 object model -; The tool default is the latest available LRM behavior -; Options here are: 2001 2005 latest -; PliCompatDefault = 2005 - -; Specify default options for the restart command. Options can be one -; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions -; DefaultRestartOptions = -force - -; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs -; (> 500 megabyte memory footprint). Default is disabled. -; Specify number of megabytes to lock. -; LockedMemory = 1000 - -; HP-UX 11.00 ONLY - Use /usr/lib/libCsup_v2.sl for shared object loading. -; This is necessary when C++ files have been compiled with aCC's -AA option. -; The default behavior is to use /usr/lib/libCsup.sl. -; UseCsupV2 = 1 - -; Turn on (1) or off (0) WLF file compression. -; The default is 1 (compress WLF file). -; WLFCompress = 0 - -; Specify whether to save all design hierarchy (1) in the WLF file -; or only regions containing logged signals (0). -; The default is 0 (save only regions with logged signals). -; WLFSaveAllRegions = 1 - -; WLF file time limit. Limit WLF file by time, as closely as possible, -; to the specified amount of simulation time. When the limit is exceeded -; the earliest times get truncated from the file. -; If both time and size limits are specified the most restrictive is used. -; UserTimeUnits are used if time units are not specified. -; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} -; WLFTimeLimit = 0 - -; WLF file size limit. Limit WLF file size, as closely as possible, -; to the specified number of megabytes. If both time and size limits -; are specified then the most restrictive is used. -; The default is 0 (no limit). -; WLFSizeLimit = 1000 - -; Specify whether or not a WLF file should be deleted when the -; simulation ends. A value of 1 will cause the WLF file to be deleted. -; The default is 0 (do not delete WLF file when simulation ends). -; WLFDeleteOnQuit = 1 - -; Specify whether or not a WLF file should be optimized during -; simulation. If set to 0, the WLF file will not be optimized. -; The default is 1, optimize the WLF file. -; WLFOptimize = 0 - -; Specify the name of the WLF file. -; The default is vsim.wlf -; WLFFilename = vsim.wlf - -; Specify the WLF reader cache size limit for each open WLF file. -; The size is giving in megabytes. A value of 0 turns off the -; WLF cache. -; WLFSimCacheSize allows a different cache size to be set for -; simulation WLF file independent of post-simulation WLF file -; viewing. If WLFSimCacheSize is not set it defaults to the -; WLFCacheSize setting. -; The default WLFCacheSize setting is enabled to 256M per open WLF file. -; WLFCacheSize = 2000 -; WLFSimCacheSize = 500 - -; Specify the WLF file event collapse mode. -; 0 = Preserve all events and event order. (same as -wlfnocollapse) -; 1 = Only record values of logged objects at the end of a simulator iteration. -; (same as -wlfcollapsedelta) -; 2 = Only record values of logged objects at the end of a simulator time step. -; (same as -wlfcollapsetime) -; The default is 1. -; WLFCollapseMode = 0 - -; Specify whether WLF file logging can use threads on multi-processor machines -; if 0, no threads will be used, if 1, threads will be used if the system has -; more than one processor -; WLFUseThreads = 1 - -; Turn on/off undebuggable SystemC type warnings. Default is on. -; ShowUndebuggableScTypeWarning = 0 - -; Turn on/off unassociated SystemC name warnings. Default is off. -; ShowUnassociatedScNameWarning = 1 - -; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. -; ScShowIeeeDeprecationWarnings = 1 - -; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. -; ScEnableScSignalWriteCheck = 1 - -; Set SystemC default time unit. -; Set to fs, ps, ns, us, ms, or sec with optional -; prefix of 1, 10, or 100. The default is 1 ns. -; The ScTimeUnit value is honored if it is coarser than Resolution. -; If ScTimeUnit is finer than Resolution, it is set to the value -; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, -; then the default time unit will be 1 ns. However if Resolution -; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. -ScTimeUnit = ns - -; Set SystemC sc_main stack size. The stack size is set as an integer -; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or -; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends -; on the amount of data on the sc_main() stack and the memory required -; to succesfully execute the longest function call chain of sc_main(). -ScMainStackSize = 10 Mb - -; Turn on/off execution of remainder of sc_main upon quitting the current -; simulation session. If the cumulative length of sc_main() in terms of -; simulation time units is less than the length of the current simulation -; run upon quit or restart, sc_main() will be in the middle of execution. -; This switch gives the option to execute the remainder of sc_main upon -; quitting simulation. The drawback of not running sc_main till the end -; is memory leaks for objects created by sc_main. If on, the remainder of -; sc_main will be executed ignoring all delays. This may cause the simulator -; to crash if the code in sc_main is dependent on some simulation state. -; Default is on. -ScMainFinishOnQuit = 1 - -; Set the SCV relationship name that will be used to identify phase -; relations. If the name given to a transactor relation matches this -; name, the transactions involved will be treated as phase transactions -ScvPhaseRelationName = mti_phase - -; Customize the vsim kernel shutdown behavior at the end of the simulation. -; Some common causes of the end of simulation are $finish (implicit or explicit), -; sc_stop(), tf_dofinish(), and assertion failures. -; This should be set to "ask", "exit", or "stop". The default is "ask". -; "ask" -- In batch mode, the vsim kernel will abruptly exit. -; In GUI mode, a dialog box will pop up and ask for user confirmation -; whether or not to quit the simulation. -; "stop" -- Cause the simulation to stay loaded in memory. This can make some -; post-simulation tasks easier. -; "exit" -- The simulation will abruptly exit without asking for any confirmation. -; Note: these ini variables can be overriden by the vsim command -; line switch "-onfinish ". -OnFinish = ask - -; Print "simstats" result at the end of simulation before shutdown. -; If this is enabled, the simstats result will be printed out before shutdown. -; The default is off. -; PrintSimStats = 1 - -; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages -; AssertFile = assert.log - -; Run simulator in assertion debug mode. Default is off. -; AssertionDebug = 1 - -; Turn on/off PSL/SVA concurrent assertion pass enable. -; For SVA, Default is on when the assertion has a pass action block or vsim switch -assertdebug is used and the visibility flag "+acc=a" is turned on in vopt. -; For PSL, Default is on only when vsim switch "-assertdebug" is used and the visibility flag "+acc=a" is turned on in vopt. -; AssertionPassEnable = 0 - -; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on. -; AssertionFailEnable = 0 - -; Set PSL/SVA concurrent assertion pass limit. Default is -1. -; Any positive integer, -1 for infinity. -; AssertionPassLimit = 1 - -; Set PSL/SVA concurrent assertion fail limit. Default is -1. -; Any positive integer, -1 for infinity. -; AssertionFailLimit = 1 - -; Turn on/off PSL concurrent assertion pass log. Default is off. -; The flag does not affect SVA -; AssertionPassLog = 1 - -; Turn on/off PSL concurrent assertion fail log. Default is on. -; The flag does not affect SVA -; AssertionFailLog = 0 - -; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. -; 0 = Continue 1 = Break 2 = Exit -; AssertionFailAction = 1 - -; Enable the active thread monitor in the waveform display when assertion debug is enabled. -; AssertionActiveThreadMonitor = 1 - -; Control how many waveform rows will be used for displaying the active threads. Default is 5. -; AssertionActiveThreadMonitorLimit = 5 - -; Control how many thread start times will be preserved for ATV viewing for a given assertion -; instance. Default is -1 (ALL). -; ATVStartTimeKeepCount = -1 - -; Turn on/off code coverage -; CodeCoverage = 0 - -; Count all code coverage condition and expression truth table rows that match. -; CoverCountAll = 1 - -; Turn off automatic inclusion of VHDL integers in toggle coverage. Default -; is to include them. -; ToggleNoIntegers = 1 - -; Set the maximum number of values that are collected for toggle coverage of -; VHDL integers. Default is 100; -; ToggleMaxIntValues = 100 - -; Turn on automatic inclusion of Verilog integers in toggle coverage, except -; for enumeration types. Default is to not include them. -; ToggleVlogIntegers = 1 - -; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. -; For unlimited width, set to 0. -; ToggleWidthLimit = 128 - -; Limit the counts that are tracked for toggle coverage. When all edges for a bit have -; reached this count, further activity on the bit is ignored. Default is 1. -; For unlimited counts, set to 0. -; ToggleCountLimit = 1 - -; Turn on/off all PSL/SVA cover directive enables. Default is on. -; CoverEnable = 0 - -; Turn on/off PSL/SVA cover log. Default is off. -; CoverLog = 1 - -; Set "at_least" value for all PSL/SVA cover directives. Default is 1. -; CoverAtLeast = 2 - -; Set "limit" value for all PSL/SVA cover directives. Default is -1. -; Any positive integer, -1 for infinity. -; CoverLimit = 1 - -; Specify the coverage database filename. Default is "" (i.e. database is NOT automatically saved on close). -; UCDBFilename = vsim.ucdb - -; Specify the maximum limit for the number of Cross (bin) products reported -; in XML and UCDB report against a Cross. A warning is issued if the limit -; is crossed. -; MaxReportRhsSVCrossProducts = 1000 - -; Specify the override for the "auto_bin_max" option for the Covergroups. -; If not specified then value from Covergroup "option" is used. -; SVCoverpointAutoBinMax = 64 - -; Specify the override for the value of "cross_num_print_missing" -; option for the Cross in Covergroups. If not specified then value -; specified in the "option.cross_num_print_missing" is used. This -; is a runtime option. NOTE: This overrides any "cross_num_print_missing" -; value specified by user in source file and any SVCrossNumPrintMissingDefault -; specified in modelsim.ini. -; SVCrossNumPrintMissing = 0 - -; Specify whether to use the value of "cross_num_print_missing" -; option in report and GUI for the Cross in Covergroups. If not specified then -; cross_num_print_missing is ignored for creating reports and displaying -; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". -; UseSVCrossNumPrintMissing = 0 - -; Specify the override for the value of "strobe" option for the -; Covergroup Type. If not specified then value in "type_option.strobe" -; will be used. This is runtime option which forces "strobe" to -; user specified value and supersedes user specified values in the -; SystemVerilog Code. NOTE: This also overrides the compile time -; default value override specified using "SVCovergroupStrobeDefault" -; SVCovergroupStrobe = 0 - -; Override for explicit assignments in source code to "option.goal" of -; SystemVerilog covergroup, coverpoint, and cross. It also overrides the -; default value of "option.goal" (defined to be 100 in the SystemVerilog -; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". -; SVCovergroupGoal = 100 - -; Override for explicit assignments in source code to "type_option.goal" of -; SystemVerilog covergroup, coverpoint, and cross. It also overrides the -; default value of "type_option.goal" (defined to be 100 in the SystemVerilog -; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". -; SVCovergroupTypeGoal = 100 - -; Enable or disable generation of more detailed information about the sampling of covergroup, -; cross, and coverpoints. It provides the details of the number of times the covergroup -; instance and type were sampled, as well as details about why covergroup, cross and -; coverpoint were not covered. A non-zero value is to enable this feature. 0 is to -; disable this feature. Default is 0; -; SVCovergroupSampleInfo = 0 - -; Specify the maximum number of Coverpoint bins in whole design for -; all Covergroups. -; MaxSVCoverpointBinsDesign = 2147483648 - -; Specify maximum number of Coverpoint bins in any instance of a Covergroup -; MaxSVCoverpointBinsInst = 2147483648 - -; Specify the maximum number of Cross bins in whole design for -; all Covergroups. -; MaxSVCrossBinsDesign = 2147483648 - -; Specify maximum number of Cross bins in any instance of a Covergroup -; MaxSVCrossBinsInst = 2147483648 - -; Set weight for all PSL/SVA cover directives. Default is 1. -; CoverWeight = 2 - -; Check vsim plusargs. Default is 0 (off). -; 0 = Don't check plusargs -; 1 = Warning on unrecognized plusarg -; 2 = Error and exit on unrecognized plusarg -; CheckPlusargs = 1 - -; Load the specified shared objects with the RTLD_GLOBAL flag. -; This gives global visibility to all symbols in the shared objects, -; meaning that subsequently loaded shared objects can bind to symbols -; in the global shared objects. The list of shared objects should -; be whitespace delimited. This option is not supported on the -; Windows or AIX platforms. -; GlobalSharedObjectList = example1.so example2.so example3.so - -; Run the 0in tools from within the simulator. -; Default value set to 0. Please set it to 1 to invoke 0in. -; VsimZeroIn = 1 - -; Set the options to be passed to the 0in tools. -; Default value set to "". Please set it to appropriate options needed. -; VsimZeroInOptions = "" - -; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog). -; Sv_Seed = 0 - -; Maximum size of dynamic arrays that are resized during randomize(). -; The default is 1000. A value of 0 indicates no limit. -; SolveArrayResizeMax = 1000 - -; Error message severity when randomize() failure is detected (SystemVerilog). -; The default is 0 (no error). -; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal -; SolveFailSeverity = 0 - -; Enable/disable debug information for randomize() failures (SystemVerilog). -; The default is 0 (disabled). Set to 1 to enable. -; SolveFailDebug = 0 - -; When SolveFailDebug is enabled, this value specifies the algorithm used to -; discover conflicts between constraints for randomize() failures. -; The default is "many". -; -; Valid schemes are: -; "many" = best for determining conflicts due to many related constraints -; "few" = best for determining conflicts due to few related constraints -; -; SolveFailDebugScheme = many - -; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value -; specifies the maximum number of constraint subsets that will be tested for -; conflicts. -; The default is 0 (no limit). -; SolveFailDebugLimit = 0 - -; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value -; specifies the maximum size of constraint subsets that will be tested for -; conflicts. -; The default value is 0 (no limit). -; SolveFailDebugMaxSet = 0 - -; Maximum size of the solution graph that may be generated during randomize(). -; This value can be used to force randomize() to abort if the complexity of -; the constraint scenario (both in memory and time spent during evaluation) -; exceeds the specified limit. This value is specified in 1000s of nodes. -; The default is 10000. A value of 0 indicates no limit. -; SolveGraphMaxSize = 10000 - -; Use SolveFlags to specify options that will guide the behavior of the -; constraint solver. These options may improve the performance of the -; constraint solver for some testcases, and decrease the performance of -; the constraint solver for others. -; The default value is "" (no options). -; -; Valid flags are: -; i = disable bit interleaving for >, >=, <, <= constraints -; n = disable bit interleaving for all constraints -; r = reverse bit interleaving -; -; SolveFlags = - -; Specify random sequence compatiblity with a prior letter release. This -; option is used to get the same random sequences during simulation as -; as a prior letter release. Only prior letter releases (of the current -; number release) are allowed. -; Note: To achieve the same random sequences, solver optimizations and/or -; bug fixes introduced since the specified release may be disabled - -; yielding the performance / behavior of the prior release. -; Default value set to "" (random compatibility not required). -; SolveRev = - -; Environment variable expansion of command line arguments has been depricated -; in favor shell level expansion. Universal environment variable expansion -; inside -f files is support and continued support for MGC Location Maps provide -; alternative methods for handling flexible pathnames. -; The following line may be uncommented and the value set to 1 to re-enable this -; deprecated behavior. The default value is 0. -; DeprecatedEnvironmentVariableExpansion = 0 - -; Turn on/off collapsing of bus ports in VCD dumpports output -DumpportsCollapse = 1 - -StdArithNoWarnings = 1 -NumericStdNoWarnings = 1 -[lmc] -; The simulator's interface to Logic Modeling's SmartModel SWIFT software -libsm = $MODEL_TECH/libsm.sl -; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) -; libsm = $MODEL_TECH/libsm.dll -; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) -; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl -; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) -; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o -; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) -; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so -; Logic Modeling's SmartModel SWIFT software (Windows NT) -; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll -; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) -; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so -; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) -; libswift = $LMC_HOME/lib/linux.lib/libswift.so - -; The simulator's interface to Logic Modeling's hardware modeler SFI software -libhm = $MODEL_TECH/libhm.sl -; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) -; libhm = $MODEL_TECH/libhm.dll -; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) -; libsfi = /lib/hp700/libsfi.sl -; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) -; libsfi = /lib/rs6000/libsfi.a -; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) -; libsfi = /lib/sun4.solaris/libsfi.so -; Logic Modeling's hardware modeler SFI software (Windows NT) -; libsfi = /lib/pcnt/lm_sfi.dll -; Logic Modeling's hardware modeler SFI software (Linux) -; libsfi = /lib/linux/libsfi.so - -[msg_system] -; Change a message severity or suppress a message. -; The format is: = [,...] -; Examples: -; note = 3009 -; warning = 3033 -; error = 3010,3016 -; fatal = 3016,3033 -; suppress = 3009,3016,3043 -; The command verror can be used to get the complete -; description of a message. - -; Control transcripting of elaboration/runtime messages. -; The default is to have messages appear in the transcript and -; recorded in the wlf file (messages that are recorded in the -; wlf file can be viewed in the MsgViewer). The other settings -; are to send messages only to the transcript or only to the -; wlf file. The valid values are -; both {default} -; tran {transcript only} -; wlf {wlf file only} -; msgmode = both - -; Control transcripting of Verilog display system task messages. -; These system tasks include $display[bho], $strobe[bho], -; Smonitor{bho], and $write[bho]. They also include the analogous -; file I/O tasks that write to STDOUT (i.e. $fwrite or $fdisplay). -; The default is to have messages appear only in the transcript. -; The other settings are to send messages to the wlf file only -; (messages that are recorded in the wlf file can be viewed in the -; MsgViewer) or to both the transcript and the wlf file. The valid -; values are -; tran {transcript only (default)} -; wlf {wlf file only} -; both {transcript and wlf file} -; displaymsgmode = tran - Index: main/tb/COMPILE.do =================================================================== --- main/tb/COMPILE.do (revision 23) +++ main/tb/COMPILE.do (nonexistent) @@ -1,107 +0,0 @@ -#-----------------------------------------------------------------------------# -# # -# M A C R O F I L E # -# COPYRIGHT (C) 2006 # -# # -#-----------------------------------------------------------------------------# -#- -#- Title : MDCT_TB.DO -#- Design : Unsigned Pipelined Divider -#- Author : Michal Krepa -#- -#------------------------------------------------------------------------------ -#- -#- File : MDCT_TB.DO -#- Created : Sat Mar 5 2006 -#- -#------------------------------------------------------------------------------ -#- -#- Description : ModelSim macro for compilation -#- -#------------------------------------------------------------------------------ -#transcript file log.txt - -#vdel work - -vlib work -vmap work work - -# common -vcom ../design/common/JPEG_PKG.VHD -vcom ../design/common/RAMZ.VHD -vcom ../design/common/FIFO.VHD -vcom ../design/common/SingleSM.VHD - -vcom vhdl/DCT_TROM.vhd - -# buffifo -vcom ../design/buffifo/Buf_Fifo.vhd - -# fdct -vcom ../design/mdct/MDCT_PKG.vhd -vcom ../design/mdct/ROMO.vhd -vcom ../design/mdct/ROME.vhd -vcom ../design/mdct/RAM.vhd -vcom ../design/mdct/DBUFCTL.vhd -vcom ../design/mdct/DCT1D.vhd -vcom ../design/mdct/DCT2D.vhd -vcom ../design/mdct/MDCT.vhd -vcom ../design/mdct/FDCT.vhd - -#test -vcom ../tb/vhdl/DCT_TROM.vhd - -# quantizer -vcom ../design/quantizer/ROMQ.vhd -vcom ../design/quantizer/s_divider.vhd -vcom ../design/quantizer/ROMR.vhd -vcom ../design/quantizer/r_divider.vhd -vcom ../design/quantizer/QUANTIZER.vhd - -# zigzag -vcom ../design/zigzag/ZIGZAG.vhd -vcom ../design/zigzag/ZZ_TOP.vhd - -# rle -vcom ../design/rle/RleDoubleFifo.vhd -vcom ../design/rle/RLE.vhd -vcom ../design/rle/RLE_TOP.vhd - -# huffman -vcom ../design/huffman/DoubleFifo.vhd -vcom ../design/huffman/DC_ROM.vhd -vcom ../design/huffman/AC_ROM.vhd -vcom ../design/huffman/Huffman.vhd - -# bytestuffer -vcom ../design/bytestuffer/ByteStuffer.vhd - -# control -vcom ../design/control/CtrlSM.vhd - -# HostIF -vcom ../design/hostif/HostIF.vhd - -# IRamIF -vcom ../design/iramif/IRAMIF.vhd - -# jfifgen -vcom ../design/jfifgen/JFIFGen.vhd - -# outmux -vcom ../design/outmux/OutMux.vhd - -# top -vcom ../design/top/JpegEnc.vhd - -# testbench -vcom vhdl/ramsim.vhd -vcom vhdl/mdcttb_pkg.vhd -vcom vhdl/GPL_V2_Image_Pkg.vhd -vcom vhdl/ClkGen.vhd -vcom vhdl/HostBFM.vhd -vcom vhdl/JPEG_TB.vhd - - - - Index: main/tb/sim.do =================================================================== --- main/tb/sim.do (revision 23) +++ main/tb/sim.do (nonexistent) @@ -1,12 +0,0 @@ -do compile.do - -vsim -t ps -lib WORK JPEG_TB -novopt - -mem load -infile header.hex -format hex /JPEG_TB/U_JpegEnc/U_JFIFGen/U_Header_RAM - -do wave.do -radix hex - -run 1 us - - Index: main/tb/header.hex =================================================================== --- main/tb/header.hex (revision 23) +++ main/tb/header.hex (nonexistent) @@ -1,338 +0,0 @@ -FF -D8 -FF -E0 -00 -10 -4A -46 -49 -46 -00 -01 -01 -00 -00 -01 -00 -01 -00 -00 -FF -C0 -00 -11 -08 -01 -20 -01 -60 -03 -01 -11 -00 -02 -11 -00 -03 -11 -00 -FF -DB -00 -43 -00 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -01 -FF -C4 -00 -1F -00 -00 -01 -05 -01 -01 -01 -01 -01 -01 -00 -00 -00 -00 -00 -00 -00 -00 -01 -02 -03 -04 -05 -06 -07 -08 -09 -0A -0B -FF -C4 -00 -B5 -10 -00 -02 -01 -03 -03 -02 -04 -03 -05 -05 -04 -04 -00 -00 -01 -7D -01 -02 -03 -00 -04 -11 -05 -12 -21 -31 -41 -06 -13 -51 -61 -07 -22 -71 -14 -32 -81 -91 -A1 -08 -23 -42 -B1 -C1 -15 -52 -D1 -F0 -24 -33 -62 -72 -82 -09 -0A -16 -17 -18 -19 -1A -25 -26 -27 -28 -29 -2A -34 -35 -36 -37 -38 -39 -3A -43 -44 -45 -46 -47 -48 -49 -4A -53 -54 -55 -56 -57 -58 -59 -5A -63 -64 -65 -66 -67 -68 -69 -6A -73 -74 -75 -76 -77 -78 -79 -7A -83 -84 -85 -86 -87 -88 -89 -8A -92 -93 -94 -95 -96 -97 -98 -99 -9A -A2 -A3 -A4 -A5 -A6 -A7 -A8 -A9 -AA -B2 -B3 -B4 -B5 -B6 -B7 -B8 -B9 -BA -C2 -C3 -C4 -C5 -C6 -C7 -C8 -C9 -CA -D2 -D3 -D4 -D5 -D6 -D7 -D8 -D9 -DA -E1 -E2 -E3 -E4 -E5 -E6 -E7 -E8 -E9 -EA -F1 -F2 -F3 -F4 -F5 -F6 -F7 -F8 -F9 -FA -FF -DA -00 -0C -03 -01 -00 -02 -00 -03 -00 -00 -3F -00 Index: main/tb/test.txt =================================================================== --- main/tb/test.txt (revision 23) +++ main/tb/test.txt (nonexistent) @@ -1,483 +0,0 @@ -3 -480 -640 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -2f598b305a8c315b8d325c8e345e90355f913660923761933363933363933464943464943565953666963666963767973565953565953666963666963767973868983868983969993666963868983a6a9a3c6c9c3d6d9d3d6d9d3c6c9c3b6b9b3969993b6b9b3d6d9d3e6e9e3f6f9f3f6f9f3e6e9e3d6d9d3b6b9b3d6d9d3f6f9f4070a04171a14171a14070a03f6f9f3c709f3c709f3c709f3c709f3c709f3c709f3c709f3c709f3e6e9e3e6e9e3e6e9e3e6e9e3d6d9d3d6d9d3c6c9c3c6c9c4070a04272a24575a54777a74777a74575a54272a24070a03f6f9f4070a04070a04171a14272a24272a24373a34373a34171a14474a44777a74676a64474a44373a34676a64979a94373a34676a64979a94878a84676a64575a54878a84b7bab4575a54878a84b7bab4a7aaa4878a84777a74a7aaa4d7dad4d78a54d78a54f7aa7507ba8527daa537eab5580ad5580ad577daa577daa577daa587eab597fac5a80ad5a80ad5a80ad5f86af6087b06087b06188b16289b26289b2638ab3638ab3698cb6698cb66a8db76a8db76b8eb86b8eb86c8fb96c8fb96689b16689b1678ab2688bb3698cb46b8eb66d90b86e91b96c90b26d91b36f93b57296b87498ba779bbd799dbf7a9ec07a9bbe7c9dc07e9fc280a1c480a1c47d9ec17a9bbe7899bc7b9cbb7fa0bf82a3c281a2c17fa0bf7fa0bf82a3c285a6c58ba8c88ba8c88ca9c98ca9c98daaca8eabcb8eabcb8faccc91afcb90aeca8fadc98fadc98fadc991afcb93b1cd94b2ce8eacc88eacc88eacc88eacc88eacc88eacc88eacc88eacc88dabc78dabc78caac68caac68caac68ba9c58ba9c58ba9c58fadc990aeca92b0cc95b3cf98b6d29ab8d49cbad69dbbd79cb5d3a0b9d7a3bcdaa3bcdaa0b9d79fb8d6a1bad8a4bddba2bbd9a2bbd9a1bad8a1bad8a1bad8a0b9d7a0b9d7a0b9d79fb9d29fb9d29fb9d29fb9d29fb9d29fb9d29fb9d29fb9d2a3bdd6a3bdd6a2bcd5a1bbd4a0bad39fb9d29eb8d19db7d09ab4cd9cb6cf9fb9d2a2bcd5a3bdd6a4bed7a4bed7a4bed7a9bed9a9bed9a9bed9aabfdaaabfdaabc0dbabc0dbabc0dba6bbd6a8bdd8aabfdaa9bed9a6bbd6a7bcd7abc0dbb0c5e0b1c7deb0c6ddb0c6ddb0c6ddb1c7deb3c9e0b5cbe2b6cce3b7c9e1b7c9e1b8cae2b8cae2b9cbe3b9cbe3bacce4bacce4b8cadeb8cadeb9cbdfb9cbdfbacce0bbcde1bbcde1bccee2b9cbdfbacce0bccee2bfd1e5c2d4e8c4d6eac6d8ecc7d9edc5d2e3c6d3e4c8d5e6c9d6e7cad7e8cad7e8c9d6e7c8d5e6cad7e8cad7e8cbd8e9cbd8e9cbd8e9cbd8e9cbd8e9cbd8e9c8dbeac8dbeac8dbeac8dbeac8dbeac8dbeac8dbeac8dbeac8d5e6c9d6e7cad7e8cbd8e9cbd8e9cad7e8c9d6e7c8d5e6c6d9e8c6d9e8c7dae9c7dae9c8dbeac9dcebc9dcebcaddecc6d9e8c6d9e8c6d9e8c6d9e8c6d9e8c6d9e8c6d9e8c6d9e8c2d5e6c5d8e9c8dbecc7daebc4d7e8c2d5e6c4d7e8c6d9eac3d6e5c3d6e5c2d5e4c2d5e4c1d4e3c0d3e2c0d3e2bfd2e1c2d4e8c2d4e8c2d4e8c2d4e8c2d4e8c2d4e8c2d4e8c2d4e8bfd1e5c0d2e6c1d3e7c1d3e7c0d2e6bfd1e5bdcfe3bbcde1bad0e5b9cfe4b7cde4b5cbe3b2c7e4afc4e3adc1e2acc0e1a9bed9aabfdaabc0dbadc2ddaec3deb0c5e0b1c6e1b2c7e2b4cae2b3c9e1b2c8e0b0c6deaec4dcacc2daabc1d9aac0d8a9c3daa8c2d9a5bfd8a1bad89db6d599b1d396aed294acd08ba8c88ba8c88ba8c88aa7c78aa7c78ba8c88eabcb90adcd94b2ce95b3cf95b3cf96b4d095b3cf93b1cd91afcb90aeca94aecf95afd096b0d196b0d195afd093adce90aacb8fa9ca85a3c785a3c784a2c6809ec27e9cc0809ec287a5c98eacd08cadcc8cadcc8daccb8eabcb91abcc94acce97aed09bafd299b3ca9bb5cc9db7ce9cb6cd9db7cea2bcd3aac4dbb1cbe2aac2daabc3dbabc3dbacc4dcadc5ddaec6deafc7dfafc7dfb3c7e0b6cae3b9cde6b8cce5b5c9e2b2c6dfb2c6dfb4c8e1b8cde2b8cde2b9cee3b9cee3bacfe4bbd0e5bbd0e5bcd1e6b6cbe0b7cce1b8cde2b8cde2b8cde2b6cbe0b5cadfb3c8ddaec6deafc7dfafc7dfafc7dfadc5ddabc3dba8c0d8a7bfd7a2bed3a2bed3a1bdd39ab6ce92adc88ba6c48aa4c58aa4c5849ebf87a1c28ca6c790aacb93adce93adce92accd91abcc8dabc791afcb97b5d19dbbd7a0bedaa0beda9fbdd99dbbd7a2bbd9a3bcdaa4bddba5bedca3bcdaa1bad89eb7d59db6d495b3cf95b3cf95b3cf96b4d096b4d096b4d096b4d096b4d099b7d399b7d39ab8d49bb9d59bb9d599b7d398b6d297b5d19eb8d1a2bcd5a7c1daa8c2dba7c1daa6c0d9a8c2dbaac4dda9c3deaac4dfacc6e1adc7e2adc7e2acc6e1aac4dfa9c3dea4bed7a5bfd8a6c0d9a7c1daa7c1daa6c0d9a5bfd8a4bed7a5bfd8a9c3dcabc5deabc5dea8c2dba8c2dbabc5deaec8e1aac4dfabc5e0adc7e2aec8e3aec8e3adc7e2abc5e0aac4dfaac4ddacc6dfaec8e1b0cae3b2cce5b2cce5b2cce5b2cce5b3c8e3b3c8e3b3c8e3b4c9e4b5cae5b6cbe6b6cbe6b6cbe6b1c7deb5cbe2bad0e7bad0e7b8cee5b6cce3b8cee5bad0e7bbcde1bccee2bfd1e5c1d3e7c3d5e9c3d5e9c3d5e9c2d4e8c0d2e6c1d3e7c1d3e7c2d4e8c3d5e9c3d5e9c4d6eac4d6eac5d8e7c6d9e8c7dae9c8dbeac8dbeac7dae9c6d9e8c5d8e7c9d6e7c9d6e7cad7e8cad7e8cad7e8c8d5e6c6d3e4c5d2e3 -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 -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 -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 -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 -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 -345e90345e90345e90355f913660923761933761933761933161913666963a6a9a3a6a9a3868983666963868983a6a9a3a6a9a3a6a9a3b6b9b3b6b9b3c6c9c3d6d9d3d6d9d3e6e9e3a6a9a3a6a9a3a6a9a3b6b9b3c6c9c3d6d9d3d6d9d3d6d9d3e6e9e3e6e9e3f6f9f3f6f9f4070a04070a04070a04171a13969993c6c9c4070a04070a03f6f9f3f6f9f4373a34676a64171a14272a24272a24373a34474a44474a44575a54575a54474a44474a44474a44373a34373a34373a34373a34373a34070a04171a14373a34575a54575a54575a54474a44474a43b6f9e3c709f3e72a14074a34276a54276a54276a54175a44575a54575a54575a54575a54575a54575a54575a54575a54771a34973a54b75a74e78aa507aac507aac507aac507aac4f79ab4f79ab4f79ab4f79ab4f79ab4f79ab4f79ab4f79ab4e78aa4e78aa4f79ab517bad537daf547eb05680b25680b2537eab537eab547fac547fac5580ad5681ae5681ae5782af567cab597fae5c82b15b81b0597fae587ead5b81b05e84b3587ead597fae5a80af5b81b05d83b25e84b35f85b46086b56486b36587b46688b56789b6698bb86a8cb96b8dba6c8ebb688bb36e91b97598c0789bc3779ac27497bf7497bf7598c07093bb6f92ba6d90b86c8fb76d90b86f92ba7194bc7396be6e91b96e91b96f92ba7093bb7093bb7194bc7295bd7295bd6e91b96f92ba7295bd7497bf7699c1779ac27699c17699c1809abb809abb819bbc839dbe849ebf86a0c187a1c287a1c280a1c483a4c784a5c882a3c67e9fc27c9dc07d9ec180a1c47fa0c381a2c581a2c57fa0c37c9dc07b9cbf7fa0c382a3c6829fbf809dbd7f9cbc7e9bbb7f9cbc819ebe84a1c186a3c38aa8c48caac68eacc891afcb93b1cd94b2ce94b2ce94b2ce93b1cd93b1cd94b2ce95b3cf97b5d198b6d299b7d399b7d39ab8d49bb9d59cbad69ebcd89fbdd99fbdd99ebcd89dbbd79ab9cea0bfd4a4c3d8a2c0d89dbbd39bb9d3a0bed8a5c3dd9fb8d7a6bfddaac4dfaac4ddadc7e0b2cce5b2cce5aec8e3bbd1e8bad0e7b3c9e199b1c9abc3ddb0c8e290aac3afc9e4b4cedfb6d0e1aec7db9eb9cc9bb6cba8c3d8b6d2e7bcd8edb1c7deb2c8dfb6cce3bad0e7b8cee5b1c7deadc3daaec4dbb0ced8afcdd796b2bd97afbba6b9c7d0e2f0c2d2e1a8b5c5acbfcdb2c5d3bacddbbed1dfbfd2e0c0d3e1c5d8e6c9dcead8ebfac8dbeabdd0dfc2d4e2cbddebcedeebc9d9e6c6d6e3cddaebcddaebcddaebcddaebcddaebcddaebcddaebcddaebc7dae9c6d9e8c6d9e8c5d8e7c4d7e6c4d7e6c3d6e5c3d6e5c1d4e3c1d4e3c0d3e2bfd2e1bfd2e1bed1e0bdd0dfbdd0dfbdcfe3bccee2bccee2bccee2bdcfe3bed0e4c0d2e6c2d4e8bdd0debed1dfbfd2e0c0d3e1c0d3e1bfd2e0bed1dfbdd0debad1e1bad1e1b9d0e0b9d0e0b8cfdfb7cedeb7cedeb6cdddb7cde4b7cde4b7cde4b7cde4b6cce3b6cce3b6cce3b6cce3b6cce3b6cce3b6cce3b6cce3b6cce3b6cce3b6cce3b6cce3b1c7deb4cae1b8cee5b8cee5b5cbe2b3c9e0b4cae1b6cce3b6cce3b7cde4b9cfe6bbd1e8bbd1e8b9cfe6b7cde4b6cce3acc7daaac5d8a8c3d8a5bfd6a2bcd79fb8d69db6d59cb5d495b3cf98b6d29cbad69cbad69bb9d59bb9d59ebcd8a2c0dc98b6d298b6d299b7d39ab8d49bb9d59cbad69dbbd79dbbd798b6d299b7d399b7d399b7d398b6d296b4d094b2ce92b0cc8aa8c48aa8c48ba9c58dabc78fadc990aeca92b0cc92b0cc8caac48caac68caac68ca9c98ba8ca88a4c986a2c984a0c7789cbc779bbd7399bd6f97bb6995ba6693ba6292ba6190ba648fb96590ba6691bb6792bc6893bd6792bc6691bb6590ba6b94b46f98b8749dbd78a1c17ba4c47aa3c378a1c177a0c0759ab77a9fbc7fa4c182a7c482a7c482a7c485aac788adca8da8c591acc996b1ce97b2cf95b0cd95b0cd97b2cf99b4d18eafce8daecd8cadcc8aabca89aac988a9c887a8c786a7c68daaca90adcd94b1d197b4d498b5d596b3d393b0d091aece93b1cd94b2ce95b3cf96b4d096b4d094b2ce93b1cd92b0cc92b0ca92b0cc92b0cc91aece8facce8ca8cd89a5cc88a4cb80a1c480a1c47fa0c37d9ec17c9dc07a9bbe799abd799abd7d9ec17fa0c381a2c581a2c57e9fc27fa0c383a4c787a8cb8aa7c78ba8c88ca9c98eabcb90adcd92afcf93b0d094b1d191afcb92b0cc92b0cc93b1cd94b2ce94b2ce95b3cf95b3cf8eacc892b0cc96b4d097b5d196b4d097b5d19bb9d59fbdd99cb6cf9db7d09eb8d1a0bad3a3bdd6a5bfd8a6c0d9a7c1daa7bcd7a9bed9acc1dcafc4dfb1c6e1b3c8e3b3c8e3b3c8e3aec4dbafc5dcb0c6ddb1c7deb2c8dfb3c9e0b4cae1b5cbe2b4cae1b5cbe2b5cbe2b6cce3b7cde4b7cde4b8cee5b8cee5b7c9e1b8cae2bacce4bccee6bdcfe7bccee6bbcde5bbcde5b9cbe3b9cbe3b9cbe3b9cbe3b9cbe3b9cbe3b9cbe3b9cbe3b7c9e1b8cae2bacce4bccee6bdcfe7bdcfe7bccee6bbcde5bbcde5bbcde5bacce4bacce4bacce4bccee6bed0e8bfd1e9bed1e0c1d4e3c4d7e6c4d7e6c1d4e3c1d4e3c4d7e6c7dae9c8d5e6c9d6e7c9d6e7cbd8e9ccd9eacddaebcedbeccedbeccddaebcddaebcddaebcddaebcddaebcddaebcddaebcddaebccd9eacddaebcedbeccfdcedcfdcedcedbeccddaebccd9eacfdcedcfdcedcedbeccddaebcddaebccd9eacbd8e9cbd8e9 -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 -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 -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 -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 -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 -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 -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 -3e6590426994446b96446b96426994416893446b96476e993e6b94416e9745729b45729b43709943709947749d4a77a0456fa14771a34973a54b75a74c76a84a74a64872a44670a24974a14c77a44e79a64d78a54974a14873a04a75a24d78a54a74a64b75a74d77a94e78aa4e78aa4d77a94b75a74a74a64878a84979a94979a94a7aaa4b7bab4c7cac4d7dad4d7dad4a7aaa4a7aaa4979a94979a94878a84777a74777a74676a64777a74777a74777a74777a74777a74777a74777a74777a74575a54575a54676a64676a64777a74878a84878a84979a94d79aa4e7aab4e7aab4f7bac507cad507cad517dae517dae507ba8507ba8507ba8517ca9517ca9527daa527daa527daa517ca9527daa527daa537eab547fac547fac5580ad5580ad4f7aa7507ba8517ca9537eab547fac5681ae5782af5883b0597fae597fae5a80af5a80af5b81b05c82b15c82b15d83b25b82ad5b82ad5b82ad5a81ac5a81ac5a81ac5a81ac5a81ac5b82ad5c83ae5c83ae5d84af5e85b05e85b05f86b15f86b16386ae6386ae6487af6689b1678ab2698cb46a8db56a8db5688bb3688bb3698cb46a8db56b8eb66d90b86d90b86e91b96b8fb16b8fb16c90b26c90b26d91b36e92b46e92b46e92b46b91b56d93b77096ba6f95b96d93b76e94b87298bc769cc07195b77195b77195b77296b87296b87296b87296b87296b87296b87397b97599bb769abc7599bb7397b97094b66e92b46e92b46e92b46f93b57094b67094b67195b77296b87296b86794b56994b66b96b87096ba7498bc7799be7a9ac07b9bc17698bd7b9dc280a2c781a3c880a2c77fa1c681a3c884a6cb89a7c38ba9c58caac68eacc88eacc88eacc88dabc78caac68dadc68cabc78cabc78eadc98faecb8dacc98daccb8eadcca1b8d89ab1d196adcd96afce93acca8ca5c38ba6c391acc997b5cd95b3cb98b6ce9dbbd39dbbd395b3cb8dabc389a7bf8ba6b7627c8b5b717c5869713c474b353e3d3b403c292b2624240c535a483c4b445870745b737f485e6b7686935965712e332d50554f343933373c36484d473439333a3f39454a445b544e5f58525c554f50494348413b564f4977706a938c86afa89eb0a99f8d867ca19a90ada69c817a707c756b898278766a5c6c6052665c50362e234a433936332a3b38313837324e4e42504e42514f43585147635a51665c53594c44473a3281705c947f6eac9285a78a829b847c5b4e464c4c42636b608c9194707b7d748889849e9f829ea2aec2cbb9c5d3d0d5e8bddae2bfdae5bed6e2bdd0decadbebc7d4e5bac5d9d2daefc1d2e4c1d2e4c2d3e5c2d3e5c2d3e5c2d3e5c2d3e5c2d3e5bdd4e4bbd2e2b8cfdfb6cdddb5ccdcb6cdddb8cfdfb9d0e0b9c9e0b8c8dfb6c6ddb5c5dcb4c4dbb5c5dcb6c6ddb7c7deb4c9e4b3c8e3b2c7e2b1c6e1b0c5e0aec3deadc2ddadc2ddacc2d9acc2d9aec4dbb0c6ddb2c8dfb5cbe2b6cce3b7cde4b8cadeb9cbdfb9cbdfbacce0bbcde1bbcde1bccee2bccee2b8cadeb9cbdfb9cbdfbacce0bbcde1bbcde1bccee2bccee2b9cbdfb8cadeb8cadeb7c9ddb6c8dcb5c7dbb4c6dab3c5d9abc1d6acc2d9adc3dbadc2dda9bedba4b9d89eb2d39aaed196b5d293b2cf8faecb8cabc88cabc88eadca92b1ce94b3d095aecc96afcd97b0ce97b0ce97b0ce96afcd95aecc94adcb95aecc96afcd98b1cf9bb4d29eb7d5a0b9d7a3bcdaa4bddb9cb6cfa1bbd4a5bfd8a5bfd8a2bcd59fb9d29fb9d2a0bad3a0b9d8a2bbdaa4bddca5bedda4bddca1bad99eb7d69cb5d495b9d392b6d08baeca84a7c57ea1c17b9dc2799bc0799bc17899c27b9cc57d9ec77899c27192bb6d8eb76e8fb87192bb678db46b91b86e94bb6e94bb6d93ba6d93ba7096bd749ac17197be7197be7298bf749ac1769cc3779dc4799fc6799fc67c9ec37d9fc47fa1c681a3c883a5ca85a7cc87a9ce88aacf87a5c18aa8c48eacc892b0cc93b1cd92b0cc8fadc98dabc78bafc98cb0ca8cb0ca8cb0ca8cb0ca8db1cb8db1cb8db1cb92b0d293b1d393b1d393b1d394b2d494b2d495b3d595b3d591afd191afd191afd191afd190aed090aed090aed090aed08fadcf90aed091afd191afd191afd190aed08eacce8dabcd8fadcf90aed091afd192b0d293b1d392b0d291afd190aed090a9c794adcb97b0ce98b1cf96afcd97b0ce9ab3d19eb7d599b2d09bb4d29eb7d5a1bad8a4bddba5bedca6bfdda6bfdda2bcd5a5bfd8a8c2dba7c1daa5bfd8a4bed7a7c1daaac4dda6bfdea5bedda5bedda6bfdea8c1e0abc4e3aec7e6b0c9e8b7c9e1bbcde5bed0e8bdcfe7bbcde5bbcde5bed0e8c1d3ebbccee6bccee6bdcfe7bdcfe7bed0e8bfd1e9bfd1e9c0d2eabbceddbdd0dfc1d4e3c4d7e6c6d9e8c6d9e8c5d8e7c4d7e6bdd0dfbed1e0bfd2e1c1d4e3c3d6e5c4d7e6c5d8e7c6d9e8c4d7e6c4d7e6c4d7e6c5d8e7c6d9e8c7dae9c7dae9c7dae9c3d6e5c5d8e7c7dae9caddecccdfeeccdfeeccdfeeccdfeecedbeccedbeccedbeccfdcedd0ddeed1deefd1deefd1deefcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcddaebcddaebcddaebcddaebcddaebcddaebcddaebcddaebcbd8e9cddaebcfdcedd0ddeed1deefd1deefd0ddeecfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdced -406792436a95466d98466d98436a95436a95466d9849709b436e9847729c4a759f4a759f48739d49749e4c77a14f7aa44d77a94d77a94d77a94d77a94d77a94d77a94c76a84c76a84a75a24c77a44f7aa74e79a64b76a34974a14c77a44f7aa74d77a94e78aa4f79ab507aac507aac4f79ab4e78aa4d77a94a78a94a78a94b79aa4b79aa4c7aab4c7aab4d7bac4d7bac4f7dae4f7dae4e7cad4d7bac4d7bac4c7aab4b79aa4b79aa4977a84977a84977a84977a84977a84977a84977a84977a84674a54674a54775a64876a74876a74977a84a78a94a78a94f79ab4f79ab507aac507aac517bad527cae527cae537daf4f7aa7507ba8517ca9527daa537eab547fac5580ad5580ad527daa527daa537eab537eab547fac5580ad5580ad5681ae517ca9527daa537eab547fac5580ad5782af5883b05883b05b81b05c82b15c82b15d83b25e84b35e84b35f85b45f85b46087b26087b26087b26087b26087b26087b26087b26087b25f86b15f86b16087b26188b36188b36289b4638ab5638ab56689b16689b1678ab2698cb46a8db56c8fb76d90b86d90b86e91b96e91b96e91b96e91b96f92ba6f92ba6f92ba6f92ba698daf6a8eb06c90b26f93b57296b87498ba779bbd789cbe7296b87599bb789cbe769abc7498ba7397b97599bb789cbe7498ba7498ba7498ba7498ba7397b97397b97397b97397b97599bb769abc779bbd779bbd769abc7599bb7397b97195b77195b77195b77296b87397b97397b97498ba7599bb7599bb6994b46994b46d96b67097b87597ba799abd7c9abe7d9bbf7a9bbe7e9fc283a4c784a5c882a3c681a2c583a4c786a7ca8ba9c58caac68eacc890aeca91afcb91afcb90aeca8fadc994b2ce95b3cf95b3cf92b0cc8fadc990aeca93b1cd95b3cf90a9d27e97bf7e98bb91aac992acc7819bb27d98ad8ba6b998b8cf8dadc488a8bf8cacc38cacc387a7be87a7be8cacc3697c8a3d4f5b2c3d45323f4530383a363a39353631201f1b3932225f5d5044474048514e364041242e2d5b615d585a552f2b284b4744332f2c373330403c39312d2a3f3b384844413a332d514a44615a54635c566e676187807a99928c9a938d918a80b9b2a8a39c92b3aca2cbc4baa49d93938c829b948a8b7f71665a4c73695d342c21564f456b685f514e473e3d3839392d4240345654486c655b6e655c70665d90837bb8aba3ada592afa492b6a496856f64ae978f8e7b748a7d756e655c6e675f37372f575e576c7b7844565a5f707ac8d5e6b0bbcfb8cfe1c6ddedbacfe0b3c6d5c3d5e3c6d6e3c1cfdcc4d2ddc0d2e6c0d2e6c0d2e6c0d2e6c0d2e6c0d2e6c0d2e6c0d2e6bbd2e4bad1e3b8cfe1b6cddfb5ccdeb6cddfb7cee0b8cfe1bacce4b9cbe3b6c8e0b4c6deb3c5ddb3c5ddb4c6deb4c6deb2c7e2b2c7e2b2c7e2b2c7e2b2c7e2b1c6e1b1c6e1b1c6e1aec4dbafc5dcb1c7deb3c9e0b5cbe2b7cde4b9cfe6bad0e7bbcde1bbcde1bccee2bccee2bdcfe3bed0e4bed0e4bfd1e5bbcde1bbcde1bccee2bccee2bdcfe3bed0e4bed0e4bfd1e5bccee2bdcfe3bed0e4bed0e4bccee2b8cadeb4c6dab2c4d8b1c7dcb2c8ddb3c9e0b2c8e0afc4dfabc0dda6bbdaa3b7d89cbad69ab8d496b4d093b1cd92b0cc93b1cd95b3cf96b4d094adcb96afcd99b2d09bb4d29cb5d39ab3d198b1cf96afcd97b0ce97b0ce98b1cf9ab3d19cb5d39db6d49eb7d59fb8d6a2bcd5a6c0d9a9c3dca9c3dca5bfd8a3bdd6a3bdd6a5bfd8a7c0dea7c0dea7c0dea6bfdda5bedca4bddba4bddba3bcda9dbfd898bad390b2cd89abc784a5c682a3c682a2c883a3c981a1c884a4cb86a6cd83a3ca7d9dc47696bd7393ba7292b97093b97396bc779ac0779ac07598be7598be799cc27c9fc5799cc2799cc27a9dc37c9fc57da0c67ea1c77fa2c880a3c981a2c582a3c684a5c886a7ca88a9cc8aabce8cadd08daed191afcb93b1cd96b4d098b6d298b6d296b4d094b2ce92b0cc8badc88caec98caec98eb0cb8fb1cc90b2cd91b3ce91b3ce99b6d699b6d698b5d598b5d597b4d497b4d497b4d496b3d396b3d396b3d395b2d295b2d295b2d295b2d294b1d194b1d194b1d195b2d295b2d295b2d294b1d192afcf8faccc8eabcb93b0d094b1d196b3d398b5d59ab7d79ab7d799b6d699b6d692abc996afcd99b2d099b2d097b0ce97b0ce9bb4d29eb7d59eb7d59eb7d59eb7d5a0b9d7a2bbd9a5bedca7c0dea9c2e0a5bfd8a8c2dbabc5deaac4dda8c2dba7c1daaac4ddadc7e0a8c1dfa8c1dfa7c0dea7c0dea8c1dfaac3e1acc5e3aec7e5b4c6deb8cae2bccee6bccee6bbcde5bccee6bfd1e9c3d5edbed0e8bed0e8bed0e8bfd1e9c0d2eac1d3ebc1d3ebc1d3ebc0d3e2c1d4e3c4d7e6c6d9e8c8dbeac8dbeac7dae9c7dae9c0d3e2c0d3e2c1d4e3c3d6e5c4d7e6c5d8e7c6d9e8c7dae9c5d8e7c5d8e7c6d9e8c6d9e8c7dae9c8dbeac8dbeac9dcebc5d8e7c6d9e8c8dbeacbdeedccdfeecde0efccdfeeccdfeed0ddeed0ddeed0ddeed1deefd2dff0d3e0f1d3e0f1d3e0f1cfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcedbeccedbeccedbeccedbeccedbeccedbeccedbeccedbeccbd8e9cddaebcfdcedd0ddeed1deefd1deefd0ddeecfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdced -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 -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 -476e994a719c4d749f4d749f4a719c4a719c4d749f5077a25075a15479a5577ca8577ca8567ba7567ba7597eaa5d82ae5580aa547fa9537ea8527da7517ca64f7aa44e79a34e79a346719e4a75a24d78a54d78a54b76a34b76a34e79a6527daa5681ab5782ac5883ad5984ae5984ae5883ad5782ac5681ab5882ac5882ac5882ac5781ab5680aa5680aa557fa9557fa9557fa9557fa9547ea8537da7537da7527ca6517ba5517ba55983ad5983ad5983ad5983ad5983ad5983ad5983ad5983ad547ea8547ea8547ea8557fa95680aa5781ab5781ab5781ab577ca8587da9587da9597eaa5a7fab5a7fab5b80ac5b80ac527daa527daa527daa527daa527daa527daa527daa527daa547fac5580ad5580ad5681ae5782af5782af5883b05883b05984b15984b15984b15984b15984b15984b15a85b25a85b2597fae597fae5a80af5a80af5b81b05c82b15c82b15d83b25f86b15f86b16087b26188b3638ab5648bb6658cb7668db86188b36188b36289b4638ab5638ab5648bb6658cb7658cb76a8db56b8eb66c8fb76e91b96f92ba7093bb7295bd7295bd7598c07598c07598c07598c07699c17699c17699c17699c1789cbe789cbe789cbe789cbe779bbd779bbd779bbd779bbd7d9bb782a0bc87a5c189a7c386a4c083a1bd82a0bc83a1bd7ea2c47ea2c47da1c37b9fc17a9ec0799dbf789cbe779bbd7b9fc17a9ec0789cbe769abc7599bb769abc779bbd779bbd7195b77195b77296b87397b97397b97498ba7599bb7599bb789cb6799db77c9eb9809fbb83a1bd87a2bf8aa3c18ca3c28aa3c18ea7c592abc992abc98fa8c68da6c48ea7c590a9c78caac68eacc891afcb94b2ce96b4d097b5d197b5d197b5d195a9cc9db1d2a0b5d49eb4cca6bcd1acc3d399b1bd7d959f8093a298aab88d9ba65a646d41484e5455595353533c3a3b2a38395f6d6e7583845361623745463d4b4c394748223031453b39433937322826352b294c433e463d383a2f2b463b3745403a483f365040315b46355a4331513c2b4f3d2f534238413535403434403434635757695d5d685c5c796d6d5448485c554f635c56615a545d56506c655f857e788d8680837c76948d838b847aa39c92aca59b645d53635c52a39c927f786e9b8f8141352773695d6c6459645d53434037322f28302f2a3c3c305553476b695d80796f9e958cbfb5acc7bab2b9aca483766e726960878175837d6fbdb4a58e7c6e886e618161568a795f836d565d4334543930745f5a625453706a6c76767893a1aac5d3dea8b8c5b7c9d7bfd2e1a5bacdbfd5eab3c9deb2cce5b1cbe4b0cae3afc9e2adc7e0acc6dfabc5deabc5deaec3deafc4dfb0c5e0b0c5e0b0c5e0aec3deacc1dcabc0dbaac3e1a9c2e0a7c0dea7c0dea7c0dea8c1dfa9c2e0aac3e1a8bdd8a8bdd8a9bed9aabfdaabc0dbacc1dcadc2ddadc2ddb0c6ddb1c7deb3c9e0b5cbe2b7cde4b9cfe6bbd1e8bcd2e9bdcfe3bed0e4bed0e4bfd1e5c0d2e6c0d2e6c1d3e7c1d3e7bdcfe3bed0e4bed0e4bfd1e5c0d2e6c0d2e6c1d3e7c1d3e7bed0e4bfd1e5c0d2e6c0d2e6bed0e4bbcde1b7c9ddb4c6dab9d1ddb8d0dcb7cedcb5ccdcb3c9deb2c8dfb1c7dfb0c6deafc5dcafc5dcaec4dbadc3daacc2d9abc1d8aac0d7a9bfd6a4bddba4bddba3bcdaa2bbd9a1bad8a0b9d7a0b9d7a0b9d79cb5d39cb5d39db6d49db6d49db6d49eb7d59eb7d59eb7d597b1ca9bb5ce9fb9d2a0bad3a1bbd4a5bfd8acc6dfb2cce5b1cce1b0cbe0b0cbe0afcadfafcadfaec9deadc8ddadc8dda4bfd2a3bed3a0bad19db7d09ab4cf99b2d098b1d098b1d090a8cc90a8cc91a9cd94acd098b0d498b0d494acd090a8cc83a0c286a3c58aa7c98aa7c988a5c788a5c78ca9cb8facce8aa7c98aa7c98ba8ca8ba8ca8ba8ca8ba8ca8ba8ca8ba8ca91aac892abc993acca96afcd98b1cf9ab3d19cb5d39cb5d399b7d399b7d399b7d399b7d399b7d39ab8d49ab8d49ab8d49db6d49db6d49eb7d59fb8d6a1bad8a2bbd9a3bcdaa3bcdaa6bbd6a6bbd6a5bad5a5bad5a4b9d4a4b9d4a4b9d4a3b8d39eb3cea0b5d0a3b8d3a7bcd7abc0dbafc4dfb2c7e2b4c9e4abc0dbabc0dbacc1dcacc1dcaabfdaa8bdd8a6bbd6a5bad5a2b7d2a3b8d3a6bbd6a8bdd8a9bed9a9bed9a9bed9a8bdd8a1bad8a4bddba7c0dea5bedca2bbd9a1bad8a4bddba7c0dea1bad8a1bad8a0b9d7a0b9d7a1bad8a3bcdaa4bddba6bfdda5bfd8a8c2dbabc5deaac4dda8c2dba7c1daaac4ddadc7e0b8cae2b7c9e1b7c9e1b7c9e1b8cae2bacce4bccee6bdcfe7b9ccdbbdd0dfc0d3e2c1d4e3c0d3e2c0d3e2c4d7e6c8dbeac5d8e7c5d8e7c5d8e7c6d9e8c7dae9c8dbeac8dbeac8dbeac6d9e8c5d8e7c4d7e6c3d6e5c3d6e5c4d7e6c6d9e8c7dae9caddeccaddeccaddeccaddeccbdeedcbdeedcbdeedcbdeedc9dcebcaddeccaddeccbdeedccdfeeccdfeecde0efcde0efcaddeccbdeedcde0efcee1f0cee1f0cde0efccdfeecbdeedcbd8e9cbd8e9cbd8e9ccd9eacddaebcedbeccedbeccedbeccfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedd0ddeed0ddeed0ddeed0ddeed0ddeed0ddeed0ddeed0ddeecbd8e9cddaebcfdcedd0ddeed1deefd1deefd0ddeecfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdcedcfdced -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -7495b47798b77b9cbb7c9dbc7b9cbb7c9dbc80a1c083a4c3819fbb82a0bc83a1bd84a2be85a3bf87a5c188a6c288a6c286a4c086a4c087a5c187a5c187a5c188a6c288a6c288a6c288a6be88a6be87a5bd87a5bd86a4bc85a3bb85a3bb85a3bb8ba9c18aa8c08aa8c08ba9c18caac28eacc491afc792b0c890aec694b2ca99b7cf9ab8d099b7cf98b6ce9ab8d09cbad299b7d397b5d194b2ce91afcb8fadc98eacc88eacc88fadc98ba9c58caac68caac68dabc78eacc88eacc88fadc98fadc98dabc78eacc88fadc990aeca8fadc98dabc78caac68aa8c485a6c585a6c586a7c685a6c584a5c482a3c27fa0bf7e9fbe809eba82a0bc84a2be86a4c087a5c188a6c287a5c187a5c18aabca88a9c885a6c582a3c27fa0bf7d9ebd7c9dbc7c9dbc809dbd809dbd809dbd809dbd809dbd809dbd809dbd809dbd819fbb819fbb82a0bc82a0bc83a1bd84a2be84a2be85a3bf88a6c289a7c38ba9c58eacc891afcb94b2ce96b4d097b5d19cb6cfa0bad3a5bfd8a6c0d9a4bed7a3bdd6a5bfd8a7c1da9fc0c7adcbd3546f78304651485a66566471454e5d848b9b859ab9a0b5d29cb2caa7bdd2a0b7c78ca4b08ca5ac70898e3e4849232824524e455e50454836285b493d72635c8c817d827f7a58534f443d37564d465f554b53473b55473a6a5a4d5e4c4278665c8573698e7c7297857b8573696d5b516f5d53564c40291f132b2115574d416a605471675b80766a887e72675d545c524953494050463d4c42394a403752483f5e544b3b38312e2b242f2c253c393239362f302d26423f38636059847d73898278827b71716a606c655b7f786e989187a8a19793927e8786729998848f8e7a908f7b99988482816d8887739e8b7c9d8c7ca08f7fa69686ada290b2aa97b6ae9bb6af9ca09a8c9892847f796b989284a19b8d958f81aca698ada7998f8774aaa28fb1a996a29a87a79f8cbcb4a1b3ab98948c79a09a8e979185918b7f979185a6a094afa99daba599a49e929f9d8ea3a192afad9e5c5a4b484637918f808a88797573647771636d6759686254716b5d857f71989284a29c8ea59f91b5b09cb7b29eb4af9baaa591a5a08cb0ab97cbc6b2e1dcc8c0bba7d2cdb99f9a868b867267624eb3ae9ac5c0acd8d3bfb0b29c807f6a7a79648f8a76a49d8abfb7a4b9ae9cd6cbb9c3bba8a79f8caea693c9c1aecec6b3c8c0adaea693867e6b7165576b5f515b52437069595953430b08004847354b4c3a898c6f77775bbcbb9fc4c0a5dad4bab3ac925c523964584074644a8e7e65aa9a81bdac98d2c1afe3d2c2dbc9bbc7b5a7d7cfbcc9c1ae9e9683e2dac7c8c0adbbb3a0d2cab7d1c9b6d7c8b3d4c5b0c4b5a0c6b7a2d8c9b4d0c1acc1b29dc9baa5beae9ec4b4a4cabaaac1b1a1c4b4a4e5d5c5e4d4c4b6a696e4d4c48e7e6e574a3a7b6f61989083888177979388c5c1b8ada69e51483f5c5246524b3b37342540433a313e374154523d49492631332f3e41304349465d655c7782425f6d3e5e6d405b6e5874897290a8799cb87097b66691b46794bb6b9bc36c94b86b93b76a92b66a92b66b93b76c94b86f97bb7098bc75a2c9719ec56d9ac16a97be6996bd6c99c0709dc473a0c76691bc6792bd6893be6994bf6a95c06994bf6893be6792bd6e96ba6f97bb729abe739bbf729abe6f97bb6b93b76991b56f96bf6f96bf6f96bf7097c07097c07097c07097c07097c06c94b86c94b86c94b86d95b96f97bb7199bd749cc0769ec2779ac0789bc1799cc27b9ec47da0c67fa2c880a3c981a4ca81a5c781a5c782a6c882a6c883a7c984a8ca84a8ca84a8ca82a6c881a5c780a4c67fa3c580a4c681a5c783a7c984a8ca84a8ca84a8ca83a7c983a7c982a6c881a5c781a5c780a4c67b9fc17a9ec0799dbf799dbf799dbf7a9ec07ca0c27da1c37aa2c678a0c4769ec2739bbf7199bd6f97bb6f97bb6f97bb6b93b76c94b86e96ba7199bd739bbf769ec278a0c479a1c57a9ec07b9fc17b9fc17da1c37ea2c47fa3c580a4c680a4c685a6c985a6c985a6c985a6c985a6c985a6c985a6c985a6c97fa0c381a2c585a6c988a9cc89aacd89aacd87a8cb86a7ca84a5c488a9c88baccb8aabca88a9c887a8c78aabca8eafce91afcb91afcb92b0cc92b0cc92b0cc92b0cc92b0cc92b0cc9bb9d59cbad69cbad69dbbd79cbad69ab8d498b6d297b5d199b7d398b6d296b4d095b3cf95b3cf95b3cf96b4d097b5d197b5d198b6d298b6d299b7d39ab8d49ab8d49bb9d59bb9d59eb7d59fb8d69fb8d6a0b9d7a1bad8a1bad8a2bbd9a2bbd9a9bfd6abc1d8aec4dbb1c7deb3c9e0b3c9e0b3c9e0b3c9e0b4cae1b3c9e0b2c8dfb2c8dfb3c9e0b5cbe2b7cde4b8cee5c2d4e8c2d4e8c2d4e8c2d4e8c2d4e8c2d4e8c2d4e8c2d4e8c4d6eac3d5e9c2d4e8c0d2e6bed0e4bccee2bbcde1bacce0b7cde4b8cee5b8cee5b8cee5b6cce3b4cae1b2c8dfb0c6ddb4c9e4b4c9e4b4c9e4b4c9e4b4c9e4b4c9e4b5cae5b5cae5b3c9e0b2c8dfb1c7deb0c6ddb0c6ddb2c8dfb3c9e0b4cae1b7cde4b7cde4b8cee5b8cee5b8cee5b9cfe6b9cfe6bad0e7b5cbe2b6cce3b7cde4b8cee5b8cee5b6cce3b5cbe2b4cae1b1c7deb1c7deb1c7deb2c8dfb3c9e0b3c9e0b4cae1b4cae1b3c9e0b3c9e0b4cae1b6cce3b7cde4b8cee5b9cfe6bad0e7b8cee5b7cde4b6cce3b6cce3b7cde4b9cfe6bad0e7bcd2e9 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -4c78a7517dac5581b05783b25581b05480af5682b15985b44f85ab4f85ab5086ac5086ac4f85ab4d83a94b81a74a80a6507cab517dac527ead537fae5480af5581b05682b15682b1527cac547eae5781b15983b35a84b45882b25680b0547eae4c7eaf4e80b15183b45486b75587b85385b65183b44f81b25681ae5681ae5782af5883b05984b15a85b25b86b35c87b45984b15984b15984b15984b15984b15984b15984b15984b15883b05a85b25d88b5608bb8618cb9628dba628dba628dba5c88af608cb3638fb66490b7638fb6638fb66793ba6a96bd6b91b66d93b86f95ba7298bd759bc0789ec37ba1c67ca2c76393b773a4c56e9cbd6793b0729bb77398b26f93ab7b9db67e9cbe7c9bb87d9bb77e9cb47999b07195ad7199b376a2bd5a6a7750616b404f5632404135423b414b40455040444d3c3c44353f4a3c38423729342c2935313341412e3e3e1c2e3038392b3637294e50434e51462f352b374139434d4526312b2e2b242b282153504967645d5b58517c79728c89825a575055524b827f7874716a706d6694918a7c79726e6b64b0ada69c9a8e8e8c808e8c809e9c90a19f9397958998968aa5a3979e9c90939185bebcb0b1afa3918f83aaa89ca9a79b9492869a988c9795898280749492869290847f7d719d9b8fa9a79b9d9b8facaa9e6e6c6089877b9391857e7c70aeaca08d8b7f6664587e7c708a887c7b796d68665a6b695d807e7292908489877b8c8a7e8280748684789e9c9099978b817f737c7a6e706a5e7c766a6f695d6660547b75698a84788f897d9c968a9e95849d94839c9382988f7e908776877e6d7e756479705f74745aa9a98fa8a88e9b9b8176765c9e9e8498987e9191779f8e7ca695838e7d6bb3a290b8a7959c8b79baa997b4a391a8a38fa6a18da5a08ca29d899c97839f9a86b9b4a0d5d0bc98937fafaa96b8b39faea995b2ad99c0bba7b4af9b97927e979183928c7e989284b7b1a37a7466958f819b95879e988a857f71a6a092b8b2a4b7b1a3a9a3958b8577868072a39d8f948f7b928d79837e6a6f6a56716c5888836f98937f9a95816e6955645f4ba6a18db8b39f9f9a86ada894aea995aca793b5b09caaa591c4bfab9e99859e9985a19c8887826eb1ac98a49f8bb5b09cb8b39fa9a490a7a28eb6b19dbfbaa6bab5a1a8a296bfb9adaca69a605a4e3c362a746e629e988c868074bbb6a2c7c2aeb3ae9a88836fb3ae9a706b57726d59b7b29ed0c6add2c8afa49a819b91784339206c6249b8ae95d3c9b0c7c2aec4bfabbab5a1c4bfabd7d2bec7c2aeb1ac98b8b39fb5b39ac4c0a7cac4acd4ccb5dbd0bac2b6a0b2a38ec1b29dcebfaad7c8b3decfbadccdb8d5c6b1d1c2add6c7b2ddceb9d1c3a9e4d6bcddcfb5c5b79db8aa90c2b49ad3c5abd8cab0d6d8c2c6c5b0b9b4a0ccc5b2e0d5c3bdad9d927f708f7c6d7f77647f7764908875b1a996c9c1aecac2afbeb6a3b6ae9ba2a08bb9b4a0bcb5a2bcb4a1c7bcaac0b3a2bdad9dccbcacc5bdaa89816e4f4734605845837b68867e6b847c69a69e8ba49a8eafa599b7ada1b9afa3b6aca0aba19592887c796f63796250b5a49a64626379859588a3c0557aa479a5d65c8bbf6994be6994be6a95bf6b96c06b96c06c97c16d98c26d98c26792bc6792bc6893bd6994be6994be6a95bf6b96c06b96c0648fb96792bc6a95bf6a95bf6893bd6893bd6b96c06e99c3739ac5739ac5739ac57299c47299c47299c47198c37198c36e99c36d98c26c97c16a95bf6893bd6691bb6590ba648fb96795b96795b96894b96993b96c93ba6d93ba6f92ba7192bb6e92b47296b87599bb7599bb7498ba7498ba779bbd7b9fc16f9db772a0ba76a2bd79a2be7ca3c084a7c58db0ce94b5d486a4c08ba9c593b1cd9ab8d49ebcd89ebcd89bb9d598b6d296b4d097b5d197b5d197b5d196b4d095b3cf92b0cc91afcb99b1c99bb3cb9fb7cfa1b9d1a1b9d19db5cd98b0c895adc596b7d696b7d694b5d492b3d290b1d08fb0cf8daecd8daecd91b2d190b1d08eafce8cadcc8baccb8baccb8cadcc8daecd90b1d092b3d28eafce8baccb91b2d198b9d892b3d285a6c58aabca8baccb8daecd90b1d093b4d395b6d597b8d798b9d893b1cd93b1cd94b2ce94b2ce93b1cd92b0cc90aeca8fadc994b2ce92b0cc91afcb90aeca91afcb94b2ce98b6d29ab8d49db7d09fb9d2a1bbd4a4bed7a5bfd8a6c0d9a5bfd8a5bfd89fbfd6a0c0d7a3c3daa4c4dba4c4dba1c1d89ebed59bbbd2a6bcd3a8bed5abc1d8afc5dcb4cae1b8cee5bbd1e8bdd3eabbd1e8bbd1e8bbd1e8bbd1e8bad0e7bad0e7bad0e7b9cfe6b7cee0b8cfe1bad1e3bbd2e4bcd3e5bcd3e5bbd2e4bad1e3b5c7dbb9cbdfbed0e4c2d4e8c3d5e9c1d3e7bed0e4bbcde1b9d1ddb9d1ddbad2debbd3dfbcd4e0bed6e2bed6e2bfd7e3c1d3e1c2d4e2c4d6e4c5d7e5c6d8e6c5d7e5c4d6e4c3d5e3b9d0e0b9d0e0bad1e1bad1e1bad1e1bbd2e2bbd2e2bbd2e2bad0e7b9cfe6b9cfe6b9cfe6b8cee5b8cee5b8cee5b8cee5b2c9dbb4cbddb6cddfb7cee0b8cfe1b8cfe1b7cee0b6cddfbed0e4bed0e4bdcfe3bdcfe3bdcfe3bccee2bccee2bccee2b2c4d8b5c7dbb8cadebbcde1bdcfe3bccee2bacce0b9cbdfb8cedcbad0debdd3e1bfd5e3c0d6e4bfd5e3bdd3e1bcd2e0c3d6e5c3d6e5c2d5e4c1d4e3c1d4e3c0d3e2bfd2e1bfd2e1 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -2b474a475a5e60686b878384afa5a3aca39a928f808c8d7b6b686186837ca6a39cb3b0a9a7a49d8e8b847a7770706d666d6a635e5b547d7a739a979085827b76736c706d6656534c4d51505c605f3c403f5458577074734c504f3f4342464a496061595a5b5341423a44453d3e3f374a4b436e6f6756574f494b464345403e403b3b3d383537323739344a4c4761635e534c465b544e716a6467605a4e47416a635d8b847e7b746e857e74867f758881778b847a8c857b8c857b8c857b8c857b88887c908e82908e828e877d91887f998f869a8d8593867e9993859d97899a9486908a7c8781738680728b85778e887a827c6e807a6c857f71969082a49e909e988a837d6f6a6456918a80a7a0969c958b948d83a7a0969f988e938c82a9a298b1afa0adab9c9492838785769b998aa8a697a3a192a2a091958f819d97898e887aa6a0928e887a9993857a7466756f618d8d719d9d81a8a88ea5a58d9d9c879594828a89777e7c6d7b7c6c4b493a211f107b75675d5548796f636d61559d9185807a6c857f718f897b9c9688a49e90a19b8d9690828c8678908c73a8a48bb1ad949b977e77735a605c436662497a765d69654c837f6689856c928e759e9a818581687c785fa29e857b76627b7662847f6b95907ca19c88a5a08ca9a490aea995a39f86b1ad94bbb79eb7b39aaca88fa6a289a39f86a19d84b1aa8eaea78baca589aca589a7a0849d967a9992769a9377ada68cbcb59bbdb69caaa389968f7590896f90896f8d866ca29a85a09883a69e89b2aa95b4ac97a79f8a938b76877f6aa29b81726b515e573d979076b2ab91a39c82b0a98fb3ac92b7b096b7b096aaa389a9a288b5ae94aca58ba39c82b3ac92bfb7a2b6ae99afa792b2aa95bcb49fc4bca7c4bca7c1b9a4c6bea7bdb59ec2baa3c2baa3bab29bbfb7a0b6ae97948c758e866f7068517e765f807861a69e87d2cab3b8b099b7af9891917795957ba1a187aeae94b0b096acac92b0b096babaa0beb79ac7c0a4c5bea2d7cfb8cbc3aeb9b19eb8af9e877e6d9e967f9e967f9a927b908871867e67827a63867e678c846db0a891b5ad96a9a18a928a7389816a978f78a69e87a8a089afa790b0a891bab29bc8c0a9ccc4adc9c1aac9c1aacfc7b0c5b79ac1b396d4c6a9b1a386ad9f82cec0a3bfb194c8ba9dc3b296bcab8fc3b296d4c3a7d4c3a7c8b79bccbb9fdfceb2cdc09dd4c7a4cabd9bbcaf8fc8ba9feddfc5bcad96dbccb5d5cfafd2ccacc1bb9bbbb595d2ccacbbb595dcd6b6d3cdadd2cab3e1d9c2dad2bbc1b9a2b4ac95b7af98c1b9a2cdc5aec4b69cd0c2a8d6c8aed1c3a9cdbfa5cfc1a7cec0a6c9bba1d1caaec9c2a6c3bca0c1ba9ec7c0a4cac3a7d0c9add2cbafeacfb49a7c6275533a6c483085654c6b51366c5d3eaba281cdbea9d0c1acddceb9d4c5b0d5c6b1b8a994b7a893b9aa95bdb5a2b7af9cbfb7a4c2baa7bbb3a0c2baa7c8c0adbcb4a1bdb5a2bbb3a0b9b19eb8b09dbab29fbdb5a2bfb7a4c0b8a5bfbda4c1bda4b8b29ab3ab94c7bca6e0d4bedccdb8c4b5a0c4a48f90715c95796472594556412e4e3b2a6c5b4b6555466049375d46345c45335b44325a43315c4533644d3b6e57456a5542705b486d5b476b5a46827660ada28cb9b19aa8a089ada08dc5b6a1c3b29ed1c0aec7bbaf9a9693abb2baaab6c4a9c4d9aac5daabc6dbabc6dbaac5daa9c4d9a7c2d7a6c1d6abc5e0abc5e0aac4dfaac4dfaac4dfa9c3dea9c3dea9c3deaec4dbb0c6ddb3c9e0b5cbe2b6cce3b6cce3b4cae1b3c9e0a6c0d9a6c0d9a4bed7a3bdd6a2bcd5a0bad39fb9d29eb8d1a0bad3a0bad3a0bad3a1bbd4a2bcd5a5bfd8a7c1daa9c3dca7c1daa7c1daa8c2dba9c3dcaac4ddacc6dfacc6dfadc7e0b3c8ddb5cadfb6cbe0b8cde2b9cee3b9cee3b8cde2b7cce1b0cbdeb1ccdfb2cde0b3cee1b3cee1b1ccdfb0cbdeafcadda8c6dea8c6dea7c5dda6c4dca4c2daa3c1d9a2c0d8a1bfd7a3bdd6a4bed7a4bed7a5bfd8a6c0d9a7c1daa8c2dba8c2dba4bed7a5bfd8a8c2dbabc5deadc7e0afc9e2afc9e2afc9e2a9c3dcaac4ddabc5deacc6dfaec8e1afc9e2b0cae3b1cbe4b2c8dfb2c8dfb2c8dfb2c8dfb2c8dfb2c8dfb2c8dfb2c8dfb2c8dfb2c8dfb1c7deb1c7deb1c7deb1c7deb1c7deb1c7deabc1d8acc2d9aec4dbafc5dcafc5dcaec4dbadc3daacc2d9a2bcd5a3bdd6a5bfd8a7c1daa9c3dcabc5deadc7e0aec8e1b1cbe4b0cae3afc9e2aec8e1adc7e0acc6dfabc5deaac4dda7c1daa7c1daa8c2dba8c2dba8c2dba8c2dba8c2dba8c2dbaac4ddabc5deabc5deabc5deacc6dfacc6dfadc7e0adc7e0a6c4dca6c4dca5c3dba5c3dba5c3dba5c3dba4c2daa4c2daa2c0d8a2c0d8a2c0d8a2c0d8a2c0d8a2c0d8a2c0d8a2c0d89cbad29ebcd4a1bfd7a5c3dba7c5dda9c7dfa9c7dfa9c7dfabc9e5a9c7e3a5c3dfa2c0dca0beda9fbdd9a0bedaa1bfdba3bcdaa3bcdaa2bbd9a0b9d79eb7d59db6d49bb4d29ab3d195b2d295b2d296b3d396b3d397b4d497b4d497b4d498b5d59eb6ce9bb3cb99b1c99bb3cba0b8d0a1b9d19eb6ce99b1c99bb4d3a0b9d8a5bedda5bedda1bad99fb8d7a2bbdaa5beddb0c3d4b4c7d8b9ccddbed1e2c1d4e5c1d4e5bfd2e3bed1e2adc3daabc1d8aac0d7acc2d9aec4dbaec4dbaac0d7a6bcd397b5d195b3cf94b2ce95b3cf98b6d298b6d295b3cf91afcb -2b3a3d4751535a5a5c72696a9489859e948b9c9487a7a193726f667c79708b887f96938a9592898582796c69605a574e726f665f5c536e6b6277746b59564d4e4b426f6c638b887f75726b64615a524f487d7a737c797249463f54514a69665f7b7c745f605841423a5a5b5361625a62635b76776f5b5c544849444748434546413f403b32332e30312c47484363645f3d362e463f375a534b625b536e675f9b948cb3aca49a938b8b847a8b847a8b847a8b847a8d867c8f887e918a80938c8279796d8d8b7f98968a958e848e857c9389809c8f87a0938ba59f91928c7e8c86789b9587a6a092a29c8ea29c8eaaa4968d87798c86788e887a948e80999385948e808680727a7466958f83a49e929d978b999387a9a397aba599aca69ac1bbafb8b6a7a3a1929694858f8d7e8e8c7da09e8fa5a394918f809f998b918b7d716b5d797365767062928c7e8f897b948e807c7c62929278a2a28a9998838584707372606563545b594c4b4c3c6361523f3d2e615b4d564e418c82767a6e6292867a6c66567a74648b8575928c7c918b7b958f7fa09a8aaba5958d897097937a7b775e757158a6a289b5b198959178868269858168938f768e8a718e8a71a09c839b977e8f8b7299957cada894898470847f6ba49f8baba692918c788b8672a09b87908c73a9a58cb1ad94a09c839b977eaaa68dada9909f9b82a49d81a19a7eaea78bb9b296a8a1858e876b979074b6af939d9582aca491b4ac99a9a18e9f9784a69e8bb7af9cc4bca9afa48e9d927c9a8f79a79c86a196808c816b8b806a9d927caaa085554b3072684da3997e9c9277a3997ea59b80988e73998f74a89e83aaa085aca287b2a88daaa085aea489ccc2a7cbc3acc2baa3b9b19ab9b19ac1b9a2c9c1aacbc3accac2abcac2abbcb49dc2baa3cac2abc9c1aacdc5aec0b8a19b937c978f785d553e5d553e79715a968e77928a736b634c726a53939379a6a68cb3b399aeae94a7a78daaaa90b3b399b7b79daea78abbb498c5bea2dad2bbbcb49f99917ea69d8c918877a79f88aba38caba38ca49c859b937c9b937ca69e87b1a992bbb39ca9a18a9c947d9e967fa39b84a29a83a09881a39b84a49c85948c75978f78aea68fb5ad96a9a18aa9a18ab8b099c9bb9ec8ba9dd4c6a9b8aa8db3a588c9bb9ebfb194c4b699c9b89cbfae92bfae92cab99dccbb9fc8b79bd3c2a6e6d5b9cec19ee8dbb8e4d7b5dccfafd9cbb0f9ebd1c5b69fc8b9a2c2bc9cc5bf9fb8b2929f9979e2dcbcb1ab8bd4ceaed6d0b0dcd4bdd1c9b2dfd7c0cec6af9e967fa79f88c9c1aac2baa3c7b99fcec0a6d1c3a9cec0a6cdbfa5cdbfa5c2b49ab3a58bcec7abcac3a7beb79bc4bda1c5bea2d2cbafd0c9add1caaeceb99ea48a7157372067442e75553e6e543b6d5e4183785ac7b8a3d6c7b2cabba6dfd0bbe1d2bdc6b7a2a59681c8b9a4bab29fb9b19eb5ad9ac1b9a6cdc5b2c1b9a6bdb5a2d3cbb8c9c1aec7bfacc4bca9c0b8a5bdb5a2bbb3a0bbb3a0bbb3a0c4c2a9c8c4abbfb9a1b5ad96c0b59fd5c9b3dbccb7d0c1acdbc1aa967b669d84707a63515e49384532237462545442366954435b46355641305a4534584332533e2d5e4938725d4c5d4a3965524172614f61523f5b4e3b98907bc5bda8a8a28c9e9681cec2acccbda8b4a592dbcfc1a29f9ac2c5cab9c3cca9c4d9aac5daabc6dbabc6dbaac5daa9c4d9a7c2d7a5c0d5a9c3dcaac4ddaac4ddabc5deacc6dfadc7e0adc7e0aec8e1b2c8dfb4cae1b6cce3b7cde4b8cee5b7cde4b6cce3b6cce3aac4dda9c3dca8c2dba6c0d9a4bed7a2bcd5a0bad39fb9d2a6c0d9a6c0d9a5bfd8a6c0d9a7c1daa9c3dcacc6dfadc7e0aac4ddaac4ddaac4ddabc5deabc5deacc6dfacc6dfacc6dfb1c7deb3c9e0b4cae1b6cce3b7cde4b7cde4b6cce3b5cbe2b1cce1b1cce1b2cde2b2cde2b0cbe0aec9deacc7dcabc6dba2c0d8a1bfd7a1bfd7a1bfd7a1bfd7a1bfd7a1bfd7a1bfd7a2bcd5a3bdd6a4bed7a6c0d9a8c2dbaac4ddabc5deacc6dfa9c3dca9c3dca8c2dba8c2dba9c3dcabc5deadc7e0aec8e1a8c2dba9c3dcaac4ddabc5deadc7e0aec8e1afc9e2b0cae3b1c7deb1c7deb1c7deb1c7deb1c7deb1c7deb1c7deb1c7deb3c9e0b3c9e0b3c9e0b3c9e0b3c9e0b3c9e0b3c9e0b3c9e0aec4dbafc5dcb1c7deb1c7deb1c7deb0c6ddafc5dcaec4dba8c2dba8c2dba9c3dca9c3dcaac4ddabc5deacc6dfacc6dfb4cee7b3cde6b2cce5afc9e2adc7e0abc5dea9c3dca9c3dca9c3dca9c3dca9c3dca9c3dca9c3dca9c3dca9c3dca9c3dcaec8e1adc7e0adc7e0adc7e0acc6dfacc6dfabc5deabc5dea1bfd7a1bfd7a1bfd7a2c0d8a2c0d8a2c0d8a3c1d9a3c1d9a4c2daa4c2daa4c2daa4c2daa4c2daa4c2daa4c2daa4c2da9dbbd39fbdd5a2c0d8a5c3dba7c5dda8c6dea8c6dea8c6deaccae6aac8e4a6c4e0a2c0dc9fbdd99dbbd79dbbd79dbbd79fb8d6a1bad8a2bbd9a3bcdaa1bad89eb7d59bb4d298b1cf90adcd90adcd91aece92afcf93b0d094b1d195b2d295b2d29fb9d29db7d09ab4cd9bb5ce9eb8d19eb8d19cb6cf99b3cca0b9d7a2bbd9a4bddba2bbd99fb8d69fb8d6a3bcdaa7c0debaced9bcd0dbbfd3dec1d5e0c1d5e0bfd3debcd0dbbaced9abc1d8abc1d8acc2d9afc5dcb1c7deafc5dca8bed5a2b8cf9cbad697b5d193b1cd92b0cc94b2ce95b3cf93b1cd91afcb -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 -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 -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 -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 -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 -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 -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 -3b3c345b5c5451524a53544c5d5e566b6c647c7d755f60587775693230246b695d8482765250446260547e7c7078766a9294878f9184585a4d383a2d2a2c1f2a2c1f3f41342a2c1f4b4d40383a2d34362942443741433635372a3d3f3255574a5e544b7c7269847a717f756c887e75867c7381776e8c8279867c738a8077938980a0968daaa097aaa097a2988f9a90879b998d9a988caba99dacaa9e9492868a887c88867a78766a7f7d717573677573678482769290849492869492869694889e988a928c7e948e80918b7d888274979183a49e90969082989284837d6f938d7f938d7f9993859a94867973657d7769bcb6a8a39d8f9b9587aaa496aca6989e988aa19b8db5afa1a09b8795907c9e9985a9a490aba692b6b19dafaa968d8874767465949283a8a6979d9b8c8d8b7c8785768280717a7869817f737472666a685c817f7395938779776b69675b8482765a584b656356434134413f3268665954524549473a8482758e866f89816a847c65867e678c846d918972928a73908871979074aca589a39c80b0a98db2ab8fa59e82aaa38790896da29d89a7a28eaaa5919b968298937fbbb6a2c3beaa9f9a86888775908f7d8b8a78807f6d8a89779e9d8b939280777664928b7b9d9686aea797b6af9faea797a59e8eada696bcb5a5b1b09b999883918f7aa09b87a39b88968b79998c7baa9d8c978f7a9a927ba0967da69a80ab9d82ad9e81ad9c7eae9b7dae997ebba68baf9a7f8f7a5f8671569b866ba89378a28d728c775c947f64a18c71a89378a18c719a856aa38e73b49f84b3a286b9a88cbead91bead91baa98dbaa98dc0af93c7b69adecbabd0bd9db8a5859f8c6c927f5f998666af9c7cc2af8fb59f78bca67fbda780b49e77b29c75b8a27bbda780bba57eccb998d3c09fcbb897c0ad8cc9b695d3c09fb8a5848e7b5a8e7b5ba59272b4a181b3a080b8a585cab797d4c1a1d0bd9db1a17fb6a684c0b08ecaba98cebe9ccaba98beae8cb5a583baa786c2af8ed1be9dc0ad8c9a8766988564ad9a79ae9b7ab09d7fc4b193d2bfa1bfac8e9380627865478a7759aa9779b8aa8de0d2b5b4a689b6a88bb8aa8da19376c4b699bdaf92a49e7caaa4829d9775b9b391c5bf9dbcb694cdc7a5c6c09e9385689d8f729f9174aea083cdbfa2d7c9acd4c6a9d9cbaed5c7aad0c2a5cbbda0cabc9fd3c5a8dbcdb0d3c5a8c0b295d3c2a6c3b296e2d1b5bead918b7a5ebdac90e5d4b8cfbea2b8af90ccc3a4d3caabf2e9cac2b99ac6bd9edbd2b3beb596cdc0a0ded1b1d9ceb0bcb2978f886ed9d3bbd0cbb5cfcdb6b1b293b5b697b4b59698997a7273545253344647285556375f5e40c5c1a4ded9bcc4bda19e9479b2a68cdcceb4ccbea4cabca2cec0a6d4c6acd8cab0d9cbb1d5c7adcfc1a7cbbda3d6c7b2d9cab56b5c4792836ed6c7b2e9dac5bdae99dacbb6d8c9b4c6b7a2b8a994e0d1bc9d8e79c4b5a0c7b8a3cebfaab7af98b7af98b9b19abeb69fc2baa3c2baa3beb69fbbb39ccdc5aecec6afcfc7b0d1c9b2d2cab3d2cab3d1c9b2d1c9b2c8c0a9cdc5aed4ccb5cbc3acd2cab3877f687068518e866f65604c625d497d78648d8874817c6886816d9d9884a8a38f989284a7a1938781735f594b6e685a8c8678908a7c8c8678918c7897927e9c9783b7b29ed0cbb7bfbaa6b1ac98c9c4b0c0bdb4aeb0abc7d2d6c8dbe9b4cadfafc5dcb0c4dcc6d8eeb2c8dfb1c7deb0c6ddafc5dcaec4dbadc3daacc2d9abc1d8acc6dfacc6dfabc5deaac4ddaac4dda9c3dca8c2dba8c2dba9c7dfa7c5dda4c2daa0bed69dbbd39cbad29bb9d19bb9d1a4c2daa4c2daa3c1d9a0bed69dbbd399b7cf95b3cb93b1c99ab8d09ab8d098b6ce93b1c98dabc38caac290aec694b2ca91afcb90aeca90aeca90aeca92b0cc94b2ce96b4d098b6d28fadc992b0cc95b3cf95b3cf93b1cd93b1cd97b5d19ab8d49bb9d59fbdd9a2c0dca0beda9cbad699b7d399b7d39ab8d497b5cd98b6ce9bb9d19dbbd39ebcd49fbdd59ebcd49ebcd4a1bfd7a4c2daa6c4dca4c2daa0bed69fbdd5a2bed4a5c1d7a4bcd8a0b8d49eb6d2a1b9d5a7bfdba8c0dca2bad69bb3cf97afcba0b8d4a4bcd89fb7d39cb6d1a1bbd6a4bddba1bad8a1c0d4a1c0d4a2c1d5a3c2d6a4c3d7a6c5d9a6c5d9a7c6daabc6dba9c4d9a8c3d8aac5daadc8ddadc8ddaac5daa6c1d6a2bcd5a1bbd4a0bad39fb9d29eb8d19db7d09cb6cf9bb5ce97bccf98bcd29bbdd69ebdd9a1bcdaa0b8daa0b6db9fb5da9ab9d69ab9d699b8d598b7d496b5d295b4d194b3d093b2cf96afcd9cb5d3a1bad8a3bcdaa2bbd9a0b9d7a1bad8a2bbd99ebdd29ebcd49dbbd59bb9d598b5d395b2d291aed08fabd087a8c988a9ca89aacb8aabcc89aacb88a9ca86a7c885a6c784a5c688a9ca8aabcc8aabcc87a8c987a8c98aabcc8daecf85a6c785a6c784a5c684a5c683a4c582a3c482a3c481a2c381aac885accb8bafcf90b3d393b4d595b3d595b2d496b0d390adcf91aed094b1d396b3d596b3d594b1d391aed090adcf88acce87abcd85a9cb84a8ca83a7c984a8ca85a9cb86aacc8facce92afd195b2d495b2d492afd192afd194b1d397b4d6a0b9d89bb4d29cb6d1a3bdd6a7bfd7a6bfd5abc4d8b3cce0c4d4ebc6d6edc7d7eec2d2e9bacae1b3c3dab0c0d7b0c0d7b4c9dea9bed3a7bcd1b3c8ddbed3e8c0d5eac1d6ebc4d9ee -32332b41423a44453d474840494a4253544c6d6e667778707371655452467a786c7b796d68665a817f737f7d716e6c60a0a29592948760625553554846483b37392c4d4f42494b3e3c3a2e3b392d48463a5452464543372e2c2039372b59574b6b6259938a819c938a8e857c8f867d887f76756c6370675e887f768f867d9d948bada49bb5aca3aca39a978e85857c7398968a9694889e9c9093918578766a79776b8684787f7d71828074918f83a5a397acaa9e9d9b8f8a887c8b897d99978b8d8779878173938d7f9791838e887a999385a8a294a19b8daea89a918b7d979183938d7f9d9789a8a294928c7e9c9688a49e90908a7c8d8779a09a8caaa496a49e90a49e90b0aa9cada894b2ad99b9b4a0b2ad99b7b29ed4cfbbcbc6b296917d918f80817f70848273929081848273696758737162969485716f63605e5258564a6a685c7e7c7079776b706e627775696b6557554f413731234d47397e786a767062686254878173938b74958d76978f7899917a9c947da09881a49c85a69e87aba38cb8b099a59d86a9a18aa39b84978f78aaa28b9f97808e89758c8773938e7a908b778d8874a49f8bafaa9697927ea09a8c9c9688918b7d8a8476918b7da19b8da7a193a39d8f837b68a29a87c2baa7c2baa7a8a08d958d7a9f9784b2aa97b2ae93a39d83a7a187c0b69dc4b8a0b2a38cab9b84b5a58eab9d83ad9f84afa186b2a288b2a386b1a084ae9d81ac9b7fb99e83b2977c977c6180654a92775cb69b80b3987d92775c877257927d62a89378bba68bb7a287a08b708c775c857055a7967aa392769b8a6e9281658f7e6297866aa7967ab3a286b09876bca482c7af8dc8b08ebea684b39b79ae9674ad9573bca079c4a881c8ac85c3a780c1a57ec3a780c0a47db89c759a825eaf9773bca480b9a17db9a17dbea682baa27eaf9773ae9674c2aa88bfa785a68e6ca38b69bca482cbb391c5ad8bc4b58eab9c7593845d93845da89972bbac85beaf88b8a982c5b18cb39f7ab39f7ab5a17cae9a75b4a07bb5a17c9f8b66a28d6ea08b6ca48f70ad9879b6a182b8a384b7a283b5a081a99b81cec0a6aa9c82a19379a89a80a09278b3a58ba6987ea19b77a29c788f8965a6a07cb1ab87a59f7bb5af8baba581b5a78ac7b99cb5a78aaa9c7fbeb093b2a4879e9073afa184d0c2a5cabc9fc2b497cbbda0d7c9accfc1a4cabc9fd9cbaed8c7aba9987ccbba9ec6b599cfbea2f8e7cbc3b296928165beb395cdc2a4d6cbade2d7b9b8ad8fc4b99bebe0c2c6bb9dcbbe9ed1c4a4d8cdafcfc5aa8a8369ccc6aebeb9a3b5b39ca8a88eb0b096b9b99fa4a48a74745a6262486c6c526c6c523f3e20aeaa8de3dec1bcb599b9af94ada187e3d5bbd7c9afd2c4aad3c5abd4c6acd5c7add5c7add4c6acd2c4aad1c3a9decfbad9cab5a99a857d6e59d5c6b1c8b9a4c4b5a0c1b29dcfc0abc3b49fb5a691d3c4afaa9b86ccbda8cbbca7ccbda8d0c8b1d3cbb4d5cdb6d3cbb4cdc5aec8c0a9c7bfa8c7bfa8d8d0b9d3cbb4ccc4adc7bfa8c8c0a9cec6afd6ceb7dbd3bcc3bba4d6ceb7d0c8b1d3cbb4e1d9c299917a746c55a69e876a655165604c8f8a769c97838d8874918c78948f7b9e9985a49e90b8b2a49f998b756f617670628781738b85778e887aa19c8896917d9a9581b6b19dc8c3afb7b29ea9a490b2ad99cfc7ba96938cc3cbcdc5d5e2a7bed0b0c6dda7bbd3c1d3ebb2c8e0b0c6deadc3dbaac0d8a8bed6a7bdd5a8bed6a8bed6aac4ddaac4dda9c3dca8c2dba8c2dba7c1daa6c0d9a6c0d9a7c5dda6c4dca3c1d9a0bed69dbbd39bb9d19ab8d099b7cf9ebcd49fbdd5a2c0d8a3c1d9a3c1d9a0bed69dbbd39ab8d09ab8d09cbad29cbad298b6ce93b1c991afc793b1c996b4cc91afcb91afcb91afcb92b0cc93b1cd94b2ce95b3cf96b4d08fadc993b1cd96b4d097b5d196b4d096b4d09ab8d49dbbd79cbad69fbdd9a0beda9ebcd89ab8d497b5d198b6d29ab8d497b5cd99b7cf9bb9d19cbad29dbbd39dbbd39dbbd39cbad2a0c0d9a3c1d9a5c3dba6c2d8a6c1d6a8c1d5acc5d9b3cadcbccfedb0c3e1a2b5d39fb2d0a4b7d5a6b9d79fb2d097aac893a4c0a0b3d1abbedca9bedda6bddda5bddfa0b8da98b0d49bbacf9bbacf9dbcd19ebdd2a0bfd4a2c1d6a3c2d7a4c3d8a7c3d9a5c1d7a4c0d6a6c2d8aac6dcaac6dca6c2d8a2bed4a0bbd89fbad79eb9d69cb7d49ab5d298b3d097b2cf96b1ce92b6ce92b6ce94b6d197b6d29ab5d29bb4d39cb3d39cb3d394b5d694b5d692b3d491b2d390b1d290b1d290b1d290b1d291abcc95afd09bb5d69fb9da9eb8d99cb6d799b3d498b2d398b8d198b8d198b7d397b6d394b3d291afd18eacd08caad084a8ca84a8ca85a9cb85a9cb84a8ca82a6c87fa3c57ea2c47a9ec07ea2c480a4c680a4c67ea2c47da1c380a4c683a7c983a7c983a7c982a6c881a5c781a5c780a4c67fa3c57fa3c57aa5c57ea7c783aacb8aaed08eb0d391b2d593b1d593b1d58aa8cc8dabcf91afd394b2d694b2d691afd38dabcf8aa8cc86aacc85a9cb83a7c982a6c881a5c782a6c882a6c883a7c988a6ca8aa8cc8caace8ba9cd89a7cb89a7cb8caace90aed291aac897b1cca2bcd5afc7dfb7cde4bbd0e5bfd4e7c6d9eabfcee1c0cfe2c0cfe2bdccdfb8c7dab6c5d8b8c7dabbcaddb9cadcb1c2d4b2c3d5c0d1e3cadbedccddefcfe0f2d4e5f7 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -8987789492839f9d8ea19f909b998a9896879e9c8da5a394a8a795aead9b9f9e8c959482a09f8da09f8d9e9d8baead9bb0ab97ada894b1ac98a5a08c8a85718b8672a09b87a8a38f9e998395907a8c87718c8771938e7895907a908b7588836daaa58fa7a28ca39e88a09b859f9a84a09b85a39e88a49f899893809d9787958f818781758c857ba59e96b5aea8b4ada79a97849a9582837c697e76619f947eafa38ba6987ea29479a69e87a199829a927b9f9780b0a891bbb39cada58e968e77867e69958d78aba38cbcb59bc1ba9ebab396aca686a19b79b8b292b1ab8ba6a080a09a7aa19b7ba6a080aaa484aba585b4ac95a19982948c759a927ba59d86a9a18aa8a089a8a0898d8874948f7ba09b87a5a08c96917d7f7a66746f5b77725ea8a089938b74958d7699917a877f687e765f7d755e7169529b937e877f6a7c745f89816c9b937e9d9580958d788f877285806c67624eb6b19db4af9b8e89759c9783928d79b1ac985751435b55476e685a8680728e887a8b8577999385afa99b938d7f9b9587a9a395a39d8f7a74665650426b65579993857c7763aca793c1bca8aca793a19c88aba6929e99857c776387826e86816d7f7a669c9783a19c88b5b09c9c9783938e7a9c9582928b789c9582a9a28f8a83705c5542645d4a9089766f69516f69518e88709c967ea6a0889f99816e685058523a9b937c9b937c90887199917a8c846d89816a6e664f625a436a5b46978873ae9f8aa69782988974897a65968772bcad988d856e8a826b89816a8a826b867e67857d66908871a09881857d668f87709d957ea09881938b74877f68918972a49c85bcb19bb4a993b3a892baaf99918670918670a59a84c3b8a2aca48da49c85a8a089b8b099c1b9a2b5ad96a19982948c75a59d86968e77888069877f68958d76a69e87b1a992b4ac95a6a088a6a088aaa48cb4ae96bcb69eb8b29aa8a28a9a947caaa3879c9579aaa3877c7559bab397b7b094b1aa8ea0997db0ac87b3ad89b2aa86aaa07da194729d8e6da19170a69675947d5ba99270ae9775a28b69a48d6baf9876a38c6a88714f6b5b3a9c8c6bbeae8db6a685a79776a99978a898779c8c6b86724fac9875c6b28fc2ae8bbca885beaa87b29e7b9c8865b8a887a89877a39372aa9a79a898779e8e6da59574b7a7868e7e5c90805e948463bcab8dc6b59bc2b098cdbba5a7957fb1a389998b7193856ba7997fb0a288a7997fa89a80b6a88ecac0a5978d72bdb69abab598615d40414223646546888b6c9d93789a9075a1977cb0a68bbeb499c3b99ebcb297aea489a69b85b9ae98b3a892aa9f89b2a791b0a58fb3a892cabfa9a5968170614c71624d7b6c57a79883b0a18c8b7c67c3b49fc8ba9dc6b89bc6b89bc3b598b4a6899e9073928467918366bcad90bdae91bbac8febdcbfb6a78ac5b699a09174ad9e81e9d3bcedd7c0b29e868a7860c0b097bfb19791856bbfb59ab3a48fc3b49fcdbea9cabba6c8b9a4d3c4afdfd0bbe5d6c1d4ccb5d5cdb6d3cbb4ccc4adc6bea7c7bfa8d0c8b1dad2bbd1caaebcb599beb79bdcd5b9ebe4c8ded7bbd4cdb1dad3b7b8aa90d6c8aec7b99faa9c82bcae94d3c5abcdbfa5c3b59bc7b99fcfc1a7bdaf95cfc1a7d7c9afd0c2a8e1d3b9d4c6acc0bd9adfdbbeb1ac984a4438979086a39d91d6d1beaea995bcb599cac3a7beb79bc6bfa3c8c1a5cdc6aaccc5a98f886ca79d84b9b5a9a5aeb397abc47f9dc193b9e07da8cb719cbe78a6c879a7c97aa6c979a4c7799fc47c9fc582a4ca87a9cf92acc5a0bad3afc9e2b5cfe8afc9e2a6c0d9a0bad39eb8d19db5cd9db5cd99b3cc92adca89a8c585a6c586a9c98aadcd88acc690b4ce92b4cf8dacc88faac799b2d0a1b8d7a1b8d7adc3daaec4dbb0c6ddb0c6ddaec4dbabc1d8a6bcd3a4bad1a5bdd5a6bcd3a8bcd4b0c2d6b9cadcc0d0e0c3d0e0c3d0e0c7d5e2c7d5e2c7d5e2c6d4e1c5d3e0c5d3e0c4d2dfc4d2dfbdcadbbcc9dab9c6d7b6c3d4b4c1d2b3c0d1b2bfd0b3c0d1adc0cfadc0cfadc0cfaec1d0b1c4d3b4c7d6b7cad9b9ccdbc0cedbc1cfdcc3d1dec6d4e1c9d7e4ccdae7cedce9cfddead2dce5d4dee7d8e2ebdbe5eedce6efdce6efdae4edd9e3ecd3dde6d3dde6d4dee7d4dee7d4dee7d5dfe8d5dfe8d5dfe8d8e2ecd7e1ebd4dee8d1dbe5cfd9e3ced8e2cdd7e1cdd7e1ced8e1cfd9e2d1dbe4d2dce5d2dce5d1dbe4cfd9e2ced8e1cedbeccddaebcbd8e9c9d6e7c8d5e6c9d6e7cad7e8cad7e8c6d3e4c7d4e5c9d6e7cbd8e9ccd9eacbd8e9cbd8e9cad7e8c5d3e0c6d4e1c6d4e1c7d5e2c8d6e3c8d6e3c9d7e4c9d7e4c9d7e4cad8e5cad8e5cbd9e6ccdae7ccdae7cddbe8cddbe8cbd9e6cbd9e6cad8e5c9d7e4c7d5e2c6d4e1c5d3e0c4d2dfc6d3e4c6d3e4c7d4e5c7d4e5c8d5e6c9d6e7c9d6e7cad7e8c6d4e1c6d4e1c7d5e2c7d5e2c8d6e3c9d7e4c9d7e4cad8e5c5d2e3c6d3e4c8d5e6cad7e8cbd8e9cbd8e9cad7e8c9d6e7c1d2e2c1d2e2c2d3e3c3d4e4c3d4e4c4d5e5c5d6e6c5d6e6c1cedfc5d2e3cad7e8cbd8e9cad7e8c9d6e7cbd8e9cddaebcedbeccedbeccddaebcddaebccd9eacbd8e9cbd8e9cad7e8c6d3e4c5d2e3c4d1e2c3d0e1c2cfe0c3d0e1c3d0e1c4d1e2bfd2e1bfd2e1c0d3e2c1d4e3c0d3e2bed1e0bccfdebbceddbacddcbacddcbacddcbacddcbacddcbacddcbacddcbacddc -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -a79f8c9c94819088758b83708e8673938b78948c79928a776f6b527d7960837f6678745b6a664d67634a6b674e6d695086816d706b577e79658a85717a75617a756176715d544f3b6b6155776d617b7165746a5e756b5f8a8074a49a8eb4aa9e958d768d856e8e866fa19982b7af98beb69fb4ac95a79f88aca589b0a98dada68aa0997d938c70938c70a49d81b6af93a89b7bb0a383b1a484a89b7ba59878ada080b5a888b6a989b2a88db8ae93b6ac91aba186a99f84aea489aba186a1977cc0bca3b2ae95a19d8498947b97937a9591788c886f837f669993857a7466655f519791837f796b6f695b5e584a868072938d7f938d7f847e70a09a8c908a7c9b95877f796b7f796b88806d7d7562564e3b7f7764928a776c64517d75628c8471756d5a7b7360857d6a9189769c94819f9784978f7c8c84718a8571ada894a9a490999480a49f8b98937f88836f97927e918c799994819a9582938e7b938e7ba09b88ada895b2ad9aa9a3959b9587756f617d77697c7668726c5e928c7e9b95878b836c877f6879715a807861978f788c846d746c55746c557f7961978f788a7f6991856f978873978672a99783947f6ca58f789e8871a18b74a9937ca28c75957f6899836cab957e8a7e647b6f556c60466a5e4475694f83775d8a7e648a7e64ad9d8496866d7e6e5583735aa29279b2a2899a8a7175654ca08f7da594829f8e7c91806e8c7b69978674a39280a89785a29b7f979074787157928a73aea691aba291a59c8b7e7566877c66b4a993c7bca6afa48e9e937da29781958a74766b55776853897a659e8f7ab4a590b5a6918f806b72634e7a6b56a99d87a89c86a39781a1957fa99d87b1a58fa89c86988c76beb298afa389887c62a1957b9b8f7575694f8c806690846a8c7d608a7b5e8d7e619081648c7d6087785b918265a09174b1a084b6a589bcab8fc0af93bead91b7a68aaf9e82a9987c4d472f4038216a5f4941351f5849347a69559684708c776497816aa08a73a8927ba8927ba18b74968069907a638e78617a644d907a6389735c9a846da58f78a48e77b49e87a08a739887698271537463457f6e50958466a49375ab9a7caf9e80a9977fb2a088a4927a9c8a72aa9880a9977f9c8a729f8d7584765c5e503646381e685a4090826882745a72644a897b61756651897a65998a759788738f806b8c7d688a7b668879648d7b6377654d928068a08e76a391799b897189775fa7957da39e819b9679a5a083716c4f454023666144868164a49f828b7f65867a60a4987ecbbfa5cabea4b7ab91b5a98fbaae9497977f5d5b447a755fada791ccc4afd5cab6b9ac99b7aa97b0a891bab29b8e866fb3ab94a79f88726a539e967f9b937caa9e86baae96c8bca4d0c4acd6cab2dcd0b8dbcfb7d5c9b1e5e0c0d8d3b3c8c2a2c5bc9dcec0a3c9ba9da8977b827155cdc1a9e2d6bee7dbc3cdc1a9b0a48caea28ac1b59dd2c6aeb9aa97c9baa7d7c8b5bfb09d80715e61523f9c8d7af1e2cfeadcc2cabca2baac92cfc1a7e8dac0ebddc3e1d3b9dbcdb3cdc9b0cecab1cfcab4d3cebad6d0c0d8d2c4d8d2c6d6d0c4d0c8b1efe7d0c2baa3cdc5aeded6bfddd5beaea68fd7cfb8c0b8a1c2baa3cdc5aebbb39caea68fd7cfb87c745d7a725b8c886f78745b87836ab9b59cd0ccb3c1bda4c1bda4d7d3badbd3c0aca491726a57a09885cbc3b0d3cbb8a29a8768604db3ae9ad5d0bcc2bba8cdc5b29f9281827262bead9d9786767b7f70696d5f61645966696063665f555752515350595b58585f58535a53535a53515851454c45383f383e453e4d544d495049646b64454c45555c555b625b656c652d342d2b322b31332e4a4c475f615c5f615c585a5551534e4749443c3e39494f4f515757444a4a424848292f2f2026263a40401f2525262f2a343b34383d374f524977796e7c7c706a685b65615542483a5b5f515355484a4a3e6462567571666861575c534a5852447a74668f897b9c9688a6a0928d87796862545d574953554a4f52495960593c47434d5d5d798c90859ca2c0d9e0c9dbddb8cacebecfd6c8d6dfbfcdd8aebac8afbbcbcbd6e8b2c5d3b5c8d6bed1dfcbdeecd3e6f4d1e4f2c5d8e6bacddbb3cad2bad1d9c5d9e2ccdee8d1dfeacedae6c6d0dcbdc7d3c4d7e5cee1efd0e3f1c9dceac5d8e6c8dbe9c9dceac4d7e5c1d6d9c2d7dac3d8ddc3d7dec2d6e1bed1dfbbceddb8cbdabdcee0bdcee0bdcee0bdcee0bccddfbccddfbccddfbccddfc0d2e0c0d2e0c0d2e0c1d3e1c1d3e1c1d3e1c1d3e1c2d4e2c3d0e0c3d0e0c4d1e1c4d1e1c5d2e2c6d3e3c6d3e3c7d4e4c0d2e0c0d2e0c0d2e0bfd1dfbed0debdcfddbdcfddbdcfddbccddfbccddfbccddfbccddfbccddfbccddfbccddfbccddfbacbddbbccdebccddfbdcee0bdcee0bbccdebacbddb9cadcb4c9deb4c9deb4c9deb4c9deb4c9deb4c9deb4c9deb4c9deb4c9deb4c9deb3c8ddb3c8ddb2c7dcb1c6dbb1c6dbb0c5daafc4d9b2c7dcb4c9deb2c7dcaec3d8acc1d6aec3d8b0c5daadc2d7b0c5dab2c7dcb0c5daaec3d8aec3d8b2c7dcb6cbe0b4c9deb4c9deb5cadfb4c9deb3c8ddb1c6dbafc4d9adc2d7a3c1dda3c1dda3c1dda2c0dca1bfdba0bedaa0bedaa0bedaa0bedaa0bedaa0beda9fbdd99ebcd89dbbd79dbbd79dbbd79eb9d69eb9d69eb9d69eb9d69eb9d69fbad79fbad79fbad7 -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 -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 -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 -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 -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 -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 -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 -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 -a29781a79c86aca18bada28ca89d87a297819e937d9d927ca5a083a29d80a09b7ea09b7e9e997c9792758b8669817c5f8a856f99947ea19c869b968098937da09b85aaa58faea993a8a38da9a48ea7a28ca7a28cada892b0ab95a5a08a948f799e9d8baaa997acab999f9e8c9695839d9c8aabaa98b4b3a1a4a391a4a3919796848786748f8e7ca9a896b5b4a2adac9aada894a49f8bcac5b1c6c1adb1ac98b2ad99a29d899e9985aca793847f6b9a9581aaa59185806c8c8773a39e8a86816da59f91807a6caca698948e809993857e786a706a5c5d5749504b3765604c726d599d988487826e8b86727f7a6697927e7e796685806d827d6a736e5b6a65526e6956746f5c75705d83796d83796d594f432a20146a6054a3998d948a7eb1a79b746c599a927fafa794aca4919d9582867e6b8d8572b4ac9990816c7d6e599e8f7a998a7585766190816c93846fa596818c866e877f68776c56796d5791826d94836f85735f826d5a8776629e8d7993826ea2917db3a28eb7a692bbaa9694836f8d856eada58eb1a9929a927bb2aa93a098816a624b928a7398866e98866e96846c9280688e7c648f7d6595836b9a8870ae9c84b19f87b09e86aa9880a8967ea4927a9280687e6c5474604877634b715d45735f47948068b5a189aa967e857159ad927d937863866b568d725d876c57725742705540816651896e599f846fb19681b39883ae937ea98e79a08570977c67907d5fac997ba4907587735b917c67b39e8bb5a08f9d88778c856980795d796f547c705681715882705888745c907c64bfb19697896f7e70567f705b8b7c69a59585b2a293a79788958e72a59e82a0967b988c72a7997fab9981a4927aad9981b09e86a8967e95836b816f577b69517f6d557d6b5375634b9d8f759a8c7294866c8e80668c7e648d7f6591836994866c9f9176a19378a4967ca899828e7f6aa292826858486252437b74625b5241786d5b8073607b6c5786765d827058927e657f71548d7f6296886b8f816487795c8476598274577d6f5281725d8677627d6e5983745f9b8c77998a7585766182735e9383769383769080738a7a6d80706379695c76665975655897887391826d6c5d4871624d7c6d5882735ea1927d9c8d788e7f6a71624d81725d594a357b6c578b7c67948570948570736a4d7f755a766c51635842716652928775918575756959837b64837b64837b64766e57574f38443c255850397a725bc4bda1655e42746d51696246a29b7f8b8468918a6e867f63948d719b94789a93777b74589b94787b74588b8468aca589b7a68ab1a084ae9d81b09f83b7a68ab9a88cb8a78bb6a589c0b298b5a78da99b81ab9d839e90767a6c528b7d63cec0a6d8cdb1d3c8acccbea1c5b695bfaf8dbeac88bfab86c2ac87cbb796c3af8ec1ac8dcdb89bdcc6aedec8b1ceb8a3bda792aa997de3d2b6d6c5a9c2b195e2d1b5cab99d89785c7b6a4eb8a78bdecdb1e4d3b7d2c1a5d4c3a7d5c4a8cfbea2d3c2a6cfbea2d2c1a5d4c3a7d1c0a4ccbb9fc7b69ac6b599c7b69ad5c1a9dac8b0e4d4bbcec0a6c2b69ca3997e7f785caea98cc0b19cbfb09bd5c8b5a69b89a89f8ea59e8e9f998ba19b8db1ab91c7c1a7cec8b0d9d3bde1dac8b1aa9a625a4d372f22615c488b86723a3521736e5accc7b3bfbaa6d6d1bdbbb6a2cac5b1d7d2bedbd6c2ccc7b3c9c4b09b9682524d39544f3b4f53422d3120545746a3a192dbd8c9d4cec0a29a8d9b9386c0b3a2afa2918477665245346d604f7e71605f52417b6e5d7873605e5946615c495c57446b665377725f615c49716c596a6551534e3a706b58696353504a3c5a54486c655b938c84737260777664605e4f5a584b454239403d365b585345423d4e4e4e4444443e3e3e4242424545454343434343434646463c3835403c3946423f49454247434044403d44403d4743404d46365c554591887793887490846eae9f88ae9e85b09f858d7c5e8e7d618b7a6078664e8f7d678c7a66604d3c746150bba78ea59178ab977f8f7a657c6754856f618c7668b39d909e8a727c6a5267574063543f8679665f53437c73626962526b6559898377756f635e584c7771657f796d645e525b55496059533d383244413c3f403b3d433f4d57563e4a482b39391e27243e4744545d5a4d56533f48453e47443c4542363f3c474f442f3930525c54323c3b303e3f3c4b504b59624a5b65485b5f5a6d71576a70586b727385918496a48798a891a2b292afbd94b1bf87a4b2829fad90adbb94b1bf92afbd9cb9c795b1c78eacc48caec77da2bd8bb4d485b1d483b3d771a1c787a8d387aad287aad285a8ce84a8cc86aacc8bafd190b4d488a9ca89aacb89aacb8aabcc8baccd8baccd8cadce8cadce8aabcc8daecf91b2d395b6d798b9da98b9da96b7d895b6d78fb0d18fb0d18fb0d190b1d290b1d290b1d291b2d391b2d38eafd08eafd08eafd08eafd08eafd08eafd08eafd08eafd08fb0d190b1d291b2d390b1d28eafd08aabcc86a7c883a4c588a9ca8aabcc8cadce8eafd08fb0d18fb0d18eafd08daecf8baccd8fb0d193b4d592b3d48fb0d18daecf8eafd090b1d28dadd38dadd38dadd38dadd38dadd38dadd38dadd38dadd38cacd28cacd28dadd38eaed48eaed48fafd590b0d690b0d68eaed48eaed48dadd38babd18aaad089a9cf88a8ce87a7cd -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -9c97849e9986989380908b78938e7ba29d8aaea996b0ab98a7a599a4a2959997888685717877627c7c62949478a9aa8b958f818f897b857f717973656c66586d6759868072a19b8d989284a29c8e9b95877c76687a7466a49e90a9a3957c76684f47345e5643877f6ca79f8caba390b5ad9ac4bca9c5bdaab0ab9798937faca7938e8975a9a490c1bca8a6a18d98937fa8a38f9e9985847f6b76715d7c7763847f6b9b9682c0bba7756f61797365746e608680725d5749797365918b7db5afa18688727b7a6593927d97927e8d867378705d857a687e73618882747a74666f695b635d4f4e483a413b2d534d3f726c5e8e89737b76625a5542878171969082706a5e70695f5c554d86756b6b5a50a29285a59586a898889d8e7bc2b39ec4b59eb3aa99b6ad9ca49b8aa89f8e9d9483988f7eaba2918d847362533e93846f9a8b76998a7588796483745fa79883a79883a595869c8c7d9282739080719686779d8d7ea29283a39384ad9e8bafa08d9384717869567f705d8b7c69998a77b1a28fb9a78fb8a68eb4a28aad9b83a7957dab9981b8a68ec3b199b5a895bbae9bbfb29fbcaf9cb1a491a89b88a69986a99c89aea18eafa28fb3a693b2a592a194817e715e584b38403320665d4c79705f99907fb6ad9cbfb6a5b8af9eb1a897b1a897a8a089968e77787059918972958d76837b64a09881afa790ada58eb1a992bcb49db6ae97a79f88b3ab94c5bda6c3bba4ccbda8afa08bac9d88b0a18c9e8f7a988974a79883ae9f8a756c5b8a8170847b6aa89f8eaba291837a69aaa190aaa1909e9181a49787a69989a39686a79a8aa99c8c9b8e7e877a6a826e63958274998678a1907eb7a692a79881786a505c4e346e674d8d836a82765ea8998275654ebaa892b6a18cbda792b7a98eb9ab90ae9e84a08f75ae9a81ccb69ed9c1a9d1b7a0d7ba92b89a74cfb18bd2b190b08f70d0ad91dbb79d8f6b516443345c3b2c5736275938295a392a5d3c2d6a493a7a594a6b4e3e8063537e5f4b65422e66422a916c52bd9679cca588c7ab84c7ab84c3a782bc9f7db79a7ab39678ab8d71a18369a27d6aa37e6ba47f6ca5806da37e6ba07b689c776499746165453a4a2a1d412011502c1c4d2816451f0c764d39c19683cfab95c29e88c19d87c29e88a17d6778543e7f5b45a5816ba37f69a7836db38f79bf9b85bf9b85b18d77a17d6798745e95735a97775e92745a866b50866c51927a5e9c876a9f8c6e6454445d4d3d837363a090808a7a6a7d6d5d7b6b5b645444776446846f52947c609d8368a1866ba2846a9f7f669e7c6396775aa4856897785ba6876aa7886b9c7d60ae8f72a48568b89881b99982c4a48dd3b39cd7b7a0cfaf98c8a891c8a891a78b73f0d4bcebcdb5cbab94a6836d997660bd9983ad8973a79273bca788d3be9fdbc6a7d6c1a2cdb899c6b192c2ad8ed4c5a8d1c2a5beaf92b8a98cc6b79ac7b89bbfb093c3b497ac8a6eb09174bc9e82ccb196d7bda6d8bfa9d2bca7ceb9a4cebda1d0bfa3cdbca0ccbb9fd7c6aae4d3b7dfceb2d0bfa3d2bf9faa9371c2a681b49670e1c5a0decaa9b5a78d675f489a9d82919177a9a98f9b977ec6c0a8d4ccb5b8ad97c4b9a3cec0a6d1c3a9d7c9afdbcdb3dbcdb3d5c7adcbbda3c4b69c7c756268614e48432f3b392441402b3d412a4a4f386d725be5ddc6cec6afb5ad96cbc3acbdb59ea19982b7af98b2aa9371705b6b6a556f6e593b3a2553523d4e4d3854533ec5c4afae9e84c5b59bbbad93d1c5adcbc3ac8c867069645056513e373f2a3a422d383f2d323929343a2c3c42363d4339363b344145463d41423a3e3f3b3f40393d3e3337382c3031272b2c363b35393e383d423c3f443e3d423c393e38393e383c413b393e383d423c40453f3d423c3439332f342e3338323b403a3e3d394847434a494541403c3d3c3842413d42413d3b3a3635362e3f403842433b3f403844453d4e4f474e4f4745463e5f5c575b5853514e4944413c38353034312c3936313f3c372b2e272a2d263b3e374a4d464a4d464f524b4d50493d403948454046443834352352563f3a3f29363d2d484f483d4245384734626f5d69725d797c675d5b44837c628d8167a5977da2937ea2937eae9f8ab3a48fa99a859c8d7860513c7566519a8a707b6b5148381f908069b2a18d91806ea79686907e7053513c6f6c5b646057443f3b48433f5e5a5179766594927d695b505f5249766960433a3358514b4a454146423f534f4c4f51435456486364564644376d695d777165867e73665c526158514e453e4d443d5c534c797069463d366259525b524b7672668f857b827169725b53967d76655146786a5d7e756682786c80766a7b73686c655b524f4644433e52534d6a6b6655555d61656e414d5954697a7594a9739bb57ca9c874a5c675a0ca75a0ca75a0ca75a0ca75a0ca75a0ca75a0ca75a0ca77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc77a2cc749fc9749fc976a1cb78a3cd7aa5cf7ca7d17ea9d37faad47da4cf7ea5d07fa6d181a8d383aad584abd685acd786add87ea9d37ea9d37da8d27ca7d17ba6d079a4ce79a4ce78a3cd7ca6ce7fa6cd84aacf8aaed28fb2d295b7d39ab9d59cbbd79ab9cea0bcd2a4c0d6a7bfd7a7bdd5a8bcd5adbed8b0c1db -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 -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 -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 -7f7865aca592a59e8b8c857299927f9a938087806d88816e7169568179666d65529a927f9e9683a39b889c948188806da09a8caaa496aea89aa7a193a09a8ca39d8fa59f91a49e907c7668aba597aea89a918b7d8e887a999385a29c8eb3ad9faba692a29d89a49f8baba6929a9581807b67837e6a9b9682a7a28ebbb6a2b0ab97b4af9ba49f8b8e8975a29d899e9985c2bcacaea898b7b1a1ada797aaa494a39d8d746e5e666050656a567578658d8e7c71705e8b88778b85759992827f7667766e57776f587d755e867e6789816a7d755e665e47544c35443f2946412d45402d716b5b4d4739908a7e5d564c615a525a504771675e4c4239372d24594f4651473e3e342b60564d7c746198907da69e8b99917e8c8471928a779c94819d9582847c67877f6a9c947f827a65726a557a725d6d655079715c736653918471a09380988b788d806d897c698e816e988b78ada592c5bdaaa39b88938b78bcb4a1b2aa97948c79aea69399917eafa794b4ac99b9b19ec4bca9bab29faba390b2aa97bdb59ea79f88a29a83b1a992b3ab94a39b84a49c85b5ad969f9177a19379a6987ead9f85b2a48ab1a389aa9c82a4967ca89a80a99b8195876db0a288c6b89ebdaf95b7a98f93856b8a826b8e866f948c759c947da39b84a8a089aba38caca48d655f47817962a0957fa397818e7f6a82715d9d8b77c3ae9bb2a38eb4a590afa08ba49580a1927da59681a59681a1927d99917ebfb7a4bdb5a29c9481978f7c9e9683948c79867e6b9b937eada590b5ad98877f6a8e867199917caca48f978f7aa098838c846f847c679d9580c3bba6cec6b1b0a8938b836e8179667169567f77649f97849a927f78705d7a725f9b9380a8a28aa8a28ab5af97b6b098a59f87a6a088b2ac94b1ab93d9cab5c2b39e998a7583745f9d8e79c9baa5d1c2adbcad98c7ba98d0c1a0d7c7a6d7c4a4d0bb9ccfb899d6bd9fdfc4a7d3c0a2cdba9cd4c0a5dcc8b0c6b19ca7927faa9584c4af9ebba68bb7a287b29d82b09b80b19c81b49f84b6a186b6a186d2b99bd5bc9ed6bd9fd1b89ac9b092c7ae90ccb395d3ba9cc8af91c9b092cbb294ceb597d1b89ad4bb9dd7bea0d8bfa1beb093c9ba9dcdbca0c5b196bba68bb79f85ae947ba58971aa8f72ae9376b59a7db99e81b99e81b59a7dae9376aa8f72aa9374a48d6ea68f70c0a98adac3a4c3ac8dcfb899c5ae8faa967ba28e739b876c9d896ea89479b5a186bda98ebfab90baab96a0917c988974a99a85ae9f8a9d8e79988974a59681b09f8bc9b8a4c7b6a2a79682a1907cbcab97cbbaa6c0af9bb1a084aa967bb29e83b69e84a78d74ab8f77b79981af9179c2a78ac8ad90c7ac8fbca184b89d80bfa487c8ad90cbb093d4b599d3b498ceaf93bfa084b19276ab8c70ad8e72b39478be9d74caaa83d5b58eceb08abda380b79f7dc2aa88cfb898e0cbb0ccb79cdbc6abc9b499ead5bab5a085c3ae93d3bea3ddceb1c0b194decdb1d2bea3c9b499ceb69cb39980d8bca4b9a489b29d82b39e83c4af94d8c3a8dbc6abc9b499b49f84c4b186c9b68cd0bd95d3bf9ed5c0a3d6c0a8d9c3aedcc5b3d2c7b1988d7780755f615640584d37726751877c66b4a993aa9c82b6a88ed1c3a9d9cbb1cbbda3d0c2a8d7c9afc8baa0aea79457503d7b766256543f43422d767a636e735c9da28bc0b99dc9c2a6cec7abcbc4a8cac3a7cbc4a8c5bea2bbb498a79c86534737463c322a211a716a6279776a91907ca4a78cb6ae8ac4b797c2ae95e1cbb6dfcab9b0a0938b85775d5b4e454c3a414836454c3a444b39404735313826363d2b3e453342443945473c4244393e40353f413643453a3e403534362b3c3c30525246504e424541364b443a483e3541372e4c3f374c413d4a3f3b5d524e584d49544945584d494c413d574c484b4239544a414e413948393266554e8a77717a65604f3733352b223d332a665c53695f56756b62695f566d635a4e443b5b574c817a70978e85847a7190837b85766f5847406a5952675e558a807792857d7a6b645a494257443e806b666149455d5650938c86918a84665f596b645e857e78736c664f484268595450433d655c554a463d3b3b3143463b383e322c3427424c4439433b383f373f453b46493e484a3d4f504258594b514d414c483c6f695b8a8373ada592978c78a49882b5a691a19170ae9e849c8d7a897b6e4a3d34504738837c69948e76a69b859f94807067567e76697e776f797671221e1d5f5d5e3d39364844413a36333c383544403d615d5a7a7673494542696b663c3e3941423d5b57544944415b5351372d2c776d6c686151635749c3b3a6907c71665448a0948655524174776487826e7d7260897868a38d7f8771638877675b503e77705d695f4693867385756677645e8173726e686c696c71475057344e5b7894a29ab6cb8cacc5779cb991b9dc79a3cb8bb6e06aa6ca6da3c971a0ca769fcb7a9fcc7ca2cf7ca7d27babd380a8cc80a8cc80a8cc7fa7cb7ea6ca7da5c97da5c97da5c986add884abd681a8d37ea5d07ca2cf7ca2cf7ca2cf7ca2cf78a7c57aa9c77faccb83aece88afd089adcf88aacd87a9cc84a8ca84a8ca86aacc87abcd89adcf8aaed08bafd18cb0d28cb2d68cb0d489adcf89aacb8baac78eacc893aec995b0cb97b0ce98b1cf9ab3d19cb5d39eb7d5a0b9d7a1bad8a2bbd9 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -404a28484f2e4146264c4f307273547a795b635f42585336776f58867e678b836c9a927bb7af98b3ab949c947d989079b19d859c887087735b86745c98866ea7977ea7977ea09077a09278ad9f85a69a8093896e948d71a8a386a9a5889695779d98859a958297927f948f7c8c877487826f8b8673938e7b79715a877f68867e6778705979715a908871a098819f9780918c78afaa96908b7776715d95907c948f7b746f5b78735f5c54417b736099917e9d95828d8572827a67867e6b8f87749088758b8370928a77938b78928a77a79f8cb0a89599917e6f756b56595045463e45453d4340393b3630453e3859504b7267657c716d998e888b8178a79d9392887c877e6f5d54457b76628e89759c9783928d79807b6778735f7c7763827d697b736069614e69614e837b68968e7b827a67554d3a332b1852483c4e4438675b4f5c4e4386746a8e7a7170595169524a83775d998b7187795fa18f77a591798d7760a58c769e856f927d606d583b725d40a89376bfaa8da79275a08b6eb6a184a590739f8a6d988366937e61927d60927d60927d60917c5f97856d9e8c74a8967eb2a088b4a28aac9a829e8c749381699d84659c83649e8566a58c6dae9576b59c7db79e7fb69d7ea79370a79372a28d6e9782658d785d8e78609a846da6907ba89376a89376ae997cb39e81ad987ba08b6e9c876aa08b6eaa9880b09e86ae9c84a6947ca5937bac9a82a9977f9f8d75aca181a49777a09373a79678a89577a28d70a58d71ae967abaa588b29d80a792759d886b9984679c876aa38e71a89376ceb395c4a98bb59a7ca98e70a58a6caa8f71b4997bbba082b4997ba88d6fa68b6db09577b69b7db29779b09577b4997bbc9d80b19275ab8c6fad8e71ae8f72ad8e71b59679c0a184b59171bd9979c7a383caa686c7a383c4a080c5a181c7a383cbac8fcfb093d2b396d3b497d1b295d1b295d4b598d7b89bb79e7fc0a788cbb293cfb697c8af90bba283b09778a99071a59170b09c7bbda988c6b291c7b392c4b08fc0ac8bbeaa89ae9a79b6a281bda988bba786b5a180b6a281c0ac8bcab695cbb796c7b392c4b08fc5b190c8b493c7b392c1ad8cbca887b29e7db6a281bca887c2ae8dc6b291c9b594c9b594c9b594c0ac8bbfab8abfab8ac2ae8dc5b190c4b08fc0ac8bbba786978571907e68c0ae96bfae92b09f81a89876b2a27ea5966fbeae8da29271b3a382a393729b8b6a9e8e6d827251968665988866938361948462a49472bdad8bc6b694b2a2809888669c876aab9679bba689c0ab8eb9a487b19c7faf9a7db19c7fab9772aa9671af9974b39b77b29573ac8e6cae8d6cb29170a78967b89a78c3a583b89a78a385639d7f5dae906ec3a583b59c7eb79e80c3aa8cd1b89ac5ac8ec5ac8ed6bd9fc9b092d2c09cd2c09cd2c09cd3c19dd2c09ccdbb97c4b28ebdab87b7a88bc5b699b6a78ad8c9acc7b89bd5c6a9c7b89bcabb9eb7b191cec8a8d3c8aad3c5a8e0cfb3dac5aac6ae94c1a98fdfceb2d5c4a8cdbca0cfbea2d9c8acdfceb2dccbafd7c6aacfc9afbeb89e9f987ebdb39ab7a891ccbca5b7a58fbdab95b8a78ba9987cb7a78d8b7d63675d446e664f7a745eb7b09dafa790c4b9a3baa9977a67589e8c80655b4f474538373b2c65644f51503b5655403b3a2545442f3f3e29605f4a797863a89b7ba598789d9070988b6ba19474b2a585b7aa8ab1a484938f7686826978745b68644b78745bb6b299dedac1d0ccb3c0b8a1ccc4ade1d9c2ddd5bed6ceb7d6ceb7cec6afd1c9b2c2b89fdcd1bb7f77628f8875ada895999886b1afa067685857553e45432e3e3d29454634434736363d2d343c2d3f473a4042355a5c4f3a3c2f1113063d3f327c7e71747669494b3e3e42315256453f43322327163e42316c705f7d8170797d6c7a73694c453b393228463f35534c426f685e918a809b948a94887aa29688958b7f8f877c8f887e504d447b787168676289897fa8a69a9b978b9f9589bbada09b897ba38d7f9f887843312f4432304432304533314836344b39374c3a384a38365244394339305e59554646465e5e5e8f8a86a79d94a99b909c988fafa89eaea69b53493d897d6f988b7bb0a090c0b19eb9a88e9c8b71c5b39b9f8d77c1af9b513e2da592838d7a6c8673628877658676668b7e6e8d8175756b61766d649c958b9796844c49384842327b74647e7566b3a799b7a99c77695c8f7d71c3b3a6b1a3989689816e635d5249445a524f302b27363f3c38413e39423f363f3c313a372f3835323b38363f3c323d35303b33374139434a43464b4540413c3e3d3941403c363231433f3e312d2c4e4a49413d3c4945442f2b2a46424135322d3f3c37403d3842413c4746413a3b35353630454640354336455346303c303e483d434b402d30273a3d3442433b605a4a7b75657872628a8474908a7a5c56465953435a54443d484072786c6f6d5e65604c262310686c5e3f4a424d605eaebdc0afbec1b5c4cbbeccd5c8d6e3cbd8e8c7d4e7c1cee1c0d4ddc0d4ddc0d4dfbfd2e0bed1e0bdd0e1bccee2bbcde3a9c4d7a8c3d6a8c3d8a7c1d8a7c1dca6bfdda5bedda5bedda5bfd8a5bfd8a5bfd8a5bfd8a5bfd8a6c0d9a6c0d9a6c0d9a0bfdca0bfdca0bfdca0bfdca0bfdc9fbedb9fbedb9fbedb9eb7d69cb5d498b1d094adcc92abca92abca92abca93accb -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -4e54383f46273f4426505132504f304643224e4a2765613c636152838172908e7f9391829e9c8d929081777566706e5f615b4d817b6d898375979183ada7998e887a696355746e60787a649d9c878c8b7676715d3d36235b53407065538f84726862547f796b837d6f6a6456544e404f493b4842343b35273c292336231d59473d6856484938264c3b276f5f4674644a8f856c675d44746a519f957c998f76756b5262583f5c5239635d4f635d4f655f51726c5e706a5c534d3f5d5749938d7f78685191816a70604964543d9b8b749989725b4b3442321b745751ad908a61443c8b6d6377594e735346957566937462b6967d8262497757407e5d4a71503f805f50916f637d5b4f805242bd9885a1856f533f265a462d876b55926d5a906252ad836d80574177513a9e7c63aa8c728d7358866e529d886b6d54409c836f967b66876b557a5a41836146a582669774589b7d65a88a72a98b73a0826aa78971b69880ad8f7795775f9c7e66a78971ae9078a3856d8d6f5781634b86685091735ba78061a17c5fab886cc0a087c3a791ad9480917a68836e5db59a7daf9477b4967ac6a489cda98fc49f85b89077b28a71b49374b79677b59475aa896a9e7d5e9d7c5daa896ab89778b08e73a7856aa17f64a58368ad8b70ae8c71a583689a785d9a785da7856ab39176b8967bc09e83caa88dcaa88dc4a287b1937bac8e7691735b9b7d65c6a890c0a28aa88a72b89a82a88f7ba88f7baf9682b59c88ab927e9b826e9e8571ac937fad9275a88d70a6886ca68469a27e64a37e64b0886fbe967db19377a5876bb29478bb9d81ab8d71a7896db29478b19377a381667e5c417f5d429f7d62aa886db08e73b7957ab39176c7a991b2947ca98b73b5977fbea088b5977fa68870a0826aa88e6daa906fad9070ae8d6eac896bad886bb38c6fb79073b99879ba997ac4a384d0af90d1b091c6a586c1a081c4a384bf9d84c3a188be9c83b49279b49279bb9980b5937aa6846ba48161b28f6fbb9a7bb59679ad9275ac9279aa927aa58d75b19377b09276b6987cc7a98dd8ba9ed8ba9ec5a78bb29478b99b7fae9074ad8f73b99b7fbfa185bc9e82bd9f83c6a88ce0c6adbca289bfa58cf2d8bfedd3ba977d64745a41a2886fc6bca3b6ac93cec2aac0b19ad1c1aaa5937db8a38ebca691b49a819c8269ad937abea48bb1977ec1a78ed1b79eb89e85ab9475b49d7ebfa889c6af90bda687a48d6e846d4e6e5738735b4181694f8c7259957961ac8c75bc9983ab87718a66509072569f8165b09276b4967aaf9175ad8f73b6987cc1a387baa087c3a990bea48ba68c7391775e8f755c9a8067a389709f8974aa947fb79e8ab99e8bb49583ad8c7bae8a7ab08c7ca88366a37e61b18c6fcca78ad1ac8fc09b7eb59073ba9578c59a78d8ad8db88f73a07761bf9889c6a198a37e78906d698a664ca8846aad8b70c0a1859a7f628b7254b9a2838f7859846e568e7a6159482e5b4d32645b3e6b66489b9879858465746850655941695f464b442a4741275b573c4d4c3056563a73674fa89c84b2a68ec7bba3c4b8a0a0947ca69a82aea28ab2a98a756a543f352b3329283128292926213a382b5557424347304f533c3e422b4b4f383337204a4e373d412a444831373f274149315e634c9d9f89908f7a5f5d486f6a5677725e73735788866d7e7c6557523e5c55434740306e645852483c3d3b2279755c716b53746c55887d678478628e7f6a8677629e8d797a6955705f4b9d8e79bfb39d6358426f644e5a4f396f684b231c0040391d756d56544c37afa794ada49359503f8f7f6885746071624fa29584b5a9993d34255c54473e382a34362b35372a3335273336253f422f4e513c4f533c464a31494d344347302e311c3033204d503f5052443d3f32383a2f272a193e4130404332383b2a3a3d2c343726383b2a50534231402b44513d3844301017054b4e3d4e4c3d4a47383c36284d463e3a382c3d3f312125145356435954416b5e4d4d3c2a543c3269534869574d61534a564d4439352c24241c30312b3c3e333a3b353636343434343f3f3d6061598e917eb0b49d8d8874625d49716c58bab5a1c8c3af797460b3ae9ab4af9b968e77928a73aaa28b766e57a19982a59d86b1a992c1b9a2868976928f7ea79d91cfc1b8a99a935f544e504b4538393395928bb6b3ac7a77704340394a474066635c726f684b4841686560403d387a7772585550615e597f7c779a979267645f807a6c585244948e80b4aea0d9d3c5908a7c7f796bb3ad9fb1a8a1988f88a69f99807b774743403535352f3032434446424848343a3a4044433a3c375c5b56706d66b1ada47571689f9c939c9a8e9d998d9f9c8dbbb8a7908b7898937f726d579e8c809a8c83645b56767271a8a4a3a39a95ac9e95bba99daaa094aea498d7cdc1a0968a82786cd6ccc08e8478a69c90736c66867f79413a349e9791413a347b746e6b645e544d475959593737373939393b3b3b2727273636364b4b4b3737372a30303d43434f535252544f4f4e495c59527c786f99958c81737299918e3839341d231f36403f383d400e0f1459565d4848483e3e3e3232322828282121212222222e2e2e3b3b3b49534b444b435c5f58929288736f6491877d93877b8a7c715f6d60374234525949757666a39e8acbc0acb0a18a94826a -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -3b402c393c293835223a321f423320503b265f46326a4e3953381da68c71886e555f46305c4631432c1a432e1d533e2d5030257760526255443b3421413a274235243d2618533328372012786153796254563f31644d3f755e50695244664f41352d2022180c52463a3a2c214c3b312f1d133420174a332b3f2b2439251e3f2b243b27202d191238241d432f2834201951392f6b5446917766a98f78a88d709f815f9c7c55a08057a58266a9866a926f539e7b5fa27f63967357a683679a775b9678608e70588c6e568f7159896b5381634b896b539a7c6495796192765e8e725a8c70588f735b967a629e826aa3876f886a5292745c9a7c64886a5273553d87695196786082644c93725f8f6e5b6a49368968558c6b586f4e3b8d6c598a69568a6f5a866b568d725d9a7f6a977c67836853775c477a5f4a755d43846c52866c5390745ca78770a07d6789654f85614b8d67529c76618c66518b6550aa846fa17b66835d488a644f996c59aa7d6aaa7d6a936653895c49926552936653875a4789634e9b7560a37a66986d5a986957a57463aa7766a16e5d8b634aa47c63b58d74ab836a987057936b529870579e765da7836dab8771a37f69916d5788644e8e6a5498745e9b7761926e54a8846ab29075a17f648a6b4f8a6c509d7f63ab9073a179609d755c9e765da77f66b38b72b89077b18970a8806796785ca18367a28367936f558762488d654c9b7159a379619e7b67a78470b3907cb6937fb08d79a3806c977460906d5980614c8e6f5a957661997a65aa8b76bc9d88b1927d9879646f58398b74559a816392775a9475599e7c619571577e5a40926e5496725898745a98745aa27e64ad896fab876da17d6393745894755996775b997a5e997a5e95765a8e6f5388694d865f40987152a57e61a27a609c745b9e75619f76649d74629879679b7c6a9576648768568263518a6b59927361937462997a689f806e9172607a5b497e5f4d9374628e6f5d735442704d398b6653a8846eb99178b78d74b3876cb78a6dbd9073997158aa8269b99178b58d74a57d649c745ba47c63b0886f997e61ac9174b092769c7a5f906c529f7a60b68e75c0987fca9d80b5886bad8166b48a71b189709f7b659f7a67ad8a76a4816da6836fac8975af8c78a98672a27f6baa8773b9968295775ba98b6fb29377ad896fab866cb38b72ab8169996f579c7e62a38569ad8f73b6987cb89a7eb19377a6886c9d7f63ab886aaa8769ac896bb28f71b79476bd9a7cc6a385d0ad8fc09f7cbe9d7ac2a17eccab88d7b693d5b491c8a784ba9976ad9070b09373b59878b89b7bb79a7ab39676ae9171aa8d6dab8a6ba58465a18061a68566b08f70b49374ad8c6da58465a381668f6d527e5c418462479b795ea9876ca38166967459906e53b18f749270558e6c51ad8b70bc9a7fbf9d829f7d62735d3458421d7b6445644c34836b538972538d7752715b32836042ad886b764e348c674d84644d78624a4a40254743267d6a42715d38836f4ca38e6f968166654f38553e2c6952404f4432534b385b54415b59444f513b4247303e462e424c332630176169515c614a62644e46442f645d4a544c396c614f60624c64634e605b47635b48847464a59283a38c7e896f624f452a4035213b31254239343a322f29261f3230244748384a573d464e367e806a87806d5140306d534695756a67453b55483743362641332654463b5b4d444a3b343a2b26392a27423f30493f337e6d639480776d595059483e564c403c392a3441272e3b212e381f464e363d412a4749337d7c6775745f66664a34341a4c4c342e2d18676652484735615f50302e1f40453f44473e4a4a3e53503f605a44766a508d7e5f9b8c6b9e7c63b18f76a17f668f6d547a583f8f6d54c19f86ad8b72c0947ba77b62a3775ea2765dc69a81d9ad94b78b72be9279b6927aa7836ba9856da27e66b6927abb977f9b775fb08c749e7e69a98974be9e89c3a38ea787728d6d589f7f6ac7a792b08d77a8897482685168533e746550413924706b57504f3a6c695a5653445754456c695a6764554643343835264441323f42313d402f4447363b3e2d2d301f3a3d2c393c2b5154434849392627175657476869593c3d2d4445356d6e5e494a3a3e3e263a39243736224342303331222d2b1e413f33322f26383b2852554246493831332545473a57594e43443c2c2d27272e26343c31747a6e8c90829d9e903e3c2d343120524f3e4c4f3e2e31203b3e2d535645575a497174638184736669586a6f5153573c636750888b786b6d608081794d4e492222203e3e403d3d3f4949493e3f3a5f605a4c4d4580827783857a847e709f998b958f817e786a8a8476a29c8eb1ab9dbfb9ab5f584e70695f817a708982788b847a3b342a665f555b544a3e444030373060655f6c6f6679796f6260548a867a94908462635b5051495859515c5d554a4b4356574f5c5d5542433b546052545f5161695e585b54504f4b736d6f766d723d32382d3333323838373b3a6668639d9c9787847d5f5b526b675e748163a1a78d9794817f7569b0a59f6e6462524e4d2e2e2e2d363338413e363f3c37403d3d46432e373428312e3f4845404a495a646328312e535a534c514a787971a9a99fb7b7adc3b9b0b2a89fbdb4abc5bcb3bab3a97d796ea9a59aa8a69a -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 -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 -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 -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 -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 -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 -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 -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 -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 -5b48396451425d48374d3722573f277559417b60436e50345a4e383c2f1c3f322136281b3d2f244a3b343a2b26483936372a2236292135261f3827203b26213d25213b221e39201c442824503430563a364f332f482d26482b254528223f221c7b5f478e725aa0826aa5826ca37f69a37d68a279659c735fac8a6fac8a6fa07e638d6b5087654a906e539c7a5f9f7d62ac876da88369a58066a47f65a68167a68167a37e64a07b6197755aaf8d72bd9b80b9977cb69479ba987db49277a68469a58971ae927ab49880b5977fb2947cb09079a98972a2826b97745696735599755b9c7860987360916c5a906a5d936d60a27f69a07d679a77618d6a5484614b87644e95725ca3806a87695182644c77594170523a7a5c448f71599d7f679e806892745c8c6e56896b538d6f5795775f97796192745c8c6e569b7d65a5876faf9179b3957db1937bab8d759f816994765ebd9a8495725c825f49805d4779564084614b9a77619e7b65aa886da9876ca48267a38166ac8a6fb69479af8d72a17f64ac876d946f55866147977258b38e74c09b81bc977db69177a782689a755b8e694f8e694f9a755ba68167aa856ba9846aa47f659c775d9772589d785ea9846ab18c72b08b71ac876db08e73b29075a8866b9b795e9d7b60aa886daa886d9f7d62cba38aa98168926a519f775eb99178caa289d2aa91d8b097bfa284ba9d7faf91759d7f65896a557e5f4b7f604e8566548a684d8a684d8e6c5195735898765b947257906e538f6d528d6b50a48267b69479b49277af8d72b29075b49277b08e73a17f649e7c61a58368ab896e9e7c6189674c89674c99775cab896ead8b70b29075b9977cbf9d82c09e83bb997eb69479bb9d7bbb9d7bb89a78b39573ae906ead8f6db19371b69876b28f73b9967ab69377aa876ba48165a58266a07d61967357856348916f54856348765439815f448b694e8a684d8d6b50805f407857388867489a795a9b7a5ba38263a58465937253b08f70a98869bb9a7bc3a283b59475ba997ab8977898775885745a78644b6e563e7556418863509a715fa77969ae7d6eb18d73ba967cbc987eb69278b49076b79379b08c72a37f65a9876cae8c71bf9d82cfad92ccaa8fb9977cb08e73b39176c19a7bba9374ad8667a17a5b9b74559d7657a57e5fab8465ad886bb48f72b79275b48f72b59073bc977abb9679b69174c09b7eb59073ae896caf8a6db08b6eb48f72c29d80d2ad90b09578b3987bb19377ab896eab876db38e74b89077b48c73bc9b7aac8b6ab79675c5a483b99877ae8d6ca78665967554714e269e7b55b28e6aa2805dab886ac5a388b5937a87644e9472579c7a5fa68469aa886daa886dab896eae8c71b39176a482679270558664498d6b509a785da28065a7856aab896e8f7658886a5096735d7e594672533f705b46433b2434341c2834102e341257523454442a705a429e866e816b5376654b6358465a4f3d574c3a5b503e5c513f5b503e64594772675572665064584253442f4f3d295e49366c5543755b4a7a604f482e1f63493a684d3c6447356d4c3966432d5d3921654129624533795c4a8669577c5f4d6c4f3d6e513f7f62508d705e866a528c70587f654c8870566a553a96856999886c8d7e61a27f63a68367a0816496795b917859978362a18e6da79473ab97749c8867907b607e6851aa93836f574d452c27331a1646382d9b8f836a6054383023333021393a2a595c4b40443329361c39432a5458419b9a85a69f8c7164536150405441327d6352ad96844e392665533f42311d90816ca59983665a44494d3284876c68684e504e354b452d4e432d766a547768534236207b6c578a7b66907e6a7d68556f5846876d5c7e6453624c357f695279634c78624b98826b9c866f8c765f957f6883745da5967f83745f80715e9686768272657261576150466657426a5b466c5d487e6f5a9889749485707a6b566b5c477566457f704f7160446b573e87715c987c6e8c706486685d5c5b3d5854376861458b7d6399856d967b66a38470bd9c89a89078b09a8388765e473b25231d076e6c574749342429132a2e203d3f315354445e5b485c55425850395d533a63573d64644c5049365d5040665448513f3552443b595047413e353a422a60654e7a7e6751533d2928134f4d38696450413a27292f1541462f545943494e3a2e32211b1f101b1f1122251a2a2e1d3337263438272b2f1e2a2e1d3438273b3f2e3a3e2d3033202d301d3a3d2c585a4c6c6e6162645948494135363030322531332636382b3e4033484a3d4c4e41494b3e46483b3e4033424437383a2d6a6c5f46483b64665935372a76786b6563575a584c5553476c6a5e4745396765595452462b291d4048394048393b43343b4334495142586051545c4d444c3d48494323261f2d302764665b6a6a5e8b8879b0a89b6b62532f312456584b5d5f5270726573756866685b67695c45473a65675a63655856584b45473a4244375052455e605362645771776b646a5e3a40362a2f283b403a32363535393a5f63648b8e7b5a5d4c3335274a4c414647413a3b365353514040402e332d3c413b3d423c313630343933444943464b453a3f3946473f3a3b333c3d354c4d4552534b5051495d5e5674756d9195879ca0928d8f82a4a296938f84b4ada3ada49bb9b0a7 -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 -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 -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 -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 -57532e504a264a4122453a1e3d2e173524123a2718473123391f20351b1a59403c634a436951476a5345513a2a5c4533432d1f3a2315371d1044281c5f413679594e86645a8a655cad8a74a7846e99775e916d559c7860b49078c4a086c6a288a1856da88c74ab8d75a8856fa9856faf8974b18874ab826e7f5d42937156a68469ae8c71b29075b59378b08e73a7856aa37e64a58066a78268a78268a68167a9846aaf8a70b48f75ba8f6dba8f6dad82609c714fa17654b68b69bd9270b58a68af826fa97e6ba57f6aa4846da0846c9681668e7d618d7e617c62518369588a715b907860957d61967f608c7553816a48b18e78aa87719f7c6695725c8e6b558a675188654f87644e9678609d7f679b7d658f7159896b538d6f5792745c92745c9a7c649b7d659c7e669b7d65997b63997b639b7d659e806875573f7e604883654d84664e90725aa0826a9c7e668d6f5798755f906d5793705a8d6a5485624c9e7b65b7947eb18e78a7856aac8a6fb18f74ba987dc5a388c5a388ae8c719371569b765c9570569570569d785ea17c629d785e9b765c9f7a60b18c72ad886ea88369a78268aa856bac876dac876daa856b9d785e9e795fa07b619f7a609c775d977258946f55926d53836146906e539c7a5f9e7c6198765b916f5489674c8361467f6447694e3163482b84694cb4997ccaaf92b99e819f8467a78a6cb09375bd9f83c1a389b79883a0816d866755765745a07e63a28065ac8a6fb59378a9876c9270558c6a4f947257b59378ab896ea8866baf8d72ae8c71a381669d7b60a07e6398765b88664b86644999775ca68469a07e639b795e9e7c6199775c99775c9b795ea28065aa886db08e73b29075b08e73967661a1816cae8e79b2927dac8c779e7e6990705b8969549b806d8e7360896e5b907562917663876c598065528065527c5a3f957358a17f64a58368ae8c71ab896ea7856ab29075ad8c6da07f60a68566aa896aa38263ae8d6ebe9d7eb79677b49374a988699776578867488d6c4da98869c5a485cfae8fc09376ad8164a5795caf8366b48b6daf8668a98263ab8465dec7a8d3bc9dc9b293c1aa8bb59e7fae9778bba485d0b99ab08e73b59378b49277ad8b70b49277c6a489d4b297d6b499c9ad97bca08aaf937daa8e78ad917bb0947ead917ba98d77b08b6eb69174b79275b28d70b18c6fb59073b38e71ac876a9f7a5d9b76599f7a5dab8669b18c6fad886bac876ab08b6eae9376b3987bb39579ae8c71aa866cac876daa8269a27a6183604c805d499a7763af8c78a3806c9b78649e7b6796735f84796581725d6d5b455a442c64483089674ca17c61a67f62ae8c71b49277ba987dbc9a7fb7957aae8c71a58368a07e6398765b937156927055947257906e538a684d8d6b50967459ba96888368576354413a3521302f1d3d3b2c3f372c5e51485e4d338a745c8569538b6c577b5c4768503885745a786d5149483348473241402b3b3a2545442f5655405857424e4d385a4e386e624c7e6f5a7a685466513e4b34223c22113b21104d3324593f30593e2d6043316e4d3a603d274b270f502c14421a00633b21855d43936b5190684e8a624880583e774f357d61499a7e66977d648a7258503b207261457c6b4f78694c847d636a60474f432b4c3c255846306a543f7a614d856a5755483f42352c3b2e255f5347463a2e786c5e8c807290847659533b7a745c6f644eada18bc6b5a185735f8f7866a8917f6453439c8b7ba69585ab9b8bae9e8eafa291b3a695867968b4a69b716358574b3f4b413530281b231d0f413e2f7371623f2e2456483d6e62563c3427312e1f4745363d3e2e62655478765d8b8970827e65807a6259513a342812574b3574655067513a6e584198826bab957e947e678e7861826c5559432c8d7e678b7c6572634e5b4c3937271749392c8170666a594f5b4a4047362c42312748372d49382e47362c38271d1e0d034d3c2c544333453221402b1659432b71573e82694b9c8164b09c84a9957da18f77a9977fbfaf96d0c0a7c9b9a0b5a78d5b5437393216847d61a19680a99e8a6d604f7f7262c6b9a9cbb59ebea893a997839786767f72625d514530281d12090032321a6d66537b6e5e6e5c506f5d5355473e2b2219211e153a35213d38244c45327d7562958877645444574636948373afa993655e4b2b24123a33236e66598f877c968d84948b84877f7290887b837b6e625a4d554d405e564961594c554d40393c293b3e2b4447364b4d3f4244372e302521221a20211b383a2d3d3f324143363f4134383a2d33352833352836382b3d3f32484a3d4e50432c2e213d3f325c5e51414336383a2d3c3a2e514f43302e223c3a2e3f3d3153514536342848463a49453a433f3447433854504559554a534f44514d42575348313e2a5556444030234c30246d50426b5643635c424b4e3141433642443723251827291c2c2e2126281b36382b25271a2a2c1f3234273436292c2e212b2d2035372a40423542443751574b33392d41473d50554e363b352f33323f43443c40413131316a6a6844454040413b65675c484a3c3336253e412e393e3830352f2e332d393e38464b45474c46393e382c312b26271f2f302835362e31322a31322a3f4038595a526d6e66787c6e878b7da6a89b8a887c979388888177a0978e978e85 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -4f5a30555d3453583044421b58502b7366438474507b69457f604474543b6e4e396746354c2a1e300e0534110d4d2a285e3a2295735aa1836981674e705c4168583e5d52365d54375754313734114b46265b543862563e4b3c27887765aa9987876f4d89714f9d8362b99c7cba9b7c9e7d5e7e5b3d704b2e856445a887688a6749482306441d0073472c93654b9e7056906a45a8825dcea884ac88688e694e9b765cab876fd6b29ca77a63a77a63ab7e67b58871c0937cbe917aab7e67986b547e5c418f6d52aa886dbb997eb59378a381669e7c61a5836895775f9a7c64a78971b1937ba88a7292745c886a528c6e567e6c5487775e93836c9687728a7d6a756a565f54424f47347a60456d53386b50357f6149977961a1816c9875618a67537e5b457d5f476c503a4c331d38230e45301f614c3b755f51624935563d299075625437258b6c5a886756b28e7eb38e7e938a6b81765877694c7e6d518a765b8e765c8e745b90745c7c583e59341a633b22784e3670432c7648317a4a345d2d1773442a7142288c5d438f60467243297e4f3594654b82533987574386564283533f7b4b3773432f6f3f2b70402c72422e794f3791674f8a6048764c347c523a875d4590664ea1775f956750c8a389c4a98e958669766f52565134443d23574c36221703443321492f202f0d01320e02533125593d2f442a1b8f745775573b624025704e33947559a48b6d8d7a5a6d5e3d534f2c48452240401c42441f4145203d431d3f4720444f275d583066623c5f5d37393b162b2f0c323917434d2b45502e614f2b695534897052a5876d997a657a5b475e3f2d4c2c1d6a4d3d5b3e2c694a368e6b57a17d67a07a639971588f674ea37961a0765e996f57996f57aa8068b1876f91674f653b23764d31865d41a0775b90674b6e4529875e42a67d61936a4eb88266b88568b28065aa7b5faf8265bb8f74ba9175b1886cb08469bb8f74c3977cc3977cc1957ab78b709a6e537c50358c5f428253378f6046b68870cda58cb498808171575d5437655a3c5c4e315e492c705236865e4497654aa66e55b3775da37659a87b5ea87b5e9e715493664996694ca87b5eb98c6faa785fa8765da5735aa27057a27057a47259a8765daa785f9671549b7659a37e61aa8568af8a6db28d70b48f72b69174ac8b6abe9d7cbd9c7db9977bc09e83ba9781b6937fc6a38fd5ba9dc9ae91bca184b79c7fbca184c4a98cc9ae91caaf92ccae92ceb094d0b296ccae92c5a78bc1a387c2a488c4a68abba784c1ad8ab9a582c0ac89ac9875a18d6ab6a27f9a8663887358af9a7f866e54ad957ba58b72b3977fbb9d85987a62a88d70a58a6db79c7fb1937794765aa6876bb5967a95765aa2876a9b8065a4896e92775c72583f8d735ab2987fa48a719d8c729f8b72917d64947c64a389729d816b977863a889749f8b70a9957ab49f84b9a187b39b81b2987fbca088c8ac94bd9975bb9974be9c79c3a281c2a384c1a387c8aa8ecfb499b8a489bba78cbda98ebca88db8a489b7a388b9a58abca88da48e77a58f78ab957eb8a28bc4ae97c9b39cc3ad96bca68fb3a57eb09c77aa8c6aa78063b08469ba8e73b48c72a58266605748170b0044372764554293826e8c7a6487735b7a664e8e745d80664f6c523b5c402a583c2660442e71523d7c5d4870563b9981659580658470588e7c68897866867666a29585998f74968b7534281842362a685c50716654aba186847b5c9285748e7d69806a528a725888725a9786724b3f31453f33443d2b281d09605037816d546c5840544333362920362d28524741352a242f241e3b302a322721352a244136302a1f19412f1b73614d8674607a685478665273614d6b5945705e4a6c554367503e5d46346e57458a736188715f846d5b998270877358806c517b664b7e664c846a51886a5284644d7e5e478668509a7c649779618c6e568c6e567f614975573f81634b705d3d977e60a9876cb0886fb0836c845a42765238a48569ad8a6ea17e62a380647d5a3e6e4b2f7f5c407b583c8e6b4f865c438b634991694f967357a07e62a38467987b5d886b4d9e7f629e7c60a48266b48f74c1997fbf957cb58970aa7e65906f4ea38261b49372b59473b08f6eb69574c7a685d6b594b59876b89b79bc9f7dbea17fbfa280bc9f7db99c7ab69977b3936ca787609d7d57a07d5daa8769ae8b6fa883699e795fa98a6bbd9e7fc3a487b59679b09174b99a7eba9b7fb09175b7957ab18f74ac8a6faf8d72b7957abb997eb69479b08e73c09a85ab86739774618766557252436d504293776bc5a99daa8c70907256694e31654a2d755c3e745d3e7e694aa48f70a88369c6a28ab6937dac8d78b89f898b766164523e877664836c5a937c6a937c6a7b64526f58467e6755937c6a9c8573aa8a73ac8973a98670a27f699d796395715b8c685284604a926d52a78267a78369a7876eb599815f452e6c533d8b7560897658644f3270583ca48a6fa0856a66482e57371e7d5b428e6b57ab8b7692735f7a5d4b866b5a664c3d4a33256f594c6856426f5d49604e3a79645187705e8469589676678968598166517358436e523d7b5c48866552866350825d4b815a496d533a8c6e56a883709d7665745042533628543e306555451f20181d1e161a1b1317181016170f1819111a1b131b1c14 -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 -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 -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 -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 -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 -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 -b7a68abbaa8ebfae92c2b195c2b195bfae92baa98db7a68aa9977fb9a78fbbab92b5a78d9e92786d6348514a2e625b3f79684a7e6f507f745679725569654854543841452a373d233946284b5638595f4353563b4c482f5a523b837761a69a848d7e6187785b8b7c5f9a8b6ea39477a091749b8c6f9a8b6ea2997294886291815da4906dbba381c5a687c2a182bd9a7cbaa381a08967c1aa88b29b799d86648c75535c4523735c3aa89479b9a58abda98eb29e83b39f84c5b196d1bda2d0bca1b39b77b09673af9270b09270b59473ba9777bb9777bd9677a38064aa876baa876ba07d61987559957256906d5189664a967d678d745e967d677e654f785f49886f597a614b82695367452a8d6b506e4c3197755a7a583da07e636f4d327e5c4150382044251058321d7649347e5039896044946f528d6c4b5541265b4a2e6f61445b4d3066553991755d8f69549366538b6a4b7e5d3e846344a48364bd9c7dae8d6e7f5e3f5837186e543b674b3361412a623e286b422e7548357a49387c49387c4f30855839895c3d8c5f409c6f50ab7e5f9e71528558398b533c905a42915b438e5a42936148996a5095674d8c5e4495614987533b905e459a6c52996d529f765a9f785b8964476a4b36573d26513c21604d2d6d59387659398662429c7053b89d8a8f735e7c5d4883634c906e55a58066b58d73b0886eaf8064b18a6d967e5c6b5e3c53502d5354324b4c2c3837183e3a1457532e524f2c5858364849292f3414444b2c42492a424824454b27454b27444a26494f2b5056324a502c3e4420303e1d525d3d3b42214044233b3b19585230716945645a366a55386e573872573a7758397d5a3a885f3f9469479b6e4db38a6ea67d61997054997054a77e62b58c70bd9478be9579a5856c9674597c5a3f684326b28b6cb28969b78c6aba8f6daf8f7ab28f79b6927ab08b719e765c8c63478d6144976b4e936f55a98168be917ac0907ab1836ca37e64a5876bad96779a70579f775d9672587e5e45654933553c2648331e3e2c165b40237f6447af9175a081659d7b608d694fa9846ab68e75b4997c9d82658e7054a68469bc987ea58066956d54ac846ba07b61a37e64a27d639772588c674d8d684e9e795fae896fc19278a7785eb5876dd9ad92bf967a835c3f8a6548c6a184b39273b79677bf9e7fc3a283bb9a7bad8c6da78667aa896a9b795e9f7d62916f547d5b4087654aa8866bb69479ac8a6f9b795d967156a77f66a67d67a6816e84695448382152482f6e57389a7c609c785eb58d74ad856cb6927884664a725b3c5747306d5b457057416849346a442d683b247240298e5a44a480609b7453a27a57a37a5a845f4265493155402f4636294c392b634d4079625485685a8d6c5b946f5d966d5b91665598605186554485614b785e45503f234c3b1f6655397762478d7050967758a07d5fa27b5e9d715698694f9b674f9f695188563b9e6f53a3775ca1795fa07c62886a526f533d725841484927575232604f3363472f734f3988624d8c6651825c47a78268a88369a27d63967157916c52946f55926d538b664c59351f4b27116b4832785841694b33947a61af957c7860467459448b705b866b56765b467a5f4a7d624d8166519378638b7659978265907b6089735c8c76617a6351654e3e6952426450357c684d6e593e977f65947a619d7f67a1816a9c7c65a18c6d6f5f45433822433c29615a47796e587e6e547d6849846749896b4f8c6d587c5f5157413634272129282331363225221b47443d29251c40392f3e362b382c1e2b1f0f2c1f0f4b392b5846387a67588772617b6452896e599b806b92766092796399806a917862735a445e452f664d37816852967d67896954886853876752866651896954957560a58570b0907b8c77648a7361806957705947634c3a634c3a715a487e67557e5b458d6a5495725c906d578a67518b68528c69538966506d4e3a7d5e4a8465517d5e4a80614d8f705c9576628f705c80664d6e543b6b5138785e4572583f583e2550361d5b41285a3b277657438465518c6d599778648a6b577b5c4882634f9f7b658e6a5487614c7f56427a4d3a926351aa7968a27160a37e64b79278bf9a80b69177af8a70b08b71a88369987359926c579b7560a27c679e78638f69547f594476503b734d38aa8067a98168926e5681614a7a6049654f385b49336f5f4878553f7e5b459c7963926f5995725cad8a74a98670b996807f5a488b66549774619a79669576628b705b876c57836a544b36195a4528624d325f49325f493467503e6d56466d564633251c483a3154473e4a40363a34283733273f3d3046443750483d4d40374d3a33563f39614a4464514a5d5047544c414b453951493e5b53486c5f56786a6176655d67544d57443d393325343024403c303b3b3124251d262922393e383b403a6c65527d7562776c5a5649385141317564549c897aac96888972607a635178614f715a486a53417e6755907967866f5d6e57457f6856826b59715a48664f3d6c5543715a486c5543755e50695244533d2f614e3f564535473727574a3944372643452f42412c44422d4b46324b443148402d4e4331564b3959453a5d493e533f3449352a5743386551464a362b1c08000f0f0510100612120812120812120814140a17170d1b1b11 -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 -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 -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 -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 -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 -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 -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 -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 -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 -baa18ba9937cb4a088b3a38aa1977cb1ac8fb5b19489886a8e7e6eb3a393c4b4a4cabaaadbcbbbdacabacdbdadcdbdadcebea4d4c4aadbcbb1ddcdb3d8c8aecabaa0bbab91b1a187b8a78bc5b498cfbea2cbba9ebead91baa98dc6b599d4c3a7d5c4a8d4c3a7d2c1a5d0bfa3d0bfa3d1c0a4d2c1a5d2c1a5c4b69cc4b69cc4b69cc5b79dc9bba0cec0a5d3c5aad6c8add0c2a8d6c8aedbcdb3ddcfb5dbcdb3d9cbb1d9cbb1daccb2dfd0bbdbccb7d5c6b1d3c4afd1c2adcebfaac9baa5c5b6a1d1c3a9d0c2a8cdbfa5cbbda3ccbea4cec0a6d1c3a9d3c5abdccdb8d9cab5d5c6b1d1c2adcfc0abcfc0abd0c1acd1c2adddceb9d3c4afc7b8a3c1b29dc5b6a1ccbda8d4c5b0d7c8b3cfbda5cdbba3c8b69ec3b199c2b098cab8a0d6c4ace0ceb6ded0b3ccbea1c0b597cfc6a7928c6c443f1f4946254949275758363637155758365e5f3d5e5f3d3334124445233d3e1c726e486c643f6c5d3cb29f81dac6adcdbda4d6ccb3d0cab0b4a48d9f8f78a3937cc2b29bccbca5b3a38c9e8e779d8d76c0b295baac8fbeaf92cdb99ed1bca1c5ad93b49a81ab9178ccb395d6bd9fd9c0a2d6bd9fd8bfa1d9c0a2cbb294b79e809b8168c8b096b7a3885445283c33145b56365f5f3d868765757f5c5c6341383e1a474922837f5999916a7e724a6a5c358b8a5a857e5084754c88704c9275559f84679d866789765887856c79775e67674d595c414e5438444d303d482a3a4527303322252817282b1a2629181b1e0d2c2f1e464938474a392d32124b5030565b3b454a2a3f4424505535555a3a494e2e494d3245492e54583d393d2245492e2f331845492e45492e38321a7b755b7e775b685f42665b3d8d82624b3e1c706341806949957c5d917756ad8e6fb59475d2ae8eb58e6fa2795bb79476bc997ba683679270549e7c60a58368a08165a6876bbf9a7db69174b08b6eb08b6eac876aa98467b38e71c29d80ba957b8d694f6a482d6b503380694a816e4e6d5e3d5d502e735741997d67ba9e889b7f6960442e5c402a7f634d8b6f5982694aaa8b6eaf8a707e543e9166559d76655e3d2c8b705d9f7a60a27d63815d43a68268957358ac8a6fa583687b593e5e512f5b4c2b624f2f785f419273579e7a60986e568c5f488f6f567d5b4077553a8863469972539e7555a47957ad82609c7355b28f6f9078569e906d9386646453378f775f7e5f4a8067497c6345876c4f987a5e9173577a5b3f755338805e43a6866fb6927caf8976c099888e6a5a755b4c473825443c294146265657384a4528493d23513f2778624ba48b758368539b876c8d795e8f7b609f8b7098876d7a694f5f4e3458462e3e3a1d4448273e48253f4b274c56314e4e2c594e307b674c7e5e457f64498b7359928068857b6268644b51533b4e5239544b2e7e73579a8c7197866a998467a99072a6886c8f72549473549f7e5fa68566a68566aa896ab19071b08f70a98869a68363a481618665468a6b4e7c61445f452c664e365a422a3f3722443f2954543a656a4c686b4c615e3d5f543466553781725199896f796c59695d514f463d302a1e4845345e5d489d7e61b7987ba1826692725980604b7453407e5d4c6342313f3625302414342414513e2d6a503f71523e79564386614e58453f624f484734265d48358f7a5f846b4c836b457960389680728d7769230c00684f3b7f654e7a5c449070578465494946273f3e22302e193f3a276358447260487b60438f714f655637544a2f3c39262b2d2021241b1a1a121814091a12073224193525183b2b1e4c392a644f3e77604e7b624e765d497a5f4a765b466e533e634833593e295b402b6a4f3a795e49543e305c4638624c3e5e4b3c5946375948386352426e5d4d7d594388644e704c365b382272523b896d558971578772578b6b5e85685a745d4d5d4c3a48402b43412a4d4f37585e44795d51785c50664a3e583c307054489a7e72a2867a8d716597836b8a765e806c54806c547e6a5276624a6d594168543c402c21564033654e406c52416c513e60442e573921593922785c4780644f8b6f5a9175609175608e725d8d715c8d715ca3856da5876fa2846c9b7d6594765e92745c91735b90725a7f644f694e39644934452a15472c176348335f442f6c513c9477659a7d6b9578668467557d604e8467558b6e5c896c5a7f594c7652448665567a5d4d523827634e3b968470a3927e937d659a866e89775f7d6c588778658473637b695b84716384725a7c68508571599f8670a58a75967a6592735f9b7c68946f5c835e4b997461b89380ae89769b766398736095705dae8f7b9b7c687d5e4a9f806cbd9e8ab2937fab8c788b6c586f5441785f4b8b7462907e6a7564504b3e2b413622504531624e364e3a2248321b6d543e7459448364509b7a676847348b6a578d6c597756435837245c3b287d5c498d6c59846350a3826fa685729c7b688665527857447b5a47846350876653705542856654825b4c926757895b4c6e44347c5945775843704a4175504767453b583a2f62463a6c55476650425a4738654226603c226f4d348969528869546d513c654a377259454730283f2820523e3549372d514036685c5061574b72685c695c4c81716294817295806f9079678f79628f79628c785f7e69588b7665927f6e8776646f604d5a4d3a554a36574f3a -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 -8982665f583c372d123b2f1552442a5a4a3179674faf9d85d2c4a9d9cbb0baac919a8c71a7997ebfb196cabca1d3c5aadcd0b8d5c9b1cbbfa7c4b8a0c3b79fc8bca4d1c5add8ccb4ccbb9fc3b296bdac90c0af93cab99dd0bfa3cebda1c9b89cccbb9fccbb9fcdbca0cfbea2d2c1a5d5c4a8d9c8acdbcaaed8c9b4d6c7b0d3c5abd0c2a5cdc0a0cabd9ac9bd97c9bd95c8b799cbba9ccfbea0d0bfa1d1c0a2d3c2a4d9c8aadecdafd3c4afd2c3aed2c3aed6c7b2dccdb8e1d2bde1d2bddfd0bbd1c4a4d1c4a4d1c4a4d1c4a4d0c3a3cec1a1ccbf9fcabd9dccbaa2cebca4d0bea6d3c1a9d5c3abd5c3abd6c4acd5c3abc5b6a1cfc0abdccdb8e2d3bedecfbad3c4afc9baa5c4b5a0c9b79fcbb9a1d2c0a8dbc9b1e1cfb7dccab2cebca4c2b098c3b598c9bb9eb8ad8fbab192a9a3839d98789996755e5e3c2d2e0c3233115455335253316f704e5556345859374748263c381258502b716241b19e80d2bea5ccbca3dcd2b9d3cdb3dccfbcd7cab7cfc2afc3b6a3b3a693a39683978a779285729b9273958c6d93886a9486699483679d896eb7a287d1bca1dfc6a8dac1a3d8bfa1d8bfa1cfb698bda486ae9577a88f71a48a71876f559e8a6fa293769c93749a95757777556d6e4c4a4728625f4061613f5658315459304f562a575f30727b4c5c6632585c2a7a714698845fa08866c1a689d6bd9fbea68a4442293a381f39391f41442942482c384124313c1e343f213f42314346354f52414548372a2d1c282b1a2c2f1e1a1d0c2f3414393e1e4146264146263c41213f44244a4f2f54593943472c41452a50543933371c3b3f2424280d3e422742462b514c385b5640332f16434226605f414d4c2d8182606e6f4da294779485665b4828695031a18361a07c58926a46d0a680b18a63d0a884d8b28ed0ae8bcfb091bea084a3896e9f856c795437957053ad886bb38e71bb9679c49f82c09b7eb18c6f8d6e528e6c5199775ca581679a755b855d44865c44986e567f6652846b579b826e99806c7057435c432f69503c715844876e4f9b7c5f7c573db28872a57a6977503f563524846956ad9677ac9576745f407565444d401e655b3869633f48421e5e5c36514d28493f1c55422272573a967258b28870c0927b8f6f56866449836146926d50a47d5eac8363ae8361ad8260b381669f7b5b735f3c554d28625e394f4124a1856fae88758e6f537f60447253377b5d4197795da2876a8a6f52694e313f2d154c3820533a26826857725f4e706454403f2b323a25263a15535d3b575235816f579f836e7354405b402b5c432d6a57377463457465485c5036463b253c36203f3a26413e2b3a352240442d314124253a1930401c464624786547ba987db2987daa90759f856c886d586047334c32216c52439d83749875579a7759926d52845f44896148a0765eb28870b98c77ab8a6bae8d6eaa896aa07f60a07f60a98869ae8d6eab8a6b9d7a5a9d7a5a86654698795c9b8063876d548b735b7860486e52446e57475b4c373d361c3a331753482a69583a6f5a3d8b7041aa9169a9927383715b4639284f483584826b67674d8272509787657b6b4a705f4173624879674f806e5857452f3d3c284843305146345744336247366d4a376c423263382750402963533c39271124100078624b72574284695481654f58413b301a0f2f180a866c5b8d735ca6886c907152715331333f1b2b321344422d5d51416c5746947964a385697b5d3b34301527270f20241321291c23291f2b2b1f464032635747604e405d4a3b665140755e4c785f4b715641765a448569536d523d765b46876c578f745f806550674c37604530674c37a7816ea17c69926f5b7a5b466549336149316c563e79654c71513a87634d8a5f4c895a489366538a66507e644b867257877164877164806a5d735d506953466c594b806d5f927f71876d56997f68a88e77a68c75987e678e745d90765f967c657e6e557d6d5470604760503767573e7c6c5382725978684f352b1f595041615644665a428071547d6d4b7a684296845c9272597e5e457050377a5a419171589d7d649272598161487b5d458a6c5493755d8e7058896b538668507d5f4770523a795e497c614c997e698f745f8e735e977c677c614c7c614c684e3f7c6253866c5d806657816758886e5f7d6354664c3d4d482b70694d887c6296866da9937ca489748a6b577b5a47ae8976a5897476644c4b432c524c36675f4c7e6e5f96837586785e8f7f669c8c73a9957da7917a9b826c8f745f896e598a745f745e4979634e86705b7d67527e68538d7762907a65b69783a0816d7d5e4a977864a2836f8c6d598e6f5b7e5f4b92725bac8d78b49984917c675e4f3c433a29494333565344120000816d55b9a38cbaa18b876c575e3f2b82614ea3826fac8870b18d75b49078b18d75a9856d9d7961916d558a664e7a563e89654d947058947058916d558a664e7b573f6b472f6e54476b4b404f271d6a3a2e89554791604f9d7059815940a5756ba7786e7f5549664234785b4b735c4a5f4d39675642836452684b395c3f2f6147385841333f291e362219432f26523730533831674e47563f3758443b746359705f557b6d62625243745e5184665b8a685c8b6a5b896e597a6a516b62455649394b3e2e4539294c43325c5543635e4b5956434c4b37 -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 -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 -bbb196c2b89dc9bfa4c8bea3c2b89dc0b69bc7bda2cec4a9e0d2b8b5a78d7c6e54594b3156482e66583e76684e7d6f558b7d63918369887a6094866cc1b399d5c7adc8baa0bfb197d2c4aacec0a6cbbda3ccbea4d2c4aad8cab0dcceb4ddcfb5cabd9dc7ba9ac4b797c3b696c6b999cec1a1d6c9a9dccfafd3c39fd4c4a0d5c5a4d6c5a7d7c6acd8c6aed9c7b3dac8b4d3c1add8c6b2dbc9b1d5c4aac9b89abdad8cb9a985b9a985c2b290cbbb99d4c4a2d6c6a4d3c3a1d3c3a1dacaa8e1d1afd0c5a7d3c8aad4c9abd1c6a8cbc0a2cabfa1cdc2a4d2c7a9d6c5a9d3c2a6d0bfa3cebda1ccbb9fcdbca0cebda1cfbea2cab99dcbba9ecbba9eccbb9fccbb9fcdbca0cdbca0cebda1b4a58ecebfa8decfb8d9cab3d7c8b1e2d3bce7d8c1e3d4bdcbc7aab4b0938682656a66495d593c807c5fb2ae919e9a7d84846a73735930301644442a2e2e1436361c3e3e2446462c63643c7a754f90825fcbb494cab192cbb494cbb897baaa88d1b6a1d3baa4d3bda6cdbba3c6b69dc1b59bc2b89dc4bda1baae96c8bca4dcd0b8eadec6ece0c8e2d6bed4c8b0cbbfa7c2b193d8c7a9d7c8a9ded1b19d947387815f64603d66623f817052594a2b534626978e6d837d5b726f4c565632686a45414223474829595a3b48492a4142235c5d3e6364455354356f603f7667466b5b3a6d5a3a917c5da78e70957c5e816649bdae999c907a7d725e7b74618d8a798e8c7d6a6b5d414335757d664a523b525a43454d36343c25414932444c35575f4842462d393d24393d22454a2c4c5131484e2a494f294f552f4d5331393e1e3e43234c503542462d3a3e273f422d424530394422343e1c454829636244726b4f6f614774624a8470586b66487d725691795f9e7c61ae866cbd9679bc9878b18e6eac9a7695815e765f3d866949bb9a7bd2ab8ecda488d3a78cc6a989c1a484bb9e7eb89b7bb79a7ab89b7bb99c7cb99c7c8f755a896e51ac8e729d7b60a27d6291694fb0876ba4785d7655367b5c3d96785c61472c745e466b573f675541776652645341503d2c5944333821114c30224c2c1f624034452115936a4e876549705d3d5955324d4e2c4c502f5354355b573c373d19424623514e2b5c5430695b38725e3b6d5330614520634f2a9c825f9e7a5ab4876ab5866a95694ca17d5da07f5e9b7c5fb091747e604483684d5f452e7057418a745f644f3a5747305e48337a5d4ba07f6ea887768e715f76604b6f5f48917d5c817157413c28191d0f2c362d353f3631392e444a3e55503c4c412f2f1a0927080045200d633d28805c42a38468907b5c816c4f8e7a5fad9d8392846a594f364139222c240f3a3f293b3f2845452d504a32564a32604e3678624a917961635936776746856c4eb09175cdab90c7a88cbca1849c8566b99877b18e6ea88464a88162ac8365ac8063a4775a9a6d509f775ea0785fa17960a47c63a77f66ab836aaf876eb18970a98d689f82608d7352977e5f8d745691795d9b866b5d482d6265464445264f4b2e645a3f5f4f36755f489277628b6f5aa3815e8264427057385443272a20076967525b5e4b666d5b3847284a5739424b2e36391e47432a574f38675b4581705c68694a423b1f69553d7859457c57458764516d4e3a7257424f3e34614f43544234604f3d44321c64523a725e45937f6465664748422835261156403280655a6851493b282132231c191c11363427685d498672598971558c745a745e473f2d192c33212b2f1e28291929261730281b43352a59473d67554b5144346255455b4b3b6f5e4c74624e6c58407d6750735b4379604c634a36634a367f6652886f5b6d54405239254b321e6a493a734f3f77544177543e7e5a42866347845f427b57377f4e308253377c5037724c3778574683675b7a6258664f476e553f6f5640715b447a644d826c55836f577d695177634b806f5d6a59476d5c4a7968567a695782715f84736172614f625f4c4a4734524d3a68614f6d645375685876695969594a433d25605a424f4730574c365e4f3a4e3d2962503c6f5d49856d55897159897159826a527b634b7e664e8b735b988068a99179ab937ba58d75967e668f775f8f775f8c745c856d55917661866b56987d688d725d896e599075607f644f8e735e937c6a927b698a7361806957816a5888715f856e5c7d6654745e478c765f8e7861a9937cab957e957f68957f687e68517e6c589a887494826e9a887495836f8c7a66a18f7b968470867a648f836d978873998874a08e7aab9683ad9684aa907f9a88749a88749c8a769e8c789e8c789b897595836f917f6b937c6a947d6ba48d7bbfa896c7b09eb69f8da38c7a9b8472ad97809d89718a786054442b6c5e444e42286f654a4a4327383814605a38a89779bda287ad8f75aa9075a08d6f948767bf9c80c09d81ba977ba17e627b583c69462a815e42a68367ac8a71a4826997755c916d559470589e7861a47e67a57f688c7853785e3d8e6b4f835943754a377c5745684b39644d3b764f3e714a39724f3c7a5946795d486d523d614b345f493289795f715f4764523a604b38695242775d5074584d7b5f548b755e402d1c2515083120185340397f645b7f5b4f6f4837a58066af8b738f6f58745842836a5477654f5e4d3961523f51553c44482f393d26393c27373a293032242f3124333528 -b7a78ec9b9a0dfcfb6eadac1e5d5bcd8c8afccbca3c6b69dbdaf95dcceb4ecdec4cabca28b7d6360523862543a76684e72644a90826895876d918369a2947ab3a58bc6b89ee1d3b9cdbfa5cfc1a7cec0a6c8baa0c2b49ac1b399c7b99fcec0a6d7c9acd3c5a8cdbfa2c9bb9ec7b99ccabc9fcec0a3d2c4a7d1c19fd1c1a0d3c3a2d4c3a5d6c5abd8c6aed9c7afdac8b2d2c0aad4c2aad7c5add7c6acd4c3a5cebe9dc9b998c6b694c5b594cbbb9ad0c09fcfbf9eccbc9bcdbd9cd4c4a3dcccabd3c5a8d6c8abd8caadd7c9acd3c5a8d0c2a5d0c2a5d2c4a7d7c6aad6c5a9d3c2a6d0bfa3cebda1cdbca0cebda1cebda1cdbca0cdbca0ccbb9fcbba9ecab99dc9b89cc9b89cc8b79bd8cab0ccbea4c3b59bc8baa0d4c6acd5c7adc5b79db4a68ce1ddc0cbc7aadad6b99d997c4b472a5f5b3e7b775a6d694c68684e48482e0c0c003e3e243b3b213f3f2546462c57573d38431951532c8a825e8a7556a4896cbc9e82c0a588bda486ceaf93d1b397d3b89bd3ba9cd0b99accb798cdba9acfbc9cc0b298beb096c0b298c9bba1d5c7adddcfb5ded0b6dcceb4dcd1b3e5dcbdd1c8a9a5a0804c49286c6c4a80815f797a588173568476596055377067486a64447a75555653325855346f70517e7f605d5e3f3f40213d3e1f56573858593a595a3b746d51696246675d42695d43796b5198886f9d8b73826e566c6249847a618a826b736d575d58455d5a496866576d6e5e454336201e112b291c211f121f1d103d3b2e3e3c2f434134484c3340442b3f4328464b2d4a4f2f464c28454b25474d27434927494e2e4045253a3e2341452c3d412a373a2541442f3b4523383f1e424526585739605b3e5a50355c4e3467573e7c71559b8a70b49880bb967ccaa087deb599d9b595c5a282a0926d9d8d69836f4c7c64429d7e5fb59274b89174bf967abb9e7eb69979b39676b69979bea181c3a686c2a585bfa282b59a7da68b6ea284687455397553388e6a50b9947aa981687f604373543883654950351a624831573e286b553e9b8671634e3b543d2b4d332241261563463659392a674637735243735a3b7762436c5f3f565030504d2e5b56395d533a53472f5954365a5537615b3b695e3e66593760502c64522e6d5b35624827947556a0795cb7896fbd8e74a3755ba98064af886b8d654bb89378967258ba987f7e5e4976574395786652352365533b745b458566528f6c598f6c598869557e654f76644c55422463553b544f3c4345384950483e453d26291e2022153c3620544734654e3c7f5e4da17c6aa37e6b91715a917359bc967fad8a7490715cbea58f8f7f68362b154e483242402b36422c2f392131361f3f41294d4b32534c32584e335f5438564c29776746ab9274b39478ba987dc8a98db3987bac9576bb9e7eb29374aa896aa78466a88366a780639d745895694ea27d63a07b619f7a609f7a60a27d63a88369ae896fb28d7397815c846d4b65512e6858375d4e2f5b4d3071664a534a2d56603e444b2a393c1d3c3b1d413a1e4a3e24594b3164543b775a3a8267496a55384f3f253d351e413f2a171a07414632313e20414c2e3a402432351a46442b564e375b503a695d476f6e503b34185b4b32846954836450735440684c37866b56503d2f5d4a3c604d3e6f5c4b4e3c284f3f285c4c3386765c5e61464d49303b2e1b544132836b5f7862573f2e241d1105242a1c3c3d2b675f487c6b5176614679634b6e5944483725282f1d2428172122122926173b33265042375e4c42635147554838695c4c63534372614f715f4b66523a7c664f775f47604d3e4835264e3b2c6a5748655243433021422f20604d3e7d59497b57477e5b48825f4b7e5b45735138704e337654386c472a8b684c8c6c53664a34543d2b6b5849837165847467826e567b654e7660497c664f856f58856f5878624b6a543d7a68546b594573614d7e6c587e6c5885735f83715d6d5b476b66524b46324c4532635b486f6452776a597363535d4c3c312912675f48736852897d6791826d7e6c588e7c6899847179675383715d887662806e5a6f5d4965533f695743715f4b49372358463263513d64523e6a58447c6a56907e6a9a8874967b667f644f917661937863967b66977c67826752957a65917a688f786689726087705e9079679d86749b8472917a68a6927a9a866e7e6a52927e66937f6777634b79654d6a563e7866527d6b576654407664508b7965917f6b9c8a767f6d59887c668b7f698c7d688f7e6a9a8874a5907d9d86749076659e8c789d8b779f8d79a89682b3a18db7a591b4a28eaf9d89b29d8a96816e88736095806da38e7ba6917eac9784b8a390aa988073634a63533a54482e564c314a43273f3a1d555033625f3e352f0fb2a386c9b4998a7258a89378b09c81a49578b59378aa886da9876cb69479bb997ea8866b89674c745237916e5896735d9f7c66a6866fa98972a6866fa3836ca1816a897554937a5c9d7d647c58427b56438766536f544179634e9974628b68558663508d6c599276618e735e8a6f5a886f59846a537459447057417962506e5948513e2f4d3a2c5b493b604a334f3a293b2b1e50423937261e29130876594ba17e6b5f41276d51395f472f4e3a22584831564b354a442e524b3851553e41452e3336213033202d301f282a1d282a1d2e3025 -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 -98846c9480688e7a628c7860927e66a28e76b6a28ac5b199d6c8aebdaf95ab9d83b9ab91d7c9afe4d6bcd2c4aab9ab91baac92ccbea4c0b298aa9c82a4967c94866c7f71577e70569d8f75a19379ac9e84bbad93c8baa0cdbfa5cbbda3c7b99fb8a992bfb099c9baa3d2c3acd7c8b1d6c7b0d3c4add0c1aacebda3cfbea4d0bfa5d1c0a4d3c2a6d5c4a6d6c5a7d6c5a7e3d2b4dbcaacd2c1a3cebda1d0bfa3d4c3a9d8c7add9c8aed6c5abd5c4aad1c0a6ccbba1c6b59bc5b49ac7b69ccab99fc8b79bcbba9ecfbea2d4c3a7d8c7abdac9add8c7abd6c5a9d3c2a6d3c2a6d2c1a5d1c0a4cfbea2cdbca0ccbb9fcbba9ecebda1cebda1cebda1cfbea2d0bfa3d0bfa3d1c0a4d1c0a4bbae8ec3b696cec1a1cec1a1beb191b2a585c1b494dacdad9995785b573aa4a083a5a1845a563957533655513458543766664c69694f31311743432936361c5050365c5c4257573d54643f373e1c615c3e5b472eb49580bf9c88a6836fbb9884c4a586c7a687c8a788cbaa8bd0ad8fd2af91d1ae90d1ae90bfae90c9b89ad4c3a5d4c3a5ccbb9dc5b496c5b496c8b799c7c0a6c2bca2cdc9aecbcaae5f5f43575a3d5257393b4223655f457f785e6e674d827b61675d44483e252c22096a60475b56396b66493a35188e896ca6a1848e896c645f426c674a696c515d60457d7d63a3a188b2ac94b9b19a8e836d3429133635163433143935184f4b307a765d928c76746d5a463f2d3c362a6660549b95896d675b3e382c4b4539312b1f0e08003f432a3f432a3e42273f4426444929484e2a474d27434923545a38656a4a464b2b2a2e133a3e253c4029343722474a35474e2d4146263e43234548294e4f30575638646345726e5176684d98846bae927aab896ea88569a78869927855735d384b481f726d457f764f7b6d48917d5aa58d6bae9171b99a7ba88b6bab8e6eb29575bb9e7ec0a383ba9d7dac8f6fa08363a6896b9e8163a28468a3856d826650866957937666977b6d96776290715c957661593b2384644d8e6e5574543b5e3c23714e3b92715e7e5d4a6a49367455417556425435215334204542215f5a3a6c634666583e69594273604f604d3c3e2b1c5f533d4b3f2741351b4b4024544629594c2c6f62408a7d5baf8f7698785f7c5e4473533a88664d906a538e644ea97c67a0745ba3775eb68c74ba917bb38e7b4b281598776673524161492d856a4fa28067a77e68aa816bb18f76a98e7398806489775f4d402d302a1c3b3b313939312a281c393124574b3b7264499483699f846f9978659f7c69967564846956826c578b5d4e8f6555a27e6e5b41302516033b342232311f272a172f3c2a2f3a2935412d434c37474f37444a3043492d4a4e33493f1c6c5c3bb79e80a8896da8866bc5a68aa3886ba68f70a69174b29d80bda589b79d82a88d72a5856cae8e75bc9a81a58368a68469a7856aa7856aa58368a280659e7c619c7a5f958061907d5d665738615638555032333415373c1e32381c3942273d462b393f253e442a4e52394e503852523a6868506e5c4654442d57483361564058523c4b4934575944444631494d32595d4253563b5050366260475d5b4247432a3f3b22484326423d20524b2f71654b6e5e456c563f7a5e4972533f563b2869503c6c554354422e5d4c38675a47584d392f241024291342442f504736584839705f4f7363535f5442554f392e3a22363c225a5639796e507f6e547e6c566656473a2c211a210f2529183334243d3a2b3f372a3f31263f2d233e2c22453828574a3a4c3c2c57463456443054402878624b7f674f7768557b6c59796a57746552796a5783746186776481725f7d5846775240714e3b6b4c3a6346346148346e57457f6a579787706d5e474e3f2a52463062574369614e70675678715f81735985775d8d7d649581699b826c9e836ea18570a586729e826d90745f8d715c876b5680644f9377629f836e8c705b433b24473f286b604a8576617c6b577d6b578f7a67947f6c3a2e186e5f4a6c5d487b69558c7764866f5d967c6b947a699080709282729080708575657d6d5d8575659c8c7cb1a191968676a09080a69686a69686a99989af9f8fac9c8ca494849277627b604b907560997e69a085709e836e8267528e735e523b2968513f77604e745d4b705947796250897260927b6972614f6b5a485a49377766547766545b4a3872614f7f6e5c8674609d8b77917f6b94826e907e6a8e7c68aa9884a4927e8b7f6993877198897494836f93816d97826f937c6a8c7261a18f7b998773907e6a8e7c6893816d988672998773978571968571b4a38fb9a8949e8d7992816da2917da4937f92816d51493438301b352f193c37211717004b4d35373b227e8269676046655e446d664c9b947a8d836a75664fa3917bad9883a98d77ac907aab8f79a0846e967a64947862987c669c806a987766977866947765876e5a715b4669574186765fab9b84917a689b867387755f55452e6d5d4688765e6a563e755f486d513c7b5f4a8c705b8d6e5a7c5d497554418b6a57ab8a777e5b47a685729377628066555d48374a3a2a776a5a7b6f5fa68b767f6a598676693a302632281e7d6f626855445a442d5541295c4a3265553e584d37423c263b38253637252b2e1b3c3f2c33362530332236382b37392e2f3028292a242a2b25 -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 -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 -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 -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 -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 -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 -c2ae95cab69dcfbba2c8b49bbca88fbaa68dc8b49bd7c3aac9b59cc9b59cc8b49bc4b097bba78eb19d84a7937aa08c738b7b61a19177bdad93cebea4d3c3a9d3c3a9d7c7adddcdb3d3c4add5c6afd5c6afd1c2abcbbca5c8b9a2c8b9a2cabba4d9cbb1ddcfb5dfd1b7dbcdb3d3c5abcec0a6cdbfa5cfc1a7d4c5a8cdbea1c1b295beaf92c4b598bcad909283666556397d6a49927f5eae9b7ac2af8fc4b191bba888b09d7fa99678ac9c7ba69675a69577b1a084c0af95c2b098b3a18ba3917da6a2858b876a5d583b544d314a3e24594b318e7e658a7a61b19f87cebca4d3c1a9ccbaa2d2c0a8cebca4c5b39bccbaa2cebca4cfbda5d0bea6cfbda5cdbba3ccbaa2ccbaa2ccbaa2cbba9ecbba9ed4c3a7ccbda0baab8ec6b79ad2c4a7c5b79abbad93cfc3a9cec2a8bcb599beb99cb9b5988f8e706362444f5330595a38393a183c39185e59396b6545605738776e4f8681617d7757a59c7d706547706245928366d7c6aacdb99ecfbea2d5c4a8dbcaaedccbafd6c5a9cebda1c7b69ac5b498c3ae93b8a388baa58ac6b196bfaa8faf9a7fb5a085cdb89dceba9fc9b59ac5b196c5b196c6b297c8b499cdb99ed1bda2bdba9b9e9b7c7c795a6f6c4b74715074714e65623f53502d6e6a4d6f6b4e555134706c4f585437524e316a66495753366c644d736b546a624b665e477169526f6750726a538a826b988b6bb2a585a7997c7b6d536e5e478574608875646e5b4a4a483964625352513f23220e2a29145b5b435b5b412d2d1130341934391b383d1d3a401e3d431f484e285a6138686f465d63374d522954583355593861614544432e666551403f2d3c491b626e46434d2b3d43274b4e333e3f2051512d68693f917b63ac987fcabca17c7656626341878f6859653d3b4c22585f3651582f4f542c53552e54542e59553066623d77714d968362847151bdaa8abba88ab5a186b8a48b948067bda990b9a28284704f7f6a4b644f30b0997ab1977c9f816798785f9f806caf937dc4a892b89e877f654c5e462c6e593e735e437754366c4a2e8264487d654979684e796b515c5239635b44857254948365a1927597896f72664e4b402a38301b36301a4f3214816446b6997bc6a98bb6997bab8e70ba9d7fd1b496bd9f85c5a78dac8e74a3886dbda287b3997ea48a6fbba1868a7152907758695032775d427258415c412c604532886d5aad9770b39976b59876aa8b6e8f7457726143655c3d64614031330c6e6a45877a58b9a686cbb495a08b6c938463847a57b5a8959a8b7662533c614f37634f366b563bab9377cdb697af8976c8a390997a665b45305849344f4d362f341d202c14424a33464b35383c252b2d15413f266c684b7d765a726b4e71643a4f401964522c907a55a08665ba9b7cc8a587ad8a6cbd9b80caa88dcba98ec09e83c09e83cba98ecba98ec19f84b89a7eb89a7eb89a7eb7997db5977bb19377ae9074ab8d71a2896b846c506c583f62523b514632373020413d314240333237213e432c41452c4b4b31525135474326534e307c7759695a434839243c2f1c6b604e6d6453665f4f868072797667322a1d73695d948b7c7d70606d5d4d5f4e3c6e5c488977617a67497f6b50604c31523c24412b149e8571351c086e54436359404a4027554a346055415247355d514363574943372b423222473626604d3e6a59494439273c3b263340261f321638412e343d2a39402e414534393a2a2c2a1b3633244d4a3b7468523d311b493e284c412b665e4748422a5e5840534d354d412b7a6e5897887393826e9a8874a6917e856e5c4f3524805b3e8c694d7153395840286c5a447a6d5a615847484131766752897a658a7b66a89984a798838c7d6891826d8475607869547a6b5677685371624d7465507d6e597e6f5a7768536558456659467f705b9d8d769b89718874598f7a5dad95797a6353a99483b6a3928c7b69695a477463518978668f7c6b988d776d624e5146323f32213c2f1f190b005242354b3b2e261e095b4e3b715a48836654856652876b53a68e729c896bab9274ac9375ac9174b19377be9f83cdab90ceaa90c6a187c9aa8dbd9e81b09175a8886fa3836e9776638564537655446c56415f49346b5540836d587d6752624c3766503b836d587f6d5779675183715b96846e94826c7f6d5776644e7d6b5587715c937d689b8570957f6a86705b846e5997816cae9883ae9883a9937ea48e79a18b76a18b76a38d78aa947fb19b868d785d9c876c846f546f5a3f947f64c4af94d3bea3d3bea3c5b09bbba691ad9883a38e79a18c77a48f7aaa9580ad9883ae8f7ab49581ad917cae9382a58e7e937d6f8370626f5c4e2f2d1e2321121412033a38293d3b2c2c2a1b434132302e1f131200555136b1aa8ed4c6abc5b196d0b89cdabfa2c1a285b39d86c4ae97c1ab94bca68fc0aa93cab49dceb8a1bba58ebca38d8c765f67533b5c4a34594a33594d35594e38534b3464624d6260495f5d4657563a4746283f3e1f4d4d2b63634187674e84644b795b436b503b6c533f7059476d5847634e3d574b3155492f7a6e548e826875674d705e46735d46583f29493826342311372a192d26141a17063a3b2d4c50421e2416383a2c2b2d1f212315282a1c37392b3d3f313234262426181e1f171b1c141a1b13191a1212130b0b0c040f1008181911 -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 -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 -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 -755a3c9d8264c6ab8dcdb294b69b7da28769a58a6cb29779ae9375b3987ab99e80bca183ba9f81b29779a98e70a3886ab9a282b9a282bca585c2ab8bc9b292cdb696ccb595c9b292c9bc9ccabd9dc8bb9bc1b494b8ab8bb2a585b0a383b0a383b8aa90c0b298c7b99fc7b99fc1b399bfb197c3b59bc9bba1bfa78dc5ad93ccb49accb49ac5ad93c4ac92d1b99fe2cab0bb9e8cba9e89b49882a98e739f8466a18764ad936eb9a078a3946b9e8f6697886195856196866499886a9a896d9a896d5b5f4654563e45472f57553e514c3649432d5a523d3a321d66543c95836bad9b83ae9c84b2a088b19f87b6a48cccbaa2c5b39bbeac94b8a68eb9a78fbeac94c2b098c1af97bfad95737b6c52584a494b3e46463a332f242f261d3c2f273d2e274e38213f2b136a58409a8a719b8d73a4987eaea489948d717a7b693534224847355b5545817a6a6c6354776b5d4a3e303b3616787252615839a4997bccbea1e2d3b6c3b296b9a58ab3a286bcab8fc7b69acebda1cebda1ccbb9fcbba9ecab99dd2bda2cab59ad0bba0d3bea3ad987d76614666513678634890745cac9078c2a68ec4a890c0a48cbfa38bb89c84ad9179bda380bda382bfa486c3a88dc7ab95c5a896bea191b79a8cb5b09a3e39233e3923524d378d88725d584245402a2a250f584a255b4d2857492465573282744f897b56948661b3a580b1a082aa9b7c9f94769d93789e987e949279767860595d444b493a4c4a3b42412f3b3a264d4c3763634b5353393131154b512d454b273f451f434a204d5428565e2f58602f565e2d675934bbad8aaa9f7f90896cc9c5aa6b6a553638233a3d2a343f21252f172930204d50453e3e343836295d5a4748462d32380a62653a52532b65623f6b6648625b41766b55493e2a38471c425126556237626d4361683f5d623a6b6d467d7f5858572bbcba91b2b08acfccadb1ac96847e6ecdc7bbb3aca28293814e57462820135c493b8e7465b89f8b65533b63553a5f5124857449cdba92a38c6a7e6346a0826a92725d8c69569178628c735daa947ca48f74907b607d6a4c624f2f7562428b785a6d5c3e7263468f816781755d50452f443c27605a444a43265d5639665f42544d30362f122e270a474023645d407c754b42391040340c695a33836f4a9a825e977d5a6f5230775e3f462d0e755c3ea58b70d5bba42308008a6f5c907562a9856baf8a70a57f6883604a5e452f50442c5a5a406770537a826aa5a79197907db6a696a49383574737524a374d46333b3525736c5c8d8473aba08eafa28fa0917a9888716f5d454a3e284b452f4e523b26321a0008001f221147413535271e221d0a3d38254846313f3d263f3f254b4e2f474c2c353b19445646404e3d3942316a6b59b9b19ec1b09cab9580bda28dc2b291b7a786b1a180b6a685bcac8bbdad8cbdad8cbeae8dceb094cdaf93cbad91c8aa8ec7a98dc6a88cc6a88cc7a98d937a5c866e52624e3552422b483d29625b4b1d190d514f423135264044354e5140696856817e6b7e796586806aa9a38b9e8f7890816c5c4f3c5b503e6d6453716a5a635d4f2421121c25123b42301116023e412c393b257e7e66504e35555438746c47514b2754513255553b1114001a1e0f525a4d2a342932301b3b38252b28172f2c1d4a463a383429231f163a352f272b1436382256513d372f1c413a27090b002028103d4b313b44312f38252d34223c402f4a4b3b4d4b3c4b48394b483936361c56543b726e55928a734b402a4e3f2a5544307665516357416e624c7a6b567867536e5c486e5946806957957b6a896c648f73688b7162917b669b876e8271536858366a5c3784756086776272634e8576618c7d68867762a0917ca0917c9687728e7f6a78695460513c5b4c376c5d4880715c897a658c7f6c8e816e8b7c6785755e8a7860958166937e618a72564b512f848162ac9c82a78d769f7f6aa286709581687b6b518c6e52967b60977e6877605074605564534c897b78594b4b68604b968976a28b79ac8f7da687739b7f67a78f738f7c5e9d8d6c918160917e5e9e896aa48d6e9d82659e8064a6886c8d7656856e4e7f684981694d8c745c99806aa28975a68d79ad987baf9a7db39e81b6a184b49f82af9a7dad987baf9a7dad9c7ec0af91c2b193af9e80a69577af9e80b4a385ae9d7fb09b7eb29d80ab9679a08b6ea18c6faa9578a59073978265a28d70a79275a48f72a18c6fac977ac0ab8ec4af92bba689a38e73a38e73897459806b50a59075c1ac91bba68bb49f84b2977cb69b80b99e83b79c81b0957aac9176ab9075ad9277a8927a7f6b538f7b6381705c8374618477665549395f5343867e71a79f92655d506e665981796c3f372a30281b362e213c3c304040344543363e3c2d3b382749473264624d78735d735c4c5d4636846d5d836c5c7f68587e67575d46367b645477624f8b76617a644d745f44978061957d5b8068448d734e7e5b4883604d8f6c589976609472578765498564458b6a4b9a7a6197775e91735b9075609c836fa58e7c9c87768b7665888366a5977da18872a17e6bb48f7d9e7d6a7d644e84725a7f6d63ae9c927062592a2118423e35404038272a234348422e2f29292a2421221c191a141617111a1b1523241e292a243a3c2e3537293d3f31494b3d3c3e301f2113181a0c26281a -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -b69c7b9e8463987e5dad9372bca281b79d7cb79d7cc1a786b69d74aa9168b19870c2a883b89e7b9b8062997e60af9477b2997ab79e7fbfa486c7aa8cccaa8ecba88cc8a388c5a085bb9878c29f7fc8a585c7a484c3a080c3a080c9a686cfac8cc19e7ec3a080c3a080bc9979b59272b69373bf9c7cc9a686bd9f7dbb9d7bbb9d7bbfa17fc5a785c8aa88c8aa88c7a987be9a7ac8a484d2ae8ed4b090ceaa8ac7a383c39f7fc39f7fc6a78ac0a184bc9d80c0a184c8a98cc8a98cbc9d80b19275c7a17dcda783d3ad89d3ad89d0aa86cea884d1ab87d6b08cd8b28bd6b089d2ac85cfa982cda780cda780cea881cfa982c4a07ec39f7dc19d7bbf9b79c09c7ac19d7bc4a07ec5a17fab8e6cb49775c3a583d3b090dab696dab394d6ad8fd1a88ac1a081c3a283c2a182bf9e7fc4a384caa98ac3a283b79677c09b6ec39e71cba479d5ab83ddb38de1b493e1b392dfb092ceb395cbb092c8ad8fc6ab8dc8ad8fcbb092d0b597d4b99bcdb294d8bd9fdcc1a3d4b99bcfb496d3b89ad7bc9ed6bb9dc3ae93d1bca1d7c2a7d0bba0ceb99ed6c1a6d7c2a7cfba9fd7bc9ed7bc9ed7bc9ed6bb9dd6bb9dd5ba9cd5ba9cd4b99bdabfa1d9bea0d6bb9dd2b799d0b597d2b799d7bc9edbc0a2c4a98bc6ab8dd0b597d8bd9fd3b89ac7ac8ec6ab8dd0b597c8ad8fccb193d2b799d6bb9dd6bb9dd3b89aceb395cbb092c2ab89d0b997d5be9ec7b091baa288bea68ecbb29cd3baa4b8a587b5a284b5a284bba88ac3b092c3b092bba88ab29f81b29f81ae9b7da99678a79476a69375a69375a49173a28f71a59274a08d6f9d8a6ca08d6fa69375aa9779a89577a49173aa9675b9a685c4b190c2b290c1b390c0b28fafa27f9b8e6b887e5b7d75516965405957314e50294c532a4e592f525d3366693e787a527678515e5f3d52533457573d55553d484732404d3338452b364329424f355562485e6b515663494a573d3a4b294253314a5b394d5e3c495a384455334253314253312f341d464b34565b444f543d42473041462f4a4f3850553e433d1b4a442259533166603e605a384e4826433d1b433d1b45422f413e2b3835222b281523200d2926133a37244a4734393d2f3b3c2e43402f413924483a1f7360409079578268453a3a2242422a3d3d2535351d48483062624a58584037371f2827152522115e58483a33233c33246357494133266b5d504841255f583c6964475a5639464527414425494c2d4e53334c5236454b2f404429393c213030162b2b113b39204f4d34575d37525832494f294f552f6e744e858b656e744e464c265d6031787b4e747a4e4e552c38421d424d2b3f4d2c2b381a3e432d3a3f29595c47676652666350928d7a8f88763e3725303811474f2a3a401e4e4e323b3922645f4c5850435a5245483e34645b4a5a5337635d39a39d79b1aa8e756c5b473d334f47323f37225a523b6e674d746d51807a5a787250403a18414c2e333c1f3f422749452c3b301a4534206b5643826b599a7d5f8b735761553b32301b191b0e1c1e19232325221f26393c2b3a3d2c2f3221333625424534343726202312272a19464e294c502f4945283b331c362f1c3b3a28363d2d2b36254a4a264a46295c574319110670665d52483e473b2b2f24120f12011b1e0d2629183033223b3e2d4043323538272427163f3d246561487a745c766e5781766091856f7f705b5748334e3e2e5d4d3d7262526555457767578373635d4d3d61514173765b6f6f5567674d65614868624a756d56867b659287718d7e6988796484756084756088796488796482735e7c6d588272598272598171588070578070577d6d5471614865553c3a40242c32164f533855553b57553c7470576e68506b654d5857422f2e1942412c53523d5f5e493e3d281e1d08787762676d49666a47666745524f2e3f3919564b2d67593c5546294d482b4841275c513b8677648976677f675b8d70685336304642258783666a66496965485551344f4b2e343013403c1f787b5e87876b918d728e886e8d836a94856e98867096846e3f2d23796b60817b6b4a4c373a3e276d6d558d8970827b619d8f74b2a489afa1869284698a7c61a19378afa186a89a7f94896b7e7357766d50756e545a543c37351e47453073725d2630184d553e565a436a6a526f6b52776d529e90769f9176836b4f8f775b846c508e765a7d6549654d318971559c8468755d419b8367a99175a78f73ae967aa58d718f775b8971557a6246a58d71977f65755c467b624e775d4c61473863493a252614262715252817262a1b2f3527333e30283227152117282b183d402d4c4f3c5659465154413336232e311e5053403539222e321b2b2e1b30322437392e393a323738333535332c3f234c543c5b553f87725f987d6a8971598b775e726346676a4f4141272e2c135c583f8a846c4f47308a7f698f836d90785eb29c848e7d637b6c552c240d58533d201f0a4547315a69485a604455503aa392829c8478866b625b423b877068494b3e40423535372a2f31242e30232c2e2127291c2224173f422f373a273e412e565946656855565946373a272023102c2e212d2f222f31243133263234273335283335283335283e40331113062224173f41344a4c3f383a2d1a1c0f36382b3f4e313845292e381d2b311730321a413f28534e38605a44 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -7062457b6d507b6d5276684e75664f75664f7f705b8f806b8d81677d71577e70558e7f62918064867355836e4f8f78597d6c508473578d7c6093826695846896856998876b9b8a6e8879588b7c5b91826398896c9f8f75a6967dab9b84ad9c88c1b6a0aea78d9a9578969374696947838560646941878c6460734656673b45562a5764394e592f4d552c777e55737a5150512f5f603e898a687a7b59898a687b7c5a8182605c5d3b686e4a6167416d744a71784c51582f7e846252563f3134232a28195856478381727371624d4b3c5553446967585f5d4e5b51454e4438483f304f46355a523f6159446c644d777056968a70daceb4e3d5baa89a7d897c5c897a598375527c6e497d755159512d5b532f837b57938b67827a56776f4b79714d79714d716945564e2a79714d756d499a926e8c8460968e6a706746918867625938847b5a887f5e6c6342a19877847b5a85815e8e8866a9a07fcdc2a2bdb090a99a7bd5c4a6bdaa8cbdb48bc5bc95c0b692ada380a99e7eb8ad8fc6bb9fc9bda3ccc1a5d1c6aabcb195c1b69ab8ad91aa9f83cbc0a4d5caaebeb999d7d1b1d2c7a9ac9d80836f546e543b8e725ad0b29ad0bfa3d7c6aadccbafd7c6aacab99dbfae92bcab8fbcab8fc2b193c5b496ccbb9dd4c3a5dac9abdac9abd3c2a4cdbc9ebfae94c0af95c1b096c3b298c5b49ac6b59bc7b69cc8b79dd0bd9dbaa787b49f80c6af90cab193bd9f83bc9d81c9aa8ecfb497d2b89dc9b195b39f86a7957dae9f88bbaf99c3b8a2b3bba3a3ab93898f75646a5041472d383c2154583d787c61ac9e84c5b79dc6b89ec2b49ad2c4aad7c9afcfc1a7d2c4aae0d2b8e0d2b8ded0b6ddcfb5dbcdb3d9cbb1d8cab0d7c9afe1d2bbe0d1badecfb8ddceb7ddceb7decfb8dfd0b9e0d1bad7c9afd9cbb1daccb2d8cab0d1c3a9c7b99fbbad93b4a68cbdaf95c3b59bcbbda3d0c2a8cfc1a7cabca2c3b59bbfb197cab8a0cebca4d1bfa7d0bea6cab8a0c4b29ac2b098c1af97d0bea6d0bea6cfbda5cdbba3c9b79fc5b39bc1af97bfad95c8b398cdb89dd5c0a5d9c4a9d7c2a7cfba9fc5b095bea98ec7b39ac7b39ac7b39ac7b39ac7b39ac6b299c3af96c0ac93d0bca3cbb79ec4b097bca88fb8a48bb6a289b7a38ab8a48bc9b8a6d0bfadccbba9bcab99b3a290bbaa98c8b7a5cebdabcbba9ec6b599baa98dae9d81af9e82b6a589b4a387aa997dbda98ec3af94cbb79cd1bda2d1bda2cbb79cc4b095beaa8fc1ac91cbb69bd3bea3d0bba0c6b196c2ad92c9b499d2bda2d7bba5c6aa94b49882b1957fbb9f89c4a892c5a993c2a690bca586b39c7db59c7ec3a88bceaf93cdab90cba78dceaa90c0a685bea483bea483c2a887cab08fcfb594cbb190c3a988d2ba98c0a587c4a98bc7ac8fae9076b69880d5b79fc6a7929a76569a7759b69778917a5b655436645b3c514c2e464526625e45413b212a23075e50357f6e52957d61cab193d6bb9ecdaf8bd0b28ec8aa86ba9c78ba9c78caac88d5b793d5b793d5bda3d4bca2d8c0a6ddc5abd9c1a7d0b89ed1b99fd8c0a6d6c8add4c6abd0c2a7c4b598c5b699decdafd4c3a5a392747e6a5f6956485f4c3b84725ca6957bb1a0829484627c6c48615b455d57415a543e5a543e5c56405b553f56503a524c365b56405e58424a422b4841276b644a776f5859533d423d27414f36424f35545d40555b3946462259542c7d7449897e516565415f5f3d433f22514b33544b3a3e3025392a23200e0a2523162321142624182e2b2236332e332f2c25212017131225211e24201d1d19161713101e1a1728242126221f1c181526281d23251a2022171d1f141b1d12191b1016180d14160b1b1c1717181313140f10110c11120d1617121c1d1821221d23241c26271f28292123241c1b1c1414150d13140c15160e11170d13190f191f1522281e292f25282e241f251b171d130208000a1006151b11191f15181e14161c12151b11171d131c22141d2315242a1c2d3325343a2c353b2d353b2d363c2e2b3625222d1c242f1e313c2b333e2d293423273221303b2a33402633402634412735422837442a39462c3b482e3c492f323e2637432b3e4b314451354754364956384d5b3a4f5d3c56604547523039441c3f461c555a316e6e4a78745777715b67653f5e5c3650502c484927454926474e2c4c53324d57353942253a43263b4427374023323b1e30391c323b1e353e213840283840283a422a3c442c404830464e364b533b4f573f565a4151553e5659445d604d4c4f3e2e302225271a313328303628353b2d3c42344147393f453733392b22281a161c0e141a0c1d2315292f2132382a353b2d353b2d343a2c343a2c444c343b432b323a22303820343c24373f27343c243038202d35262830211f2517161a0c1313071b190d2a261b3632272b230e3427163d2a1b462f214f392c4f3d2f3b322125200d221f102b2517342c1f392f233a2e22392b203d2c22402f25312517332a1b332b1e2e281c252116201d1421211925241f26271f32332b393a3236372f32332b35362e38393138393128292423241f1a1b1611120d0a0b060b0c0711120d171813261f152922182c251b2b241a282117251e14261f1527201621180f231a1120190f1e1a0f2624182e30232b2f212024161e201327291c3133263234272b2d202325181e20131d1f12 -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 -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 -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 -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 -8d8169786c5464584062563e6a5e466e624a6c6048695d4587786583745f8a7b6496886d94876786795680744e867a527d6e579a8b74a7997f96886d897c5c928563988b689386638c825f9086639689679485648d7d5c867353816c4d80694a826d4e958061998465877253806b4c8b7657927d5e8d7859706b4b7873537a75556d68485b56365752326661417873537f8561898f6b7c805d90916f8e8e6c7979578a876683805f7582567a875b6f7a505058316c704f898a6b818064abaa8ea1a48793967b84866e7f816b80826d7f806e7576666b6c5c777c657d816a7e806a83816c9c9582c1b6a4d9c9b9dcccbcc6c1abd1ccb6b9b59cc4c0a5d0ccafbab796bab794bdba97919177b4b49aa9a98dbcbd9e878866959772b2b48db1b38c8c9266a9ac819da0758d8b62939067a8a37baea67fbab28ba7a678818052a09f719f9e707c7b4db5b4866f6e40787749898b5c9695678f8c5f949063686135ada479b3a77d8e82588c8956716e3b969262767243a7a3768883596f6a42a29d77cab894c5b38fb7a581ac9a76b4a27ec6b490cab894c1af8bbeb999d8d3b3cec9abc8c2a8e0dac2d4ceb8c1baa7d6cfbcd4c5aec9baa3d6c7b0e4d5bedacbb4d1c2abcfc0a9c5b69fc8b79bc1b094c5b498d3c2a6d6c5a9cbba9ec5b498c9b89cc0af93c0af93c2b195c5b498cbba9ed2c1a5d8c7abdccbafd2c1a5d1c0a4cdbca0c5b498bbaa8eb6a589b7a68ab9a88cb9a485c6b192d4bfa0dbc6a7d7c2a3cfba9bc9b495c7b293b4a181b9a686c4af90d3bc9ddfc6a8e7c9ade7c8ace4c5a9cebea5dacbb4e7dbc5e7dfccddd7c7d1cfc2cccec1ced0c5d9d7c2dbd6c2dcd6beddd3b8dbcdb0d7c7a6d4c09fd1bd9accb998c7b493c5b291ccb998d9c6a5e3d0afe6d3b2e5d2b1d7c6aaddccb0e1d0b4e0cfb3dac9add7c6aad9c8acddccb0c7b8a5dbccb9e8d9c6e0d1bed1c2afc9baa7c3b4a1beaf9cb4a58ea1927b9a8b74a4957ea79881a4957eafa089c4b59ed1bfa9d2c0aad1bfa9cbb9a3c4b29cc4b29ccbb9a3d2c0aabead8fd3c2a4cdbc9ebcab8dbdac8ebead8fbfae90cab99bc6b798beaf90b9a988bba887c0ac87c5ad87c1aa81bca37bcbb794cab693cab391c8b08ec9ac8cc8a98ac8a788c7a687c1aa80bda47bba9e76bb9e76c0a079c2a07abe9b75ba946fc1a47cc4a77fc6a981c1a47cbb9e76b99c74bda078c2a57dcaaa95cdad98cfaf9accac97c7a792c3a38ec2a28dc3a38ec8ac96c3a791c0a48ec4a892cdb19bd1b59fcfb39dcbaf99c0b096b29a80cca892ddb09bca9c85d4ac93e0c1a4c3ac8cd3bd94ceb78ec5ac84bda17ad3b58f92724cb79570ccaa85ae9b71bea27bbd9572c08d6ed0997bcd9879c09372c9a17ec89b71daaf84bd966db7946ebf9e7bbd9f7da78c6e644b2d625e533e372d383025493f33473b2d4134244232223929194f47224a401d6556358b785a866e566d513c836450b89786bda486c9b092ceb597cab193ccb395d1b89ac9b092baa183a493799a896f91806691806698876d9c8b7199886e948369928a738179626c644d635b446d654e837b649a927ba79f88887058b09880c5ad95b49c84a89078b9a189d0b8a0d7bfa7d5c1a6ddc9aed0bca1b7a388bca88dd8c4a9e1cdb2d2bea3d2bea3dac6abd1bda4ab96817a6552634e3d715b4d8973657b664b978267a68e749f856ca58971b2927b9b7862714e386e4f3b7a5e497d624d8c765fa7957da1937984785e7b715674724c6b6943726c48918764ad9e7db2a281aa9576a38c6d9d7a5aaf8e6fb0957899836b7e6d5b6a5e505850454942383f493e4850454a4f48373934141412010002131114332e340a1b1506150e0411080a1409171d0f20231220210f1d1c08191a1517181314151012130e12130e13140f16171218191411170d262c22383e34343a3020261c12180e171d1323291f242b19262d1b2a311f2e3523323927353c2a383f2d39402e323a253f4732373f2a202813252d183e46313e4631272f1a29311c353d283c442f353d282e36212d35202c341f272f1a29311c272f1a2a321d3139243139242e3621333b263f47323e462e4f573f5d664b5962454852303c46233e482346502b4c572f48532b3d4820333e16354018434e264e5931515c344e58333f492439431e414b26424c273b45203b4520424c273d46293d462b363f242c341c2b331c2e361f29311c1e261112180e1e241a2c322833392f30362c2a3026262c22252b212f312337392b3e40323d3f3137392b383a2c4143354b4d3f454a443b4039363c303e44364a523d49513a374025252e114754364754363d4a2c323f21374426445133424f313643252d3b21313f25344127323c232e361e34382141432d4d4f393a3e303f43353e40332f2d211a160b160f05241b12352c233a30272c221922180f281e15392f2641372e392f262e241b241d132720162c251b30291f322b21322b21312a2030291f30271e31281f342b223930273a3128352c232b2219221910191a1223241c292a2222231b14150d0d0e0613140c1c1d150c0d080d0e0910110c13140f1617121819141a1b161b1c171a1b161e1f1a21221d23241f23241f2728232d2e2932332e2a281c2c2a1e29271b2321152523172b291d28261a1e1c10160e0321190e2e251c3229222d241f251b1a1f15141e1415 -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 -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 -a09076af9f85baaa90b6a68ca7977d9282687a6a5068583e7d6f5483755a8b7d629183669082658f82629487679b8e6e928e73928e738d896c898667908d6a94926c84825b6d6b446d6340786e4b887b599182619181608c7959867152856e4f836e4f7964457e694a8f7a5b917c5d867152867152947f608176587f74567e73557f745683785a8b8062928769978c6e7b7857817e5d7272508888668b8c6a797d5a868c687d835f5e6b3f4d5a2e7b865c7d855e6e72519192739a997d939276928e73a8a48bbfbaa4c5c0acbbb6a3b1ab9bb3ad9fb9b3a5c1bfaaa7a590a09b87b0ab97b9b4a0b3ac99b7b09dc6bfacbcb7a1a19c86cbc7aeb1ad92bfbb9ed0cdac908d6ab9b6939e9f80b8b9999697759d9f7a82845daeb088b8bb908b8e619ea4787c7f54a1a479838158a9a67d7b764eb2aa83b4ac859594665e5d2fafae80a1a0728c8b5d878658a3a2749493659597688c8b5d8f8c5fa7a376aca579afa67ba4986e998d637774419f9c69a39f6f9e9a6b7b774a7e794fc6c199a09b759a8e689d916b968a64897d578a7e58998d679f936d9b8f698c79599c8969ae9b7dc6b297ddc9b0decab2d0bba6c8b3a0d1c3a8eadcc1d5c7acd1c3a8f0e2c7d0c2a7a4967bbaac91c1b094cab99dd9c8ace2d1b5dfceb2d1c0a4c4b397bead91d3c2a6d3c2a6d2c1a5d0bfa3d0bfa3cfbea2cfbea2cfbea2c4b397c7b69ac9b89cc8b79bc8b79bcbba9ed2c1a5d7c6aacfba9bcdb899cab596c6b192c5b091c6b192c9b495ccb798e4d1b1e6d3b3e7d2b3e2cbacd7bea0cbad91c1a286bb9c80aa896abb9c7dd6b798e9caabedd0b2e8cbade0c2a6dcbea2d6d0c0d9d2c2dad3c1dbd4c2dbd3c0d8d0bdd4ccb9d2cab7d6c9a9dbceaeddd0b0d8cbabd0c3a3ccbf9fd0c3a3d5c8a8cbba9ecdbca0d1c0a4d3c2a6d4c3a7d4c3a7d5c4a8d6c5a9bdae97cfc0a9d8c9b2cbbca5beaf98c0b19acebfa8d8c9b2ccbea3d6c8add1c3a8bdaf94b5a78cbaac91b4a68ba4967ba291779a896f8f7e6488775d8c7b619a896fac9b81b9a88eab988783705fa69382c9b6a5a59281a08d7cbfac9bbba897d1bfb1d4c2b4d4c1b2cdb8a7bfa994b79c87b49a83b79b85bca482bca281bea181be9f80bf9e7fbe9b7dbf9a7dc0997cd1a381cfa17fd09f7ed19f7ed39e7ed49b7dd39a7cd3987aca9575ca9575c99474c79272c69171c69171c89373c99474c38f6ac7936ecb9772cc9873ca9671c8946fca9671cc9873c5956fc89872c99973c5956fbf8f69c1916bca9a74d3a37dd6aa85c59976b58966aa7d5ca77c5cb38a6cbb9777b69373bc9576c59c7e9d74569a6d50b48569ae7c61bd8a6fb27f64ae8265b4876aa5765a9f6d52af7c61b07e63ae7c61bb8c70b9886ab28163996a4eb38468be8f73af8167c4967cc3957bb09e767c6c48574d2a46412436361e343c27343f2f2432233c3f2e4a49375a543e6a5a41836a4cad8a6adfb491ffd1add0b799d2b99bd4bb9dd8bfa1dcc3a5d9c0a2ccb395bfa688dcc1a6ddc2a7d7bca1c7ac91b79c81b79c81c7ac91d9bea3b9a487bea98cbca78aa99477937e618b7659978265a79275a98e73bca186d3b89ddec3a8d7bca1cbb095c5aa8fc6ab90ceb69ccbb399ceb69cd6bea4d5bda3d0b89ed7bfa5e4ccb2ead3b4e1caabd6bea2d0b89ed0b8a0d1b8a2d0b7a3cdb3a2d6c7aac0af93ad997e9f8a6f8d755b7d634a846850977b63c19878caa181c39a7ac69d7dd0a988b68f6e886140724e2c9b8667907b5c887354857051836c4d80694a887152957c5eb59679a38467896b4f785e457c664e8e79649c8a76a2917f886b5d775d50624c3e4b3d30332b1e23211425291b313729262a2b2526281d1e201113120808080707070e0e0c15151311120d11120d11120d11120d11120d12130e13140f13140f171d131b21171f251b1f251b1b2117171d13161c12171d132128162d3422363d2b323927252c1a1f2614262d1b3138262e3525252c1c1f26161e25151d24141a21111c2313212818242b1b282f1f242b1b1b2212192010202717232a1a2128182a3121272e1e2b32223037272d3424252c1c272e1e2f362628320f2e38133a451d4651274d582d4b5729434f1f3c4816444e2c3a44223c462447512f424c2a333d1b3c4624555f3d434c313b4429333c2130391e2f381d2d361b2b34192a3318353d282b331e202813232b14373f27444d32363f241e270a19210c2129142d3520373f2a3c442f3d45303b432e39412c4a4d384c4f3a4a4d384447323c3f2a383b26393c273c3f2a4c543f4a523d464e394149323f4730424a324850384d553d4552344a57394c593b4552343d4a2c3d4a2c424f3146533536442a344228334026353f26363e263a3e273b3d273b3d273d4133292d1f1416091210041b170c251e14231a111c130a2a20172d231a30261d30261d2f251c2f251c30261d32281f2821172922182b241a2e271d322b21362f253932283b342a332f24302c212c281d2b271c2b271c29251a231f141e1a0f31322a24251d14150d0c0d050e0f0715160e1a1b131c1d1517181315161112130e11120d12130e1617121a1b161d1e19171813191a151b1c17191a151617121718131b1c171f201b25231728261a2523171f1d1122201428261a2523171c1a0e2a261a2c281c2d291e2c281f28231d221d1a1e19161b1515 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -98826d7b655078624d8b756099836ea48e79957f6a6f59448575548c7c5b8e7e5d9a8a69a797769d8d6c968665a595748d79549e8a659985608e7a558e7a5584704b816d48937f5a968162887354857051927d5e998465917c5d877253846f508f7b589b87649a86638e7a57917d5aa18d6aa08c69907c59837e5489845a88835b817c56817b578a84648f886b8d86699b8c6b938463887b598076537c76527a765177754f74724c72744c7d7f577577507a7c574b4c2c5f60415d5d41626246676f48737b547b835c788059767e5779815a79815a737b547a865e747f577c825c7a7a5696906ea29777bdac8ec2af91aaa786b5b29194916ea09e789c9a7389875e9b9a6e878658777a4b8e91626b6e3f76794a878a5b7477485e61328d90618a93687a8356656d3e5f62339193629292605d5b287977447074376d6e327b7b3f94925799945a8781477f763d867d44807547867b4d73683a7d7244958a5ca59a6caba0728b80528486548686547e7c4b716d3d736d3d837b4c94895b9a8f61a19766b7ad7c9c9261928857a99f6e847a49d3c998b7ad7caca173a09568b5aa7da0946c9b8f69c1b491baad8baa9d7bc2b593d7caa8bcaf8da79a78908361948765a89b799f9270c9b89cd3c2a6ddccb0dfceb2d8c7abd1c0a4cfbea2d1c0a4ccc1a3d9ceb0c6b89bd0c1a4baa98de0ccb1ddc8ade8d0b6d2ba96c6ae8abba37fbca480c6ae8aceb692ccb490c6ae8acbb38fd1b995d2ba96ceb692d0b894d6be9ad3bb97cab28ed4bfa0c8b394b8a384b8a384c8b394cbb697aa9576826d4ea49b7ebdb497cfc4a8beb09598876d847057907a62a79179786b49b2a583cabb9aa797768f7c5c9e896aa68f70977e60c0a88ca28b6c8f745693765698775496744ea47e57b89369b78e6ebf9879c5a284c4a789bfa889bcab8dc2b497c8bd9fcebda1d6c5a9d8c7abd1c0a4cebda1cfbea2cbba9ec4b397c7b69ac5b498c4b397c7b69acab99dc9b89cc2b195bbaa8ecdbe9dcdbe9dcebe9dd0bd9dcfba9bcfb698ccb395cbb093d2b08dcdab88c9a784cba986d0ae8bd3b18ed2b08dd0ae8bcea287d5a98ed5a98ecca085c89c81cea287d2a68bd0a489d3b497d9b899d3b291c6a280c29c78c9a17dcda37dc89e78daa887daa887d3a180c99776ca9877d5a382d9a786d5a382e0a88de3ad91e3b294deb393d4b08ecead8acaae89c7af89c09972c19b76c9a784b79a7c8f775d7e69547463515c4c3c77674d846f5493735a9a72589f7658b58c6cd6b28ef2d2abc4a8937c61468d7553826c45614d2a69583c5845344b392d4a3e305f52427968568e7861a2876cb39273b4906eaf8965e7c19ddeb894d8b28ed8b28ed4ae8acea884d0aa86d8b28ec89f7fcda486d3ac8fcfab91bb9b82a58671997d68987d6a2f1b00513c21462a125f3b25aa7d6aa3705f844a3caa6c5fc6977deec0a6edc1a6b68d71977255b29172ceaf90cfb292ce9f8bd8ab96dbb29ecca794ab8c78856c586954415c4938454f3642482e48482e615b4188785fa69078b2967eb4947d977e6094795c9375599475599674599c785eae896fc29a81a47f64a27d62967355a17e60c09d7fc5a181c39a7cd0a789d0a07ab38866b28d72b0907b9b7a699f7c699e75617d4f38aa7853ad7d57a57753976b488f64448c6345845d4076513495725694725783684d6a523856452b594d336a634978725a96866d7361496d5c42958166b29d82b59d81c2a98bdcc3a5d5c3ade0ceb8e2d0bad9c7b1d3c1abd6c4aed3c1abccbaa48f7f689e8f7873674f5b51385c563c66654967674b31311543482a424528585b3e59583c433f245650366d664c5b543a7163498c785fb89a82c8a389c0997cc9a283d5b390ceb08adcc1a4d4ba9fb29d82bdac92c1b59bafa98fb3b1989f9f87b3a8969a8e7e9b8f81827668756a58766d50635b34726b3d79755a716d528c876a97907480755983755a8f7f657d6e5190806688785e7f6f55827258af9f859383699b8b7168583e746f51746f51757052726d4f6d684a6a65476d684a726d4f98906989815a79714a6f67406a623b6860396d653e746c458677566d603e544b2c322f10141700232c11414e34455339363f2430391e2d361b323b1e3e472a4952354d56374d56374f573f4951393a422a262e161921091e260e313921434b33434f1f414d1f3e491e3f4a22414b26444e2c454e2f454e3138431b3b461e414b2647512f4750313e472a2f381d242d122e34262f3527292f211f25171f2517292f213036282e34262b3123313729363c2e343a2c3036283137293b4133454b3d4e5239484c3343472e464a314d51384e5239464a313e42293d362c3c352b362d242f251c31221b3c2b2448352f4d3a34413026433228433228402f253b2a2037261c38271d39281e32281f32281f32281f32281f30261d2d231a2b2118291f1628210e2d2210382516422b1d452e203f2c1d3328162a231021200b302f1a45442f55543f5756414d4c373f3e293635204e523b4d513a5a5c4652513c7c7a65605b475f58456058455f5f555f5f554a4b3d3536264547326466505a5d4234371c31332625271a17190e12130d1414121616161211160c0b100b0c0412130b1c1d152021192021191e1f171d1e161e1f1716180a3032243c3e312d2f241f201820211c1e1f1a131311 -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 -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 -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 -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 -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 -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 -a19074a49377a7967aa59478a19074a19074a7967aad9c80af9e82a392769b8a6e9e8d71a29175a29175a59478aa997d9e8c7499876f9b8971aa9880bcaa92beac94ae9c849c8a72947f60a79273a89374a48f70a08b6c8671527964458f7a5b786f447b72477a73477470436d6c3e6e704176794a7f82537372447776487a794b7675477170427271437a794b82815376704c79734f7f7955847e5a88825e8a84608b85618b85617e78588781618882627d7757746e4e746e4e767050756f4f837d5979734f7b75518b85617c7652817b57837d59837d59837d5d767050837d5d726c4c716b4b817b5b7a74548e88688380617774558e8b6a908e688e8c6585835a9190647e7d518c905d696d3a717542787c49808451575b286c703d8c905d555e29565f2a6e7742616a355c653067703b57602b6069345c5e2d5352225a58286e6a3b6d66385a52245e532672673a8c8656807a4a847e4e7f7949686232686232726c3c6b653584884d73743a85844b908e5598935b979059877e47958c5579793b7c7a3f8c874f928b55928855a49868b6a87bb2a175b8ab8b9d906e8275529b8f69a89c749c90669d9265887d506a6639858154aeaa7da7a3769c986b9894677975486f6b3ea0976c8b8257897d53a4976dbdae85c2b088bdaa82bfa982bfa37ec1a580987e59b39b75b39d76cab78fad9b73c1af87a2936c9b8c65a3936fbbab89c5b594bdac90b9a88ebfae94b3a286ad9c80ae9d81ab9a7ea8977bbfae92d4c3a7cfbea2d7caa8ada07e837654b1a482d0c3a1b4a785a39674877a58998b6ea19376aea083b9ab8ebeb093beb093b9ab8eb5a78ac6bca1988e73847a5f9e9479b5ab90b4aa8fbbb196cec4a9b9aa8dd4c5a8cab99db19d82bda58bceb49bcfb39bcfb39bccae96dec0a8d4b69eccae96dfc1a9e4c6aed0b29ac5a78fc9a487bb9679c39e81cca78ac7a285cda88bcfaa8dbe997cb28d70c7a285c9a487c6a184cda88bc5a083c19c7fd3ae91c5a993c1a58fbd9f87ba9b7fbd9b7fc5a083cca888d3ac8dd3b18ed7b391dcb594deb595ddb292d7aa8bd1a284cc9d7fc59473c69574ca9978d1a07fd7a685d9a887d6a584d2a180c7987ece9f85d5a78dd8ac91d3aa8ecea78ac9a487c8a386c39c7dcba485cda687cba485cba485cfa889cda687c69f80d0a384cb9e7fc19475b58869af8263b78a6bc99c7dd9ac8db89f80ceb395dbc0a3dbbda3dfbfa8e9c6b2e1beabcfaa9ad8bda0dabfa2dcbea2dab89dd6b298d8b399e1b9a0e8c0a7d0b089ddbd97d8ba98b99c7e8f735b7257426147365841316252426454445949395444345c4c3c5f4f3f6a5a4a867666836646937656ab8e6ebfa282c2a585af92729174547a5d3d8e7654b49a79d4b596d0ad8fba9376b3856bbd8e74ca987fc89f7fc59c7cc49b7bc39a7ac09777c39a7ad5ac8ce9c0a0b08c72c7a389d6b298c6a288aa866ca68268c5a187e8c4aaaf8a6dcaa588cda88bb08b6e835e415b36196c472aa68164c6a5829977547753317b5433865d3d8e6343a47758c19274b58a6aa97e5ea77e5eb7906fc09e7bc5a481d5b793eacca8d9b899e3c2a3e7c6a7e0bfa0ddbc9de1c0a1e1c0a1ddbc9dd0b799cdb496ccb395d0b799d6bd9fdbc2a4dbc2a4dac1a3e0c9aad9c0a2d0b598cfb195d2b397d2b095c8a48abd997fba9374b38c6dae8565b18664b78b68bc8e6aba8a64b7865ead8763a98561b89472caa988c0a1849d7f6383684d80654aa08266bb9d81d2b498d2b498c9ab8fc9ab8fcdaf93cfb195bea183d6b89cccb196d7bda4d9c1a9d4c0a8e9d4bfd9c7b1b0a288c3b79dd3c7afc5baa49d978176715d635e4b5c59467c7761948e76b1a58fbdab93bba18ab79780be9881c79e88bea084c3a589cfb195d9bb9fd4b69ac5a78bbfa185c4a68aa17558cba286a67f629c785eba9c82b69c83897159a28c747f654a80664b71593f715b4487725d7c6a565a49374c3b2965573d897b6193856b87795fa09278cec0a6c7b99f988a706f634b6b5f477d7157968b6f8a7f616c6141736946958b67665f42635c3f736d4d837d5987825c958d6690895f70693fa79473a999778d7e5d6a6140635e405758393f42253136182830182b331b2c341c283018232b13232b132a321a323a22374021343d20323b1e323b20343c25363e2939412c3c43313c442f373f28323b20333c1d3b4522444f254a552a4d592b414c214752284651293e48233a4421404a28444d2e444d2e434b33363e26363e26313921283018384028454d35363e26323b1e3841243f482b414a2d3d4629374023333c1f313a1d31341f373a27383b2a3234262e30232a2c211c1d150c0d05101403232112362a1e3d2920442922482d26442d253c281f211d1228211731281f352b222e21192a1b1436251e4837303b2a203c2b2139281e34231937261c3f2e243e2d2338271d2a211a281f182a211a2e251e2b221b281f182f261f3a312a21190c2e26193d37294441324341323b3e2d3337262e32213c3e30353729323426333527303224292b1d292b1d2f31232e3022292b1d282a1c2b2d1f292b1d24261826281a2d2f2116180b1c1e1323241c24251f1e1f1a1515130e0e0e0a0a0c030600090c0317181027241d25201a1a130d140b06160d0822231b16170f13140c1f20182b2c242d2e262c2d252c2d25 -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 -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 -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 -a5937f9a88749b89759c8a76b09e8ab09e8a9c8a76b8a692a5937bb2a088b5a38bab9981a6947cac9a82aa9880a18f77a29078b4a28ac9b79f9c8a72a7957da29078b9a78fa8967eac9b7fb4a387b9a88cb2a185a2917595846892816594836782805987855e807e576b6942615f3868663f716f487270497675457f7e4e7a79496766366160306f6e3e7a79497877477274436d6c3c7976497e794f746c457d73509285638f826289865d8b885f8582597c79507c7950827f56827f567b784f756846827553918462948765897c5a7c6f4d796c4a7e714f7f7552807653837956837956786e4b6b613e6d6340786e4b716f497c7a546c6a448a886287855f696741807e587d7b55646836797d4b6b6f3d6a6e3c7d814f5a5e2c5f6331545826464d215b623662693d52592d4c5327575e325d6438575e324342145554265c5b2d5a592b5655274a491b525123706f4162623073734173734155552364643265653370703e7373418485498a8b4f71723688894d88894d6c6d3176773b61622672692e766d348d844d837944beb481b7ad7cbaaf8183784a6460309c9868b6b282aba7777f7b4b837f4f7c78487773437f7b4baba777a5a1717b77477b77475e5a2acac696aba777898152958d5e9e9365a5986bc6b88ba59468948156a99469c4b6898879509a8a68a39276b3a286a0906ec0b188b5a77a98934fb0a972c1b793b3a693beb0a3c0b3a2a59a7cb7ae87b29f7fa592729b88688370506e5b3b8b7858bba888cbb898c3b68accbf93a89b71c0b28bccbe99ae9f7ebcad8cb9aa8bbcae8bc9bb98c7b897b4a586ac9c82b7a78ec1b19abfaf98cacab2cfcdb6d4cfb9d3cdb7cfc7b2cfc4b0d3c6b3d7cab7cab394c8b192cfb698d6bb9ed4b599ceac91d4b096e1bda3d6b194d4af92d3ae91d4af92d2ad90cda88bc8a386c6a184c7aa8cc7a88bc4a586c5a282c4a07ec49e79c59e77c49d76c19975c29a76c39b77c59d79c69e7ac8a07cc9a17dcaa27ec19c7fc19c7fc19c7fc19c7fc39e81c5a083c8a386c9a487d4af92d7b295d9b497d5b093cea98cc8a386c8a386caa588c5ab88cfb18fc3a080d6af90bc8f72d4a186ce967dd99f87b07b5cc59071cb987bd19f84dcae94d7aa93d8ae96f0c6b0e6d1b2dfc8a9d6bd9fd4b99cd8ba9ed7b89cd0ae93c8a48ac39876d0a583d8ad8bd3a886cfa482d0a583d0a583cba07ed0a982dab28ee5bf9be6c3a3dfc0a3d6b89cceb499ccb299d1be9ed4bfa0d9c2a3dcc1a4ddbfa3ddbba0dab69cd8b399c6a586cbaa8bd0af90d2b192d2b192d3b293d7b697dbba9bcaa68cdbbda1e5d0b1e0d1b0d5c6a5ceb99ac5a78bbc987ec1a991a58d756e563e3f270f4028105c442c5f472f4b331b917a58ae9674997a5ba07d5fbd9478ca9b81d7a38bc38d75a06b49a16c4aa5704eb17c5ac08b69c48f6db78260a6714fbe8866c58f6dca9875c69672b88c67b98f69cda780e8c29bc39f7dd1aa89d8af8fd1a485c49577c39073c89276cd957ad4a788bf9474af8666b99271d3b18ee3c5a1dfc39ed1b792debb93cfad87c6a884bda48597836865553e50433059513e6b573c83684d9873599e6e579f6c51aa7959b78c69be9871d9b895d9b897d8b99adabca0ddbfa5dcc0aadabfaad8bdaad2bca4d2bca4d4bca4d4bca4d3b9a2d1b7a0ceb49dceb29cd1c1a0d2bf9fd4bd9ed2b79acaab8fb9957ba47c63956b53a07553a47b5b7f5a3d6e4e355b3f2947301e503d2e3a281a5c4a267f67459c7b5ca17a5da2765ba1785c8e6b4d7455366a5037755d45715b43836e5985735f7e6d5b998979a49484e3d5b8b0a1849a8b6e655639837256b5a488a692779b876c818062adac8ec7c3a6c7c2a5c2bb9fa99d83887c6283755b9c8d6eaa997bc3ae91dabfa4e2c0a7ddb7a0dab09adcaf9ad5b39acba990ccaa91c8a68db6947bb29077b6947bad8b72b59878b09373a28567a68b6dae9376b59c7ecbb294d2b89db0a883c8c09bafa783b8ad8dc8bda1b7a98fb0a18a9e8f789a8b6ea09174c1b094bda98ead987d866c53a88e757a5e46554c2b585230908c695b58355a5a364e502b474b264e522d2e3412333917393e1e3f44263e4227383c232f331c2a2d181e210e1c1f0c1d200d272a17363926434633494c394a4d3a3336213c402941452c3b3f243035172b30103238163c42204a5336495235485134454e3140492c3a4326343d2030391c4a542f47512c444e29424c27424d25434e26444f27455028424c29454f2d3e482630391c2a33182f371f323a233038213a422d343c272d3422293020282e20262c2021271d1d221b0e160b182015151b11191c1323231b17140d100b05221d17292315282013291f1330221738271d3d29203e271f3c231c2521162922182a21182a20172c1f1731221b3b2a2342312a4e302840231b381f183b2720392a232b221b1c171117140f0310001a25152b312329291d2a23193329203c2d263d2a243436282b2d1f2224171f21161f20181d1e191b1c171b1b191214072a2c1f37392c2f31242c2e2136382b34362927291c26281a30322430322521231812130b10110c1516111717151415101c1d181a1b160e0f0a0a0b0610110c12130e0d0e091e1e2018181a13131313140f1819131d1e161e20151c1e132528172b2e1d282b1a1c1f0e1b1e0d2a2d1c383b2a3c3f2e -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -50514c5e5f5a67686364656061625d666762696a656768635a5b565b5c575f605b68696471726d73746f6c6d686566616570685a645c5f665f6d6f6a6665615954516b63618f8584796d5d8275657f6f5f9e8d7b9e8c7888735ea69079b79f87836b51ccb79ca792778a765ba8977bab9a7ea495789e8f72ae967abca488a38b6fad9579977f63bea68ab1997db39b7fa89378978267947f64a99479b9a489b29d82a08b709782678b765b9b866ba48f749a856a8d785d867156816c517c674c7260487f6e547e6d518f7f5e8c7c58897a518b7c515446197e754c7f764d84785086785188795289775188744f89734e9a8363a38c6aa18b66927c558a744b937e539f8a5da48f62a28e5ba59361a797669e90619184578a7f528d8459948b6289815281794a88825288845477754470703e6c6e3c5c602d2d440c31460e3b4c184e57285d5e345f5935524825453818514b294d472356522d67653c67683c585e2e5b622f69713e626b3468713a717a43737c4568713a5e673067703978814a6d6a3d7b784b8e8d5f686a3b787b4c828a594b552366703e6f76425b5f2c565a278888566c6a397470406e68387c76467a6a36827541786c3abeb4838e86577b7446817d50555227665b2d8e8355bcaf827c6e418c7b4f938055958055957e54716d3d8075477e6b40ac956b988358a196687d7f4d828c57867a46b6aa76a49763beae7b796735d9c3927b6534a48c5cb29c6ab7a16fa48e5ca58f5db39d6b947e4c7f69379f8957aa8d6fcbb092b89f80978362a49472ada07da79f7ab8b08bc9ab91d0b296a58868a78a68a2865fae9368ddc293b29768b3986bc6ab7ecbaf87b79b76a58868a183679e8066967860a27e5ea48060ac8566b88f71c79a7dce9f83cf9d82cb997ebe9371c19674c59a78c79c7ac99e7ccea381d6ab89ddb290daa788d7a485cf9c7dc39071b88566b68364be8b6cc693749d7657bb9475cba485c29b7cbd9677c59e7fc49d7eb79071b88872c5957fd8a892e3b39ddfaf99d7a791d9a993e1b19be1c2a3d8b798d4b394dab598d7b093cba286ca9e83d2a68bbfa17dccab88d5b18fcba483ba8f6fb18264bc896cc89578c29472bf916fb28462a77957b38563cb9d7bd5a785d0a280b28663a87c59a37553ad7c5bc08e6dd19c7cd49d7ed2997bc38c6ddca787e7b695e0b290e0b894edc7a2e9c7a1d9b992d7ba9cd3b698d1b496d5b89adcbfa1dfc2a4dcbfa1d7ba9cd5b495cfae8fccab8ccbaa8bc5a485bd9c7dc1a081cbaa8baa8568b48f72bf9a7dc9a487d3ae91d1ac8fba9578a07b5eae9871a48a65987a5697704f9f7253b07d60c1886dcb8f75dfa688ce9778c18c6cbe8d6cbf9471c19b76c9a680d4b28ca07a559a724e9b704dac805dc69876d9a887dba988d7a282ba9976e6c5a2ebcaa7c09f7cb89774ddbc99e5c4a1c9a885c8ae89ccb08bc8aa86c19f7cc39c7bcfa484d5a688d1a284cba986d1af8cc2a07dc2a07dc09e7bc19f7cdcba97e2c09dd8af8fd6ad8dd4ab8bd3aa8ad4ab8bd3aa8ad1a888cfa686d1a888d3aa8ad2a989d0a787d7ae8ee1b898deb595d4ab8bcdaf8bc5a783bea07cbea07cb799759c7e5a6f512d4b2d09643f22a37e61d1ac8fc39e819e795c8e694c8d684b8d684ba77457a57255a57255a77457b27f62c69376dca98cebb89bccb498dac0a5ceb398b19379af8f76c6a48bc09e85a58169a98d66ae926bc6aa83b59972b79b74c8ac85a88c659a7e57a68262b18d6dbe9a7ac29e7ebf9b7bbe9a7ac39f7fcaa686c1ad8ab9a280b49a79be9f80ceab8dd3ac8fca9e83bd8f75c4a68ac6a88cc8aa8ecaac90cbad91caac90c9ab8fc8aa8ec2a48cc1a38bc0a28ac0a28ac0a28ac1a38bc2a48cc3a58dd8b28ed2ac88dab392d8b192c79f85cba38ad9b09ad8af99bea07ecbae8ed4b99bd4bca0d2bea5cebea7c4b5a0b5a8959580659f8b709e8d739787709a8b769d907d887d6b6a5f4d352e1c5d56447f7866857e6c7e77657b7462746d5b68614f5150326f6b4e807b5d9d9777b3ab87847a565e522c766a44646a3c92956a888a6353543440402843402f3c382c36322742413c34342c2a2b232a2d2221291a141f0f152210202d1b18201520281b1d261518210e2a341b434d32404b2b293412292f0b3036143d422441452a34382124271426281a323426232a18272e1c2e35232f3624242b191c2311262d1b373e2c3336252a2d1c2b2e1d363928393c2b3134232f3221363928464b2d494d32484c353f422d3335272d2f2232332b393a34353729282a1c20221523251a25261e1d1e1912130e0c0c0a0a160c000700070d0127271b3b332833251a301e12382419292a1a2f30202f3020282919292a1a3637274041314344343b42302c33212c33213d4432424937383f2d373e2c414836333b26323a25353c2a383f2f353b2d2c32262a30262e332c252d16232b141e260f1820091b230c212912242c15222a13232821292e27060b04262b2420251e000200171c15121710151b0d202618161c0e12180a22281a212719151b0d181e10171e0c222917505841626b504b543558623f727c576570487073627477664346351a1d0c2a2d1c303322272a1936392829311a2830191f2614282e203a40362e332c2126222f3332363c2e2d33252a30222d33252c3224282e20292f21303628 -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 -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 -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 -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 -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 -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 -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 -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 -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 -5d5e595c5d585c5d5860615c64656065666162635e5f605b5f605b676863696a656566616667626a6b6668696460615c50514c595a5563645f6768636667626768636c6d6871726d71726d62635e60615c6d6e6972736e6869646465606a6b665e5f5a585954595a556465606a6b66686964676863696a654c47435c5753655e58615851695f557f73678a7c6f88786b6b573c6f5b40a28e759a866eb49f8ab29d8aac9786b6a09287715a7e68517e68519d8770705a439c866f9b856e856f58927d628e795e9e896ea590759a856aa38e73b5a085b39e83b39e83bba68ba59075ab967bae997ea18c719c876c78634883774d8a7e5460542a63572d766a406e623874683e6d61377a74447771418680508c86567d77477d7747837d4d767040887b46877a468f81509f9162a7996ca192679c8d649e8f68958d5f938b5d7b734571693b7f77497d754771693b776f416f6333837948726a3b6f683a615d3054512669673e5f5d367769447c704a7970497e764f7a754d56532a4b49206e6c43404213585a2b535627444a1a4d5524555f2d5c68366f7d4a72753e7b7b45605f2963602b6b65316e6733877d4a796f3c726640786c46776b456c603a80744e776b45594d27796d475b5d2e888759555426757245625b2f8b82578a815695895f928759948e5e7573429292605553225850217463378b744a8f8255827548675a2d796c3f837649938659b2a5788c7f527a693d8170449f8e627c6b3f907f537562378a774c7c693ea48f64a59065a58e64c2a980c1a47cb6966fc7a57fb3916ba18458aa8a5bab8757a68051c6a175b6957262482d4a361e35262142332c3d2c24443226311e0d38230e806a52a1896f9a7c6487695192765ea68c739b8369907b60927e638e7a5f7f6a4f6e593e796449a48f74bda88db39e83a69176a79277856e4f8f78599d8466a88d70b39478bf9d82cda98fd7b399c5a78bcaac90ccae92caac90c4a68ac2a488c6a88ccaac90c4a68ac8aa8ec8aa8ec1a387b89a7eb89a7ec2a488ceb094c6a788c9aa8bc4a384b59274b38c6fc0977bd2a68bdbaf94d8b693bf9b79a88160a87f5fb88d6dbc8f70ab7c5e9566489b7252a47b5bb28969be9575c79e7ecda484d1a888d3aa8aac825ac2966fcb9e77b3835d8e5c3786522da6704ccb9571cd9c7bd7a282e1a688d19175bf7f63d09376d49d7eb68463c78c6ecf9476d4997bce9375c08567b57a5cb3785ab67b5dad7f5dc59775dbad8bd6a886c39573bf916fd5a785efc19fd8ad8acba07dc49976c79c79c89d7ac09572b88d6ab78c69b4926fb18f6cac8a67af8d6abf9d7accaa87c5a380b4926fb79371ba9674b7906fa87f5f976c4c9263459d6c4ea9785aac7d5fa97a5cae7f61be8f71cd9e80ca9b7db384669e6f5190663c8d6339845730875532aa7354c68a70a86a5175341e7f522bac7c58c89576af7d627e543b61412857432a55472d564a305a4e345c4e346e5e458b7961937f67937d66a38a74776247836e518f76589275579b7a5bb08c6cc89f7fd5aa88d7af8bbc9470a77f5bac8460bb936fc19975be9672bc9470a77e5eaf8666a77e5eac8363c59c7ccaa181c59c7cd0a7879e6c47af7f59cc9c76deb18ad9af87c8a178c49d74cba67cc7a389cba78dcda98fc7a389ba967cac886ea27e649c785ea16c4ca772529f6a4a945f3fa87353cd9878d6a181c59070d09b7bc69473bd8c6bba8c6ac09471c89d7acea682d1ab86cdb090c7aa8aab8e6e947757af9272e0c3a3e7caaacaad8dd2b595d3b696d2b595ceb191c7aa8ac1a484bea181bea181bf9d82cba98ed8b69bd6b499c09e83b08e73ba987dcfad92b6a186c2ad92cab59acab59accb79cd4bfa4d7c2a7d5c0a5cbb69bccb79ccfba9fd1bca1d2bda2d3bea3d3bea3d2bda2d2b99bd3ba9cd3ba9cd2b99bcfb698cab193c5ac8ec2a98bc8aa8eccae92d2b498d4b69aceb094bd9f83a7896d987a5e7c6249846a5191775ea2886fb2987fc0a68dcab097d0b69dc4af94c1ac91bda88dbba68bbea98ec4af94cbb69bd0bba0beae95c2b299c3b199c4b098cbb29cceb39ec4a893b59984ad9770a8926d96825f8976568e7e64998a738b7e6b7265546f6953817a677a73605c5545534b3e5c54494d443b2b22193e3f312627192123153234262e3022181a0c1d1f11383a2c1c1e1023251726281a1f211416180b181a0d25271a32342724261925271a2b2d203234273032252b2d202e302336382b303821363e27444c344e573c4d56394852304f59375c66436064493a3e274042342e2f291f201b2c2d271c1e13151709161e072028112b331c2f37202b331c252d16262e1729311a303628393f3143493b454b3d3e4436353b2d2f35272d3325242c1529311c272e1c1d2414191f111e241820261c1d23191c22162a3024343a2e353b2f353b2f33392d282e221a2014242b1939402e383f2d262d1b2e3523464d3b404735212816343724373a274245324e513e4e513e4447344144314548353d46274952334b5435434c2d464f30545d3e5760414d563743512d42502c42502c45532f475531465430414f2b3c4a264b4f38555845494b3e44453d575850585a4d484b38444831434a3a4148383f47323e472c3e4728404a27434e264651274752344d573e5057474b4e4742413d3d3a353e3a313f3b2f -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 -595a555b5c575f605b61625d62635e63645f63645f64656058595461625d65666162635e61625d6465605f605b56575261625d6c6d6875767172736e6768635e5f5a5f605b63645f7475706e6f6a6768635f605b595a555c5d5870716c8586816b6c675e5f5a60615c6d6e696d6e6961625d63645f72736e575c586267636a6c676566605f5f57615d54655e54655f5385776c7163568c7c6f978378998175b79c91a88c81a88a80b09a839f8972927c65b6a0899e8871a7917a9d8770aa947d8b765b927d62ac977cb19c81988368917c619b866b9782677d684da38e73b6a1868f7a5f7762478f7a5fa89378b8a3887c6b4d9c8b6d8473558271538d7c5e8b7a5ca29173a69577776b417a6e448b7f558f835985794f8d81578c805673673d695c27786b378d7f4e988a5b8e805380714684754c93845d7d7a478c895684814e76734074713e69663365622f7774418d855488804f6b6032766b3e7e724873653e7c6e496759366a613a766d467c704a70643e6d613b796b466e603b4d3f1a5e593158552c4b481f4b4c2253562b464c204c532772794d5d5f2d81814f7674436d69395f59295a522373683a64592b827650877b558d815b70643e8d815b8a7e584f431d8579537e7b4e9a996b636234706f417b7d4e7b7d4e686a3b686a3b7c76465957266f73407c834f7a7e4b6662326f623578653a61592a5b53248e86577b7344837b4c968e5f5850216058298581517d7747736b3c968b5d84764987764a8d7a4fbaa57abba67b9d885da99268a78e6594774fa88861b89670a886609d845ba58a5fd4b386a88659b5936d9d8362210d00463722413326281a0d28180b412f213b2819665140917a6a482e1d82705a54422c56412c806b56947f6a99836e9b8570947e69918064a19074a9987ca9987cb1a084c0af93c1b094b6a589c0a98ab59e7fae9577b3987bc0a185c5a388bf9b81b49076b29478b39579b7997dbfa185c6a88cc9ab8fc6a88cc2a488d0b296c5a78bb99b7fb7997dbfa185c8aa8ecbad91c9ab8fbda388cdb297d0b298c3a38ac2a087ceaa92cfa992c59c86c7a582d4b08ee4bd9ce8bf9fe0b595d2a586c7987ac19274ba9171be9575bc9373b08767a37a5aa37a5ab28969c29979cca681c09a75b8906cbf9471ca9e7bcc9b7abb8968a97756986548976447b78467d8a286d0977cc98b72d08e76cf8b74ce9375bb8062a66b4da16648ad7254be8365c78c6eca8f71bb8968b38160aa7857a77554aa7857b07e5db58362b78564c99474bd8868b98464c18c6cc89373c79272c69171c99474dbb996cdab88c09e7bc4a27fd6b491d9b794ba987594724fb59773ba9976be9c79c19d7bbf9877b58c6ca97e5ea07354a16e519a674a9562459a674aa97659ba876ac69376cc997c996f49b18562c99b79ca977aaf775e8f523d7e3f2d7f3e2c8a5c427a4a337240297c4934895c4782624b6b563b55472c46392655483548392651402e7e6b5a7f6a596049396147384e4e344a482f4a43295042285a442c684d327b594087634999745aaf8a70c7a287c9a585b18d699c7953a5825abb986eb69270c5a17fc4a07eba9674aa866486624075512f886442966942be916ae0b08acc9c7695653f7e4c27a97752e5b38ebd9a7ac09d7dc7a484c6a383b99676b08d6dbf9c7cd6b393e2b190c99877bd8c6bcc9b7adbaa89d8a786cf9e7dcb9a79cc9777bf8d6cc49372d9ab89dbaf8cc69b78ba926ebf9974c19771c89e78cfa57fc99f79b78d67ae845ebb916bd0a680b79476c3a082d0ad8fd6b395d5b294d2af91d3b092d6b395e0bea3c8a68bb7957abf9d82ceac91d1af94ccaa8fc7a58ac6aa92bda189b59981b49880b99d85c2a68eceb29ad8bca4d2bda2d3bea3d4bfa4d4bfa4d2bda2ceb99ecab59ac7b297cbb79ecdb9a0cfbba2d1bda4d3bfa6d4c0a7d4c0a7d4c0a7d0b8a0cbb39bc8b098cbb39bceb69eccb49cc2aa92b9a189cab097c2a88fbaa087b79d84b49a81aa90779a80678c7259968166a18c71b19c81c0ab90c6b196c5b095bea98eb9a489c3b092c1ac8fc4ac90ccb297d0b59accae94c2a289bc9a81ab916eb29875b9a17fbfa888c4af90c4b191b7a688a798799e947b847a6170654f6c614d695e4c6357496a5e50796d615145374138292e271728221231301e393c29333824262b17333621333621282b181a1c0e191b0e23241c2627211f201b37392c3133262e30232f31242d2f222f31243c3e314c4e414048312c341d2830183e472c50593c525c3a56603e626c494b4f3430341d4042342d2e28181914292a242a2c213335273c452a3a432840492e4a53384750353a4328363f243c452a4147393c4234383e303a40323f45373d433533392b292f2129301e1f2614121a05121a05272f1a3e462f414932363e273c442d4b533c454d362d351e2e361f434b343f4730262e172e3523282f1d272e1c2e3523353c2a383f2d3c43314148362a341b313b22444e355a644b606a51525c43444e353f4930323c173b4520424c27434d28444e29454f2a404a2538421d36451a39481d3c4b203e4d223e4d223f4e23404f24415025313a25444e364852394b553c5660474d573e454f37535c474b52424c53434c543f485136444d2e46502d4e5931556036444f314d573e4d544443463f3e3d39423f3a403c33373327 -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 -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 -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 -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 -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 -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 -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 -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 -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 -5f605b60615c6566616667625b5c5752534e595a5568696467686367686367686366676264656062635e5f605b5e5f5a52534e67686370716c63645f5e5f5a686964696a655e5f5a5f605b63645f696a656c6d68696a6563645f5d5e59595a555e5f5a61625d6667626a6b666b6c6768696463645f5f605b646560696a656d6e696d6e69696a65666762696a656c6d686b6c676566616768636d6e696a6b6661625d60615c696a65555a5d696d705b5c5e6565657d7c786b68635e59536b645e585a456f6e5a706b58a29988bfafa0b29c8fb99e93987c71988574a79483a18e7d9683729a87769a87769e8b7aae9b8aa48f74ac977cad987da18c71968166998469a38e73a99479947f64a79277ae997ea28d72998469a18c71ab967bad987d8d7c60907f63cfbea2b3a28693826691806478674bab9a7e7d7350a19774a69977b6a786b6a685af9c7cbda889ab9475a48b6da58e6fa38e6f9b8b6a958665918764958d6998926e9e8e6c998967988864a0906cae9e7ab7a881b7a881b3a47d9e95749e94717f7250948661917f59a08a63877047967f568075487d724585794f92865e8e825c8376548a7d5b9c8f6f979076857e647f785e7a7359787157756e546f684e777056736b475f573386805c54502b5957314b4d2643451e434820333f0d5d6735424a19575d2d7376475d5f306867396c693c5e612c575c265b622e6b7340727c4a6d79496b784a6e7e516674416973417372447f784c877e538780547f7c4f77794a6e6f4586875d6f6d4478754c7e79518178519a916a80744e8f8255857e5462603a7b7c5c7572518379557c693fa58d5f80754870643c584b29251700221202322119261412412f2f45342a4433294a392f43322839281e45342a4e3d33413026291400503a22816b548f79646a53433d25193a2218523932584131664f3f5a4333644d3d927b6b9c8575927b6ba28b7b98877595847292816f9584729d8c7aa59482aa9987ab9a888b755e98826ba8927bb09a83af9982ac967faa947dab957ec9b39cbfa992b39d86ac967fad9780b7a18ac8b29bd7c1aab6a790b8a891bbab94c0ab96c2ac97c2a995bea390ba9f8cc3af96b29e85b5a188c7b39abfab92ab977eb8a48bddc9b0c0ae98c8b49cd0b8a0d9bba1e3bfa5e3ba9ecfa285b58668e4b48cc79a73bc906bcba380d1ad8bb491738765496a4b2f6d5c486a5e486662496262485f5f455f5b42675b45705f4b65503d7f6451a7836dc99b84d9a68bd9a484d19f7ac99c73d39b76d5a47ccea77ec3a37abd9f79c0a27ec8a585cca586b18d6b7b57377350308f70519b7e60a3886b92785d60482c8e7e5a6c5c388b7b576959356959358b7b576d5d398f7f5bab8463af8867cda685ba9372a67f5eac8564b38c6bddb695dab99ae1c0a1e8c7a8e5c4a5ddbc9dd8b798dab99adfbe9fcfb18fd6b896e0bfa0e3c1a5ddb99fcea891bb957eaf89747e5535a47b5bad8466b1886c865c434e240e542a145328154f220b5127115f3b256d4d385e452f43311b40311c504330624d2e62482d70523a502f1c6649395b4635675c4a534c3a53412b5f4d376f5f485c4d36483e25736c526f694f7d775da19178ae9d83a48f74c6ab8ee8c9ace9c5a5d7ae8e9d7250ac9573c0a886ceb493cfb091ccab8ccea98ccda689c69d81b68660cc9f78e0b491dab28fcaa686c8a589d3b196ddbea27f6b48745c3a573a1a5530137a4c328e5a429157419d5f4a935a3fb0785db78165ae7d5fa97e5e966f4e88644293714e9b6a4c9867498d5c3e8253358d6041a87d5dc09575c99e7ebf9a7dc5a083caa588cba689c5a083bc977ab59073b18c6faa7e61ac8063af8366b5896cbb8f72c29679c89c7fcca083b6977aba9b7ec3a488cfaf96d8b8a3dab9a6d6b5a4d2b1a0c2ad92c8b398d2bda2dac5aadcc7acd8c3a8d0bba0cbb69bc3ae93c4af94c4af94c2ad92c1ac91c5b095cdb89dd4bfa4cebca4cfbda5d0bea6d1bfa7d0bea6cfbda5cdbba3ccbaa2d0c0a9d0c0a9cebea7c8b8a1c3b39cc4b49dccbca5d3c3acd0b69dd3b9a0d8bea5dac0a7d7bda4d0b69dc7ad94c1a78ec2aa8ebda687baa183baa07fbfa17fc4a380c5a37ec3a17cc4a785bea17fa083637f65448b7253b8a182cfb899c6b194dbc7a6bdaa89a89a77a69e799997707a7c54666d4365704565683d53562b51532b62643d66674553543446472848492a434927565c3a4c52305b613f5f6543575d3b646a4851573545493042462d44482d464b2d3e4323343a163b411b4a502a454f2c424c2a414b294750334e573c4b533b3f4730333b2437462541502f404f303443262e3c2233412a36442d33402c2f3e1f2f3e1d31411d36461f3d4e24425326465828475927363e273d452d3e472c3841243a4324454f2d4d57344c5633323a23373f28333b24272f18272f18333b243a422b3840293c43312b32201e25131d24121c2311171e0c161d0b1b22102a321a3d452d353d25474f374d553d4d553d6e765e6f775f3c45263b44273a43283a422a384029363e293138262e35233537212d2e1c2f302239392d3c3d2f343621323518373b18212b0836401d414b2839432036401d414b2846502d414b28404b23343e1b273013252d152f371f3942233e48233e491e303a1f2d371e303821363b273334242d2b1e363227454138 -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 -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 -5b5c5765666167686361625d5f605b68696470716c71726d6465605f605b61625d6b6c6770716c6b6c676869646a6b666566616c6d686c6d686566616465606a6b666e6f6a6b6c675c5d586465606c6d68696a6561625d5f605b6667626f706b656661666762696a656d6e6971726d70716c6b6c67666762696a656c6d6871726d73746f71726d6e6f6a6b6c676a6b666f706b6f706b6f706b6e6f6a6e6f6a6c6d686b6c676b6c6763645f6869646c6d6867686360615c5d5e5963645f6a6b6664656062635e68696470716c6c6d685f605b5c5d586465608988737d7b66635c498e8170897667735c4ea3877b9b7d727e695495806b9c8772af9a85aa95809b8671ac9782ae9984b29e85b5a188a49077bba78ec2ae95ae9a81b4a087a38f76b2a288b9a98faf9f859b8b719d8d73aa9a809e8e74817157a0917499886a9d8a6c958160856e4c917955a18762977d589275579b8062947b5c927b5ba49170aa9c79a39673a09672927e59a28e69ad9974a8946f9e8a659f8b66ac9873b9a5809e8a659985607f6b46937f5a9e8a65937f5aa08c67937f5a91844f8d804c95875896875e8676548574568b796185735d988a6d90826586785b8274578476598476597b6d50716346595033685f425e583857532e3a38115e61365154275c62346d723a696e367277415f6330595c2d64673a585b305d6035535b285c643158602d7a824f68703d767e4b6c74418088556e7b4d6c794b6f7b4d79825580865a7d805573744a6b6c42596b3764703e6c693c7e6f4699815b977b568e744f9e845fa29a7668603c524a266e66428c8460776f4b69613d6a623e494f2337391428280e2b25173128212e231d281b1222160a2b170e3521183e2a213e2a2139251c37231a3e2a214632293a2c124a3b2471624d7f6f5f6555461a090043322a2d1c15251e141e170d31281f271d1433241d4c3b343c29233f2c2667503e7f6856947d6b9c82719f8574a68c7ba68c7ba08675b0a494b2a696b8ab9abdae9bbba995b7a38bbba58ec2ac94c6ac93c1a78ebba188b79d84b69c83b89e85baa087bca289bea48bc4aa91c8ae95c5ab92bda38ab69c83b59b82b89e85c4aa91c0a68dc1a78ec6ac93c6ac93bfa58cb99f86b89e85b89e85bea48bc5ab92c7ad94c1a78eb79d84b39980b49a81d9bba3d6b8a0cfb199caac94cdaf97cdaf97bc9e86a688705f5943706a5468624c59533d5b553f544e384b452f554f39564b39685544896a56ab8169c39274ca9671c28f64b88858d2a482daac8adcae8cd0a280c19371c29472d7a987ecbe9cbc8c68b0825ebd916ecca481d4b08e987756705333c7ac8e776041543b1dc7ac8e866949ac8e6c93734d7f5d389d7a54caa781a5835e7a5a347f633c917752725c35735f3ab19f79c79f7bd7af8bd5ad89d4ac88deb692d6ae8ac9a17dd2aa86dac09fdfc5a4e4caa9e2c8a7dbc1a0d0b695c8ae8dc4aa89ceaf90d5b495d6b194cda186bd8b72b47c65b87b66bf816cc89f73c7a075c9a680d0b28ecbb293a08c715141281001003c2008381e07351f0737250f3c301a413924423d29403e293e38226d65509c917b73674f7c6e54857659bdac8ec8b597dfc7abd2b99bdec3a6c2a585a48664a07f5ca17f5acba783ad8f6daa8c6aa386669c7f61b39579ddbfa7c8ac96856953543c22483016a48a6fdcc1a4d7b89be0bfa0cfac8cb89673bea378c3a77fc4a881bfa280bea183ba9c80ab8d739a7c64836044522e144d2b126a4a336d513b684d38705743745a49685034785d40573519754e31b88c6fc99a7cd3a282d09f7fc0a27ec1a07dbf9e7bbe9c79be9a78c19a79c39a7ac49b7ba98a6bb59475c3a283cfaa8dd1aa8dc9a084bd9176b4886dc6a385bf9c7eb49173ab886aac896bba9779cfac8edfbc9ed9bea1d4b99cceb396cbb093caaf92caaf92c8ad90c6ab8ecfb899cfb899cfb899ceb798cdb697cdb697ccb596ccb596bfac8cc4b191cab797ccb999cbb898cbb898cebb9bd0bd9dcbbd9acbbc9bcabb9cc9ba9dc8b89ec8b89fc7b7a0c7b6a2c3b39cc4b49dc5b59ec6b69fc6b69fc5b59ec3b39cc2b29bc3a992c3a992c2a891c2a891c2a891c3a992c5ab94c5ab94cabb94c0b18ab1a27bb0a17aae9f78786942b8a982afa079cfbba0e0ccb1cbba9ebfb093beb395aaa1828c86666f69496f764c81865d70754c555a3162643c787a527a7b5376774f5e653b545b31484f2542491f444b21484f25484f25474e243b452346502e4b55334a54324f593757613f545e3c4953314b5533505a384a54323d47253b4523454f2d4c56344a543247512f4c56344d573546502e3d47253b4523424c2a4b55332e3c1934421f3c4a27414f2c43512e414f2c3a482532401d404a25404a2840492e3e46314048334750354c56344f59343d4722404a27424b2c3f482d373f2a2f36262d33272d33292f371f464e36424a32373f27424a324a523a515941636b53717961747c64727a626b735b6b735b6e765e6971595e664e595c475457424d503b474a353e412c30331e1f220d1215001f20181c1e13292b1e3b3e2d383b28262a1325291035391e2d37153943214e5836353f1d232d0b303a18394321525c3a40492c3841242f381b2d3619323b1e3942253e472a3f482b3c40273e422b434631494c394c4f3e484a3c404235393b30 -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 -5f605b6667626667625f605b5c5d585e5f5a5c5d585556515b5c575d5e5963645f67686360615c5556515657525f605b68696460615c6465606e6f6a6768635859545f605b74757062635e6a6b6672736e70716c696a656768636e6f6a7778736f706b6a6b666566616465606869646d6e6970716c72736e6667626667626869646c6d6870716c72736e70716c6d6e6973746f71726d6f706b6c6d686a6b6668696468696467686367686364656062635e6667626a6b66696a6562635e5b5c576a6b666566615e5f5a5b5c575c5d586566617677728485806f7a7249534b5c635c696b665e5f5a625e5b67625f807876655848847767bfb2a29a8d7d8f8272b1a494958878978a7ab3998abfa596b3998ac0a697b197888f7566957b6c8b7162ab9679c1ac8fc6b194b6a184b49f82c1ac8fbba689a59073ab9f899b8c77ab9c85bfae94b19d82a28d70a89172a89172b0987c8e765a988366a99477907b5e8c795ba39072a28f71bba786a4906f937f5e998564a79372aa96759f8b6a958160897554978362968261b9a584c1ad8ca79372a38f6e8c78578b7c5198896080714a71613d867655806f537463498a795f605235645639746649897b5e9284678b7d607e705376684b51482b655c3f514b2b615d385250296d70455b5e314b51235f6330696d3a4a4e1c65683b5b5e3334360e54562f50522b5b6334586031424a1b5b63344f5728676f40565e2f5b6334616e406774466c784a6c75486f7549777a4f7a7b5178794f748551788250726f427a6e448f7d5589764e806e468c7f5569654088845f706c4779755054502b7b77525955304a4621868c60494b261f1f05282214292019150a041a0d0435291d2e1d132a190f29180e2f1e1439281e3e2d233a291f342319413622322715291e0c382d1b4e42323f3323392d1d2b1f0f2b291d2b291d403c312a23191f160d2f221a24150e31221b3c3e28393823362f1c3a2d1c513b2d73574b937368a78279a9998aa59586af9e8ec2ad9cc5ae9cbba28cba9f8ac3a992b69c83bba188c1a78ec4aa91c4aa91c3a990c3a990c3a990c6ac93c0a68dbaa087b99f86bda38ac2a88fc5ab92c6ac93d0b69dcfb59cc4aa91b89e85bba188c4aa91bfa58caf957ca2886faa9077ae947baf957cb39980bba188bfa58cbea48bb79981d0b29ae4c6aedfc1a9cdaf97bd9f87b2947ca98b73c6b7a0beaf989d8e777a6b5463543d4b3c2551422b7869527868518d7760a7846eb3876eb48365bc8b6ad1a47de4b98fc89a78be906ebc8e6ccc9e7cdfb18fdfb18fc79977ad7f5db99e73785d32775a327d5d3793714eb894749d7659754b326f5b40725d42674f33775e40785d3f846745a18361b897747d5c3d775635704f2e7d5c39987a54a88b63a78a62a88b63c99d7ad3a784cb9f7cc99d7ad9ad8ad8ac89d2a683ddb18ed0ae8bcaa885c7a582ccaa87d9b794e4c29fe8c6a3e7c5a2ddc6a4d0b997bea483b09373ac8b6caf8c6eb48f72ba9376b9916ddbb590edc9a5d8b796bd9e81bba083ceb499dcc4aab09b888c7a6661503e4439273e3725444130484939484a3c645e48473f2a3429132d21093f3117544528827153a79476c6a28ae0bca2d9b49a7e573a6a3e21ac7f60b88a69ae805fc8997db18467977053b79579dcc3a5a5917655472c473c204b4428665c4173684c6052358f8061c7b796c0ad8cd0bc99d8bb99dcbf9dd9bc9aceb191c8ab8bc5a888bc9f81b09375b18e6ec9a688d1af93ab8c70876c519379608e765e6249334939295e51405245325b4f39604e38664b36a17e6ac29c87ca9478ca977acc9d7fcea383cea786cbaa87c8aa86c6aa85d2b394caa98abc9b7cb28d70b0896cb48b6fbe9277c4987da97b61b7896fc89a80d2a48ad0a288c5977db98b71b2846abd9c7dc6a586d0af90d3b293cfae8fcdac8dcfae8fd3b293d0b695d0b695cfb594cdb392ccb291cbb190cab08fc9af8ec9b290c9b290c8b18fc5ae8cc2ab89c4ad8bcbb492d1ba98cfbd97d0be9ad2bf9ed4c1a1d4c1a3d3bfa4d1bda4d0bca4ccb89fccb89fccb89fcbb79ec9b59cc6b299c4b097c2ae95c6ac91c2a88dbea489bda388c0a68bc8ae93d1b79cd7bda2e7d7b5c2b290a2927080704ea99977d1c19fc4b492bcac8adcbca5e4c6aedec2aae4cab1c9b197c8b398e6d2b7b1a0847872506e6a478a866394916e70704c62643f60623d444621505630484e28444a24494f29525832535933474d273a401a3a44223c4624404a2847512f4f5937545e3c4f59374852304c5634545e3c57613f515b39485230454f2d4a54324e58364b55334c5634495331434d2b3c46243943213d4725424c2a47553244522f455330485633455330404e2b42502d4856333b4523414a2d49513a4b5242414838363e273a4326454f2d3d43353a40323a412f424a334c553a4e573a444e2c3a44212f371f434b333e462e343c24414931485038495139565e46616951676f57676f576169515e664e5d654d525a42444c345b5d5251534842443934362b292b2023251a2022171f2116282b1a242716252817282b1a2326131a1d0a2124112f321f2a3316343d200a1300212a0d353e21323b1e4750331f280b1f270f202810232b13272f172b331b2f371f3038203038204d51384b4f384649343e412e383b2a37392b3d3f3243453a -5859545f605b63645f62635e67686370716c70716c6a6b6661625d63645f6a6b6670716c6a6b6660615c62635e6c6d68696a655f605b61625d6a6b66646560555651595a556b6c676b6c67696a656768636869646a6b666b6c67696a656768636465606b6c6770716c6c6d6866676268696473746f7e7f7a6a6b666768636566616768636b6c676d6e69696a656566616869646a6b666e6f6a70716c70716c6e6f6a6a6b666869646b6c6766676263645f6768636e6f6a6e6f6a6566615c5d587879747677726f706b63645f5b5c575d5e5963645f6768636973725e6867757e7d686e6e555b5b6468696263655c5d5f564e435c544980786d574f44534b40776f64564e43544c41624e43756156776358968277a08c819b877cbca89dc3afa4a49078ab977fb4a088b5a189a59179948068958169a18d759e93818a7f6d978a77ac9d88a2907a9e8a72ad977fb49f84ae9a81907c63a28e75b8a28aa18b739d876fb29a82ae967ea28d70a28d70988366927d60a99477c6b194c0ab8ea48f72ab96799b86697e694ca08b6ebca78ab09b7ea59073806b4e998965a0906e8373517868479483658d7c607463477f6e5490826580725576684b786a4d7a6c4f796b4e8072558d7f62746b4e5e55386761415c583357552e9194694b4e214b5123696d3b76794a595c2f6f72475c5e36282a033e401b35371240471b454c20363d11535a2e4f562a6d7448596034575e32505d2f5f6c3e687446656e41676d4173764b7a7b51797a505a6835666e3d68673973673d85764d85784e8c8056a69f734c4a246866406b6943807e58615f39706e4862603a6d6b4540461a32340f2b2b11322c1e3128212318121c0f061d110526180d281a0f2b1d122e2015302217312318312318322419241b0a453c2b160d00080000423a275f57442d2512322a1526261a27271b3d3b2f231f14181107251c131c12092d20183e462f3a3d2833321e2c25132e1e0f3c2619573b306c4e44776557968375b09a8cb19a8aaf9584b49984b69a85b1957fb39980b79d84baa087baa087b89e85baa087c0a68dc6ac93c4aa91c1a78ebda38abca289bfa58cc0a68dbea48bbca289b79d84b59b82ae947ba78d74ac9279b59b82b49a81ad937abaa087bfa58cbba188b1977eb0967db89e85b79d84af957cb99b83b79981b5977fbd9f87d0b29adbbda5cbad95b4967e6b573f9b876fc4b098dac6aed3bfa7a18d75746048705c448b775e9b8168ac8870ae8269a6775ba57756b18761be9770c39573cc9e7ccea07ebd8f6da77957a67856be906ed6a886c6ae82664e226e522a8e704a92714e9c79598a65487b563b5a4830624e354b371c6b53376f5638674c2e7558386c4f2d78563b8361458463447e5c398f6d48b6956cb8956b957248b98b69cc9e7ccc9e7cc89a78d1a381cea07ed0a280e4b694dfb794d6ae8bcea683cea683d4ac89d8b08dd7af8cd4ac89d7bf9dd5bd9bd4ba99d2b897cfb292c4a586b69576aa896ad9b291cfa887d1ad8ddebb9bdebd9ecba98db99a7eb6977bcbb29cb49e89917f6b70614e564e3b4c47344a49374b4c3c47412b4d4530463b25463a2250422877684b948365a69375926e56553117755036b38c6fbe9275986b4c956746e7b998b485699164476b44278c6a4ec7ae90b4a08587795e8f846856503868614770694d786d51beb093e4d5b6caba99e6d6b5d4b799ddc0a2e0c3a3d9bc9cd4b797d2b593caad8bc0a381d3b18ea98666a98867c7a88bc1a6899c82676f573d493117615144564a3a3c37234e4c355b533c6a5842a2836fb18b78c68e73c89276ca997bcda081cfa686cfab89cfae8bceb08cd0b192d3b293d4b394d3ae91cfa88bc59c80bd9176b78b70c99b81ca9c82ca9c82c6987ec2947ac19379c5977dc99b81c19e7ecaa787d3b090d3b090cdaa8ac7a484c6a383c8a585d0b290d0b290cfb18fcfb18fceb08ecdaf8dccae8cccae8ccab08dcdb390cfb592cdb390c8ae8bc5ab88c5ab88c7ad8ac8b58dc9b590ccb893ceba99d1bc9dd2bda0d2bda2d2bda2ceb99ccfba9dcfba9dceb99cccb79ac9b497c6b194c5b093c9b091c8af90c8af90c8af90cab192ceb596d1b899d3ba9bb3a284a493757d6c4e6857399c8b6ddecdafd5c4a6e1d0b2e0c0a9c8aa92ebcfb7d4baa1b1997fccb79cd5c1a6d7c6aac4b69b897e62746b4e746d506a67487473546c704f414524494f2d454b29454b294e54325a603e5e6442575d3b4e5432434d2b454f2d47512f47512f444e2c434d2b495331505a38535d3b555f3d57613f545e3c4b5533434d2b444e2c485230454f2d4953314d57354d57354a543247512f454f2d444e2c48563343512e4553304957344553303c4a27404e2b4c5a373a43243d462b474e3c4d5345444a3c363d2b3a4328485132464c423f45393d4434444c3750593e535d3b4953303d4820343c244b533b4a523a444c34515941525a424a523a505840515941626a526c745c646c54596149565e46545c44525a424d4f4445473c383a2f2b2d2223251a21231825271c282a1f3c3f2c2f321f252815282b182d301f303322323524353827273015394227141d02273015333c2129321740492e1c250a202811212912232b14272f182c341d343c253c442d41493251553c494d363f422d3a3d2a393c2b3a3c2e3a3c2f383a2f -5d5e5961625d60615c5d5e5962635e6b6c676b6c6763645f5f605b5e5f5a63645f6b6c676a6b6662635e62635e696a6568696461625d5f605b63645f62635e5d5e595e5f5a646560696a6562635e5c5d585e5f5a65666167686361625d595a5553544f666762797a757c7d7870716c6768636b6c6773746f71726d6b6c676667626768636c6d686d6e6968696462635e646560696a656f706b75767177787374757070716c6d6e6972736e6e6f6a6b6c676d6e6972736e72736e6d6e6966676263645f6e6f6a75767170716c696a656566615e5f5a5657524a50505c6262686e6e5f65654d5353595f5f656b6b494f4f817d74605c536561586d69607470676e6a615b574e6c685f6c5f5673665d61544b6c5f5664574e52453c6a5d546c5f566558476255446e61508174637f7261776a598d806fb2a594a3998d8d84758d81719184738a7b689b8975baa892c7b29db6a68da99980b09e86b9a58dad9780a78e78a88d78a28671846f54a69176af9a7f998469958065ad987db6a186a89378b09b80b7a287a59075af9a7fa79277958065ad987db09b80aa997ba695779483678f7e629c8b6f97866a85745881705485775a786a4d7466497d6f527d6f52746649786a4d85775a91886b655c3f716b4b54502b5250299ea1764f52255d63355a5d2e686b3e63663b6a6c44585a333a3c173f401e3d3e1e434a214e552c41481f5a613851582f6c734a545b324c532a424f215d6a3c6f7b4d6c7548686e426e71466f704667683e5f6d3a7078477574467d74498a7e54847b50878356a3a2747678514648216a6c456d6f48999b74484a23595b3462643d383e123a3c17323218282214251c152a1f192a1d1422160a22160a281c102e22162d2115281c10261a0e291d112e2216070000494134352e1e0e0700342d1a625c4628220a3f3921282c1e282a1d3b3b2f2321151814092720161e150c2d231a272c18282b182e2d1b312b1b2b2213281a0d342216443025301d0f624c3f8871638f7566977c6baf937ebd9e8ab99984bca289b99f86b1977ea78d74a1876ea68c73b69c83c4aa91c3a990c3a990c3a990c1a78ebea48bbaa087b79d84b59b82baa087b49a81b49a81bba188bda38abca289c1a78ecab097a98f76b39980b2987fa88e75a88e75b0967da98f76997f6691735b9c7e669b7d65886a527e604890725ab1937bc8aa92d5bda3ae967c70583e664e349f876dcab298c7af95bfa78da1896da18369a68167b78b70c8997dca9d7ebc9672ad8a64c19371c69876c69876ba8c6aac7e5ca97b59b58765c39573ba9d739a7d53b79a72a98d66846843886b497b5e3e6b4e305d4d3646361d5c4b314834198a72565e45279075577b6042a0806985654c815f43856242815e38936c439f784d936a3eb07f5ecc9b7ad7a685d8a786ddac8bd3a281ce9d7ce0af8ecfa380d2a683d7ab88ddb18ee0b491deb28fd6aa87cfa380c4a586c3a485c5a687c9aa8bcfb091d2b394d2b394d1b293f1cdadc9a487b48f72c8a386ddb89bdeb99ce0bb9eebc6a9c7a98fc7ac91c0a88ea8947b86765f665b45544e38504a34514b355c543f43382240341c493b218f8063a79678af9c7ee8c8afcbac90a38166603d1f6f4b2bb28969a27a57855a38896042bb9475c9a688af9073a3886ba89076ae9a81b6a58b766e59746953786c56817359b5a58bc4b397a59274c5b294ccae94d8ba9ee0c3a5ddc0a0dbbe9cddc19cdabe97d4b891e5c39ed4b28dd4b390d1b391b69b7d9c8365775f434b33174e3b2d403523323019474a2f454126594930ac8c77ca9f8cd6a386d1a082cb9a7cc69779c89b7cd0a585d8af8fdeb595d0b192d0af90cdac8dcaa588c8a184c59c80c5997ec4987dcba286caa185c49b7fbc9377b58c70b38a6eb68d71ba9175b79371be9a78c7a381cca886ceaa88ceaa88ceaa88d0ac8acaa986cbaa87ccab88cead8ad0af8cd1b08dd3b28fd3b28fc9ad88cfb38ed4b893d4b893ceb28dc8ac87c5a984c4a883c6af86c5ae85c5ad87c6ae8ac8af90cbb294ceb499d0b69bcfb698cfb698d0b799cfb698ceb597ccb395cab193c8af91cab290ccb492ceb694d0b896d1b997d1b997d0b896d0b896dfceb2e9d8bca39276928165a69579bdac90a49377ac9b7fd6bca39f856cc9b197bea98ea28e739e8f728e7f62c9bb9ed4c0a8c2b098ac9e84847a5f5b5639525133545738474c2c4348283e43233a3f1f3b40204348284d5232555a3a595e3e4f59374e58364f59374d5735444e2c3e482647512f57613f57613f505a384d57354f59374c5634444e2c414b29444e2c353f1d394321404a28454f2d454f2d424c2a3e48263a4422374522364421404e2b4d5b38485633364421354320414f2c3f482b3b432c4249394d5347484e423a41313b432c4a5336464c403f45373b42303f47304851364b5435454f2d3c4623373f274e563e4d553d485038555d45525a42444c34444c343e462e545c44626a525a624a4c543c4a523a515941555d45484a3c4345373b3d2f30322427291b2527192a2c1e2f31233d412a2d311a1e210c1e210e282b1a3032243032252b2d201d250d3b432b3c442c384028272f171c240c3139213038201c240f1d25101f2712212914252d182e36213b432e444c3740442b3f432c41442f4548354649383e403230322524261b -6566616566615e5f5a565752595a5561625d5f605b5758535d5e5957585358595461625d6465605e5f5a5a5b565c5d5864656063645f5e5f5a5c5d5865666170716c6d6e6963645f62635e5f605b5d5e595f605b62635e64656062635e60615c5859546667627576717778736d6e6964656061625d63645f72736e6a6b6663645f6566616b6c676e6f6a696a6562635e6667626869646c6d686f706b71726d71726d70716c6f706b74757071726d6e6f6a6e6f6a6f706b6f706b6f706b6e6f6a5556516465606d6e69696a656768636a6b6668696460615c58585670726f6567647b7d7a696e6a5d625e868c88686e6a65645f55544f46454068676272716c5857525a5954585752625f5667645b53504763605763605756534a6e6b626e6b625e574d5b544a5d564c635c52635c52635c526c655b7871677c736a6f675c6d635765594b5d4d3e7766569683729684708f836b998a738f7f6889745f967d69967b68886b59856654a38d75bba58dc8b29abba58dad977fae9880b49e86b49e86867058b19b83c9b39be6d0b8d1bba3a28c74a38d759a846cad9b83a29078a49379a1907692816592816597866a8e7d5f685a3d65573a75674a8d7f628d7f6276684b6a5c3f6f61447a71546b6245625c3c595530514f28898c615f623561673945481b4e5126676941585a334d4f2a5455334c4d2d56573850572e5d643b4e552c5e653c4c532a646b424c532a444b22445123626f41737f5169724562683c6a6d426e6f4568693f6d79477981507d7c4e857e5290875c7e7a4d6f6e407b7e4f7c7e5753552e7577505d5f388d8f6841431c50522b3f411a5c62364a4c272c2c121a14061a110a251a142d20172d211523190d271d11291f13281e12251b0f22180c22180c23190d0a03002d271b4943353a35221a1501534f363430153c381b3137292e32243d3f322323171c1a0e2b271c211a102d241b282516211e0f211e0f2a27182b28192923152c2618363022311b0e4b33276c5245826658947767a88975b99885c3a08cb1977eb49a81b39980ac9279a58b72a78d74b2987fbda38abca289c0a68dc1a78ebba188b2987fad937aae947bb2987fa68c73957b6292785f997f66896f566c52396b513882684f8e745b957b628e745b7f654c82684f92785f91775e81674e92745cac8e76b2947c92745c6d4f376e503892745cb3957db1967bd8bda2d7bca1bfa489c2a78cc6ab90b79c81ad9277b2997bac8d70ac846ab88a70c99a7ed0a487c5a17fba9976c09270b78967b48664bf916fcd9f7dca9c7ab486649e704e9f7952a17e56ba98728e704a674f2974603b63512d4f3f1d6d5e494b3b2468583f3f2b127d684d70583cb2997bc3aa8bc1a28d97776097755aa17d5d8d65418d63399d7245986c3da77554bd8b6ac39170c89675d7a584d4a281cf9d7cdeac8bc2926ecb9b77d6a682deae8ae1b18ddfaf8bdeae8adeae8ae4bfa2dab598cba689bc997bb69375ba9779c3a283cbaa8be0bba0e1bca1e4bfa2e8c1a4e2bb9ed6af90d1a88ad1a88acba787d6b393dbbc9dd1b698b7a08198876b86775a7d6f54837d67afa792a49983877b6340321857482b705f419c896b6f573b7f6648ad9275a689698e704e886744815f3aa27e5a987a58b59775ccaf8fcaad8fd1b397f1d3bbe8ccb6b59983cdbdadc3b4a1c5b4a0b4a28cc3af97d0baa2bfa78ddac0a7d3b59dddbfa5e0c2a6d8bb9dd2b593d5b992d7bb93d5ba8fbe9c76e4c29df8d8b2d4b694b39978cab192cfb899a68f705f45345544305753386d70515552315d4c2eb49076d2a28cd1a686cea383ca9d7ec99a7ccd9c7ed3a284dca98ce1ae91d1b293caa98abd9c7db59073b58e71bc9377c79b80cfa388b39072ba9779c3a082c5a284be9b7db39072aa8769a58264b28c68b48e6abb9571c59f7bd0aa86d7b18dd9b38fd8b28ecba984ccaa85cdab86ceac87cfad88d0ae89d1af8ad2b08bc8aa84cdaf89d3b58fd4b690d1b38dcdaf89ccae88ccae88ceb78dcab38ac6af86c2aa86c2aa88c5ac8dcab193cdb496c8af90c9b091cab192cbb293cab192c9b091c8af90c6ad8ecab28ecbb38fcdb591cfb793d0b894d2ba96d2ba96d2ba96bfae94e7d6bc8e7d63a7967cbead93c3b298bdac92c9b89ec1ad92a7937897866a9182658c8163786f50726c4c9d9777a18874c9b39ec6b49e92866e68624851503444472a434a2b3e43253e43253c4123383d1f363b1d3b4022464b2d4f5436535d3b4b55334a54324e58364c5634434d2b454f2d4f593756603e46502e3e482646502e4c563447512f424c2a444e2c313b19323c1a353f1d3943213b45233a442237411f343e1c34421f303e1b394724475532414f2c303e1b33411e4654314a53383e462f3f45374c52484c52483d43353c442d495237444c373e463139412a3a422b404830444d32444d32414a2f3840284b533b464e363f472f4a523a474f37384028373f273139214149314a523a434b333c442c3f472f454d35474f374548334548334346313d402b363924353823393c273f422d393d2230341b2327101c1f0c1f221126281b27291e23241c2028112c341d444c35313922222a1329311a222a132f3720181f0d1b22101f26141f2614202715272e1c3239273a412f32361d3b3f28454833484b384245343537292a2c1f23251a -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -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 -60615c61625d5c5d585556515758535f605b5f605b57585351524d5b5c575f605b5d5e595e5f5a6465606566615f605b5a5b5663645f6c6d686b6c6761625d5859545758535a5b565c5d5860615c60615c5e5f5a6465606d6e696d6e696566615e5f5a66676268696465666168696470716c72736e6c6d686869646869646768636566616566616768636a6b666d6e696f706b7879746e6f6a66676270716c6d6e6968696475767177787376777275767174757073746f73746f73746f73746f6b6c676b6c676b6c676c6d686d6e696f706b71726d72736e74757074757073746f72736e73746f7677727b7c777f807b71726d7677727576716e6f6a6a6b666b6c67696a6564656065666162635e67686371726d71726d68696463645f6667625b5c5761625d62635e5f605b62635e696a65696a6561625d5c5d586b6c676d6e6962635e62635e6f706b70716c63645f6566615a5b566465606e6f6a6869646c6d686e6f6a5c5d58696a655b5c575e5f5a656661696a657c7d787f807b6566616c6d686a6b666a6b666a6b666a6b666869646b6c676e6f6a5c5d585f605b63645f65666165666165666165666165666167686364656061625d63645f67686368696463645f5e5f5a51524d5e5f5a6b6c676d6e696667625d5e5956575251524d5f605b5e5f5a6465606f706b73746f6b6c6762635e5d5e5965665e61625a5d5e565e5f5765665e6d6e6674756d7778706768636d6e696d6e696667626566616c6d686f706b6b6c675b5c5464655d6d6e6671726a6f70686b6c646b6c646c6d65706d66706d666f6c656d6a636b686168655e66635c65625b60594f746d63827b717c756b6e675d6e675d80796f928b818f81768f81768b7d727e70656d5f546153485d4f445d4f44574129715b447f6a5576645071604e736353695c4c574b3d5c594a5451424440342d292017120c0c07030d0805120d0a0c0c0a10110c23241f21221a17190e65675a3234262a2c1e2f281e30291f312a20322b21312a202e271d282117241d131d190e1e1a0f221b11251c13281e152e1f182f201932211a31271e2e241b2c22192e241b332920372d24372d24362c23352d203a30243e32263a2c2134231937251b47332a5b443c2f1e1439281e3b2a20503f3547362c4231275c4b4145342a2a211a23191050463d57493e4b3b2e614f41776455988576aa9580bca792b49f8a94826c93816bb1a18abeae97b1a18aae967ebaa28abea68eb39b83ae967eb79f87c0a890c3ab93b09c83b5a188b6a289b39f86b8a48bbfab92b9a58cac987f8d78639c8772af9a85baa590baa590b49f8aad9883aa9580ad9782b49e89bca691c0aa95bea893b7a18cb29c87ae9883a28879ab9182b69c8dbfa596c2a899c4aa9bc6ac9dc7ad9ec3ae91c5ad91c7a98fc5a189c1947fbc8976b98170b97e6eae8760a57e57a47a54b0845fc3936fc69471b6815fa46f4d96663e9e6e48a0724e956a47815a397753337c593d846246a68059b48d66b68c66aa7e59b0805ac18f6ac58f69b7815bb78a6b8f6042734426895639b07a5ebe866bab7257945b40915a4686523d7e4a357e4a35814e397d4a35703d2863301b5221017e4d2db18062c69479b3816886533e602d18511e0b461e05542c13603b2189654bc5a388ddbfa3dfc4a7edd2b5caac90d3b599d8ba9ed7b99ddabca0e1c3a7e0c2a6dabca0dab598d4af92caa588c29d80c09b7ec39e81c9a487cea98ccaae89ccb08bcfb38ed2b691d2b691d1b590d0b48fceb28dcaae89cbaf8accb08bcdb18ccdb18cccb08bcbaf8acaae89c8ac87c9ad88caae89cbaf8acbaf8accb08bceb28dcfb38ec8b188ccb58cd0b892ceb692c7af8dc3aa8cc4ab8dc7ad92ccb593cbb492c8b18fc5ae8cc1aa88bda684bba482b9a280bfac81c3ae83c3ac82c0a77ebda179bfa27ac8a881ceae87d4b07ecda977c39f6dbb9765ba9664bf9b69c7a371cda977cfb590ccb28dc4aa85bba17cb59b76b69c77bea47fc5ab86c3ad7ebca677b49e6fb19c6db39e6fb8a374bfad7dc6b484d4c1a1ccbc9bd2c1a3e4d6b9ede2c6e7ddc2ded7bdddd5beecd8c0cbb9a1b7a58dbdab93c2b299bcac93b9a990beae95c2b49ab9ab91b3a58bb2a48aafa187ab9d83b2a48abeb096ddccb0b3a286b5a488cebda1d9c8acdecdb1b09f835a492d6864475857394d4c304242282c2e161a1d08222512373c283e46312b331c2a321a3b44273a43242c3613353f1a4f59342e38152a34112933112f381939422540492e4149313e462f3d47243f49273c4528373f28383f2f3a4034323730252a242c382236422c28341c3d4a2e4452313543203c4a262938114a54314a5431404a28353e1f3d46294f583d505840434b3442512644532846552a48572c48572c4554294150253d4c212f381d373f27252d15373f27444c354149324f57403b432c30382339412c2d3520272f1a333b263038232b331e38402b424b30384126555d455f6750373f2a2d34243f4537393f3128292127282025261e23241c21221a24251d2f30283a3b333e3f374041392e2f2711120a0e0f071e1f171d1e160b0c0413140c1b1c142829213031292e2f2725261e1e1f171d1e161113061c1e1126281b25271a1e20131b1d10212316292b1e232a18272e1c2c33212d34222a311f262d1b232a18222917 -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 -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 -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 Index: main/tb/vhdl/HostBFM.vhd =================================================================== --- main/tb/vhdl/HostBFM.vhd (revision 23) +++ main/tb/vhdl/HostBFM.vhd (nonexistent) @@ -1,409 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : HostBFM.vhd --- --- Project : JPEG_ENC --- --- Module : HostBFM --- --- Content : Host BFM (Xilinx OPB v2.1) --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090301: (MK): Initial Creation. -------------------------------------------------------------------------------- - -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - use IEEE.STD_LOGIC_TEXTIO.ALL; - -library STD; - use STD.TEXTIO.ALL; - -library work; - use work.GPL_V2_Image_Pkg.ALL; - use WORK.MDCT_PKG.all; - use WORK.MDCTTB_PKG.all; - - -entity HostBFM is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- OPB - OPB_ABus : out std_logic_vector(31 downto 0); - OPB_BE : out std_logic_vector(3 downto 0); - OPB_DBus_in : out std_logic_vector(31 downto 0); - OPB_RNW : out std_logic; - OPB_select : out std_logic; - OPB_DBus_out : in std_logic_vector(31 downto 0); - OPB_XferAck : in std_logic; - OPB_retry : in std_logic; - OPB_toutSup : in std_logic; - OPB_errAck : in std_logic; - - -- HOST DATA - iram_wdata : out std_logic_vector(23 downto 0); - iram_wren : out std_logic; - fifo_almost_full : in std_logic; - - sim_done : out std_logic - ); -end entity HostBFM; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of HostBFM is - - signal num_comps : integer; - signal addr_inc : integer := 0; -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - - - ------------------------------------------------------------------- - -- code - ------------------------------------------------------------------- - p_code : process - - ----------------------------------------------------------------- - -- HOST WRITE - ----------------------------------------------------------------- - procedure host_write - ( - signal clk : in std_logic; - constant C_ADDR : in unsigned(31 downto 0); - constant C_WDATA : in unsigned(31 downto 0); - - signal OPB_ABus : out std_logic_vector(31 downto 0); - signal OPB_BE : out std_logic_vector(3 downto 0); - signal OPB_DBus_in : out std_logic_vector(31 downto 0); - signal OPB_RNW : out std_logic; - signal OPB_select : out std_logic; - signal OPB_XferAck : in std_logic - ) is - begin - OPB_ABus <= (others => '0'); - OPB_BE <= (others => '0'); - OPB_DBus_in <= (others => '0'); - OPB_RNW <= '0'; - OPB_select <= '0'; - - wait until rising_edge(clk); - - OPB_select <= '1'; - OPB_ABus <= std_logic_vector(C_ADDR); - OPB_RNW <= '0'; - OPB_BE <= X"F"; - OPB_DBus_in <= std_logic_vector(C_WDATA); - - wait until rising_edge(clk); - - while OPB_XferAck /= '1' loop - wait until rising_edge(clk); - end loop; - - OPB_ABus <= (others => '0'); - OPB_BE <= (others => '0'); - OPB_DBus_in <= (others => '0'); - OPB_RNW <= '0'; - OPB_select <= '0'; - - assert false - report CR&"Host write access, address = " & HexImage(C_ADDR) & ",data written = " & HexImage(C_WDATA) &CR - severity note; - - wait until rising_edge(clk); - - end procedure host_write; - - ----------------------------------------------------------------- - -- HOST READ - ----------------------------------------------------------------- - procedure host_read - ( - signal clk : in std_logic; - constant C_ADDR : in unsigned(31 downto 0); - variable RDATA : out unsigned(31 downto 0); - - signal OPB_ABus : out std_logic_vector(31 downto 0); - signal OPB_BE : out std_logic_vector(3 downto 0); - signal OPB_DBus_out : in std_logic_vector(31 downto 0); - signal OPB_RNW : out std_logic; - signal OPB_select : out std_logic; - signal OPB_XferAck : in std_logic - ) - is - variable data_r : std_logic_vector(31 downto 0); - begin - OPB_ABus <= (others => '0'); - OPB_BE <= (others => '0'); - OPB_DBus_in <= (others => '0'); - OPB_RNW <= '0'; - OPB_select <= '0'; - - wait until rising_edge(clk); - - OPB_select <= '1'; - OPB_ABus <= std_logic_vector(C_ADDR); - OPB_RNW <= '1'; - OPB_BE <= X"F"; - - wait until rising_edge(clk); - - while OPB_XferAck /= '1' loop - wait until rising_edge(clk); - end loop; - - RDATA := unsigned(OPB_DBus_out); - data_r := OPB_DBus_out; - - OPB_ABus <= (others => '0'); - OPB_BE <= (others => '0'); - OPB_DBus_in <= (others => '0'); - OPB_RNW <= '0'; - OPB_select <= '0'; - - assert false - report CR&"Host read access, address = " & HexImage(C_ADDR) & ",data read = " & HexImage(data_r) &CR - severity note; - - - wait until rising_edge(clk); - - end procedure host_read; - - - -------------------------------------- - -- read text image data - -------------------------------------- - procedure read_image is - file infile : TEXT open read_mode is "test.txt"; - constant N : integer := 8; - constant MAX_COMPS : integer := 3; - variable inline : LINE; - variable tmp_int : INTEGER := 0; - variable y_size : INTEGER := 0; - variable x_size : INTEGER := 0; - variable matrix : I_MATRIX_TYPE; - variable x_blk_cnt : INTEGER := 0; - variable y_blk_cnt : INTEGER := 0; - variable n_lines_arr : N_LINES_TYPE; - variable line_n : INTEGER := 0; - variable pix_n : INTEGER := 0; - variable x_n : INTEGER := 0; - variable y_n : INTEGER := 0; - variable data_word : unsigned(31 downto 0); - variable image_line : STD_LOGIC_VECTOR(0 to MAX_COMPS*MAX_IMAGE_SIZE_X*IP_W-1); - - constant C_IMAGE_RAM_BASE : unsigned(31 downto 0) := X"0010_0000"; - - variable x_cnt : integer; - variable data_word2 : unsigned(31 downto 0); - variable num_comps_v : integer; - begin - READLINE(infile,inline); - READ(inline,num_comps_v); - READLINE(infile,inline); - READ(inline,y_size); - READLINE(infile,inline); - READ(inline,x_size); - - num_comps <= num_comps_v; - - if y_size rem N > 0 then - assert false - report "E03: Image height dimension is not multiply of 8!" - severity Failure; - end if; - if x_size rem N > 0 then - assert false - report "E03: Image width dimension is not multiply of 8!" - severity Failure; - end if; - - addr_inc <= 0; - - -- image size - host_write(CLK, X"0000_0004", to_unsigned(x_size,16) & to_unsigned(y_size,16), - OPB_ABus, OPB_BE, OPB_DBus_in, OPB_RNW, OPB_select, OPB_XferAck); - - iram_wren <= '0'; - for y_n in 0 to y_size-1 loop - READLINE(infile,inline); - HREAD(inline,image_line(0 to num_comps*x_size*IP_W-1)); - x_cnt := 0; - for x_n in 0 to x_size-1 loop - data_word := X"00" & UNSIGNED(image_line(x_cnt to x_cnt+num_comps*IP_W-1)); - data_word2(7 downto 0) := data_word(23 downto 16); - data_word2(15 downto 8) := data_word(15 downto 8); - data_word2(23 downto 16) := data_word(7 downto 0); - - iram_wren <= '0'; - iram_wdata <= (others => 'X'); - while(fifo_almost_full = '1') loop - wait until rising_edge(clk); - end loop; - - --for i in 0 to 20 loop - -- wait until rising_edge(clk); - --end loop; - - --iram_addr <= std_logic_vector(to_unsigned(addr_inc,20)); - iram_wren <= '1'; - iram_wdata <= std_logic_vector(data_word2(23 downto 0)); - wait until rising_edge(clk); - - x_cnt := x_cnt + num_comps*IP_W; - - addr_inc <= addr_inc + 1; - end loop; - end loop; - iram_wren <= '0'; - - end read_image; - - ------------------ - type ROMQ_TYPE is array (0 to 64-1) - of unsigned(7 downto 0); - - constant qrom : ROMQ_TYPE := - ( - -- 100% - --others => X"01" - - -- 85% - --X"05", X"03", X"04", X"04", - --X"04", X"03", X"05", X"04", - --X"04", X"04", X"05", X"05", - --X"05", X"06", X"07", X"0C", - --X"08", X"07", X"07", X"07", - --X"07", X"0F", X"0B", X"0B", - --X"09", X"0C", X"11", X"0F", - --X"12", X"12", X"11", X"0F", - --X"11", X"11", X"13", X"16", - --X"1C", X"17", X"13", X"14", - --X"1A", X"15", X"11", X"11", - --X"18", X"21", X"18", X"1A", - --X"1D", X"1D", X"1F", X"1F", - --X"1F", X"13", X"17", X"22", - --X"24", X"22", X"1E", X"24", - --X"1C", X"1E", X"1F", X"1E" - - -- 75% - --X"08", X"06", X"06", X"07", X"06", X"05", X"08", X"07", X"07", X"07", X"09", X"09", X"08", X"0A", X"0C", X"14", - --X"0D", X"0C", X"0B", X"0B", X"0C", X"19", X"12", X"13", X"0F", X"14", X"1D", X"1A", X"1F", X"1E", X"1D", X"1A", - --X"1C", X"1C", X"20", X"24", X"2E", X"27", X"20", X"22", X"2C", X"23", X"1C", X"1C", X"28", X"37", X"29", X"2C", - --X"30", X"31", X"34", X"34", X"34", X"1F", X"27", X"39", X"3D", X"38", X"32", X"3C", X"2E", X"33", X"34", X"32" - - -- 15 % - --X"35", X"25", X"28", X"2F", - --X"28", X"21", X"35", X"2F", - --X"2B", X"2F", X"3C", X"39", - --X"35", X"3F", X"50", X"85", - --X"57", X"50", X"49", X"49", - --X"50", X"A3", X"75", X"7B", - --X"61", X"85", X"C1", X"AA", - --X"CB", X"C8", X"BE", X"AA", - --X"BA", X"B7", X"D5", X"F0", - --X"FF", X"FF", X"D5", X"E2", - --X"FF", X"E6", X"B7", X"BA", - --X"FF", X"FF", X"FF", X"FF", - --X"FF", X"FF", X"FF", X"FF", - --X"FF", X"CE", X"FF", X"FF", - --X"FF", X"FF", X"FF", X"FF", - --X"FF", X"FF", X"FF", X"FF" - - -- 50% - X"10", X"0B", X"0C", X"0E", X"0C", X"0A", X"10", X"0E", - X"0D", X"0E", X"12", X"11", X"10", X"13", X"18", X"28", - X"1A", X"18", X"16", X"16", X"18", X"31", X"23", X"25", - X"1D", X"28", X"3A", X"33", X"3D", X"3C", X"39", X"33", - X"38", X"37", X"40", X"48", X"5C", X"4E", X"40", X"44", - X"57", X"45", X"37", X"38", X"50", X"6D", X"51", X"57", - X"5F", X"62", X"67", X"68", X"67", X"3E", X"4D", X"71", - X"79", X"70", X"64", X"78", X"5C", X"65", X"67", X"63" - ); - - variable data_read : unsigned(31 downto 0); - variable data_write : unsigned(31 downto 0); - variable addr : unsigned(31 downto 0); - - - ------------------------------------------------------------------------------ - -- BEGIN - ------------------------------------------------------------------------------ - begin - sim_done <= '0'; - iram_wren <= '0'; - - while RST /= '0' loop - wait until rising_edge(clk); - end loop; - - for i in 0 to 100 loop - wait until rising_edge(clk); - end loop; - - - - host_read(CLK, X"0000_0000", data_read, - OPB_ABus, OPB_BE, OPB_DBus_out, OPB_RNW, OPB_select, OPB_XferAck); - - - host_read(CLK, X"0000_0004", data_read, - OPB_ABus, OPB_BE, OPB_DBus_out, OPB_RNW, OPB_select, OPB_XferAck); - - -- write quantization table - for i in 0 to 64-1 loop - data_write := X"0000_00" & qrom(i); - addr := X"0000_0100" + to_unsigned(4*i,32); - -- SOF & num_comps - host_write(CLK, addr, data_write, - OPB_ABus, OPB_BE, OPB_DBus_in, OPB_RNW, OPB_select, OPB_XferAck); - - end loop; - - - - data_write := to_unsigned(1,32) + shift_left(to_unsigned(3,32),1); - - -- SOF & num_comps - host_write(CLK, X"0000_0000", data_write, - OPB_ABus, OPB_BE, OPB_DBus_in, OPB_RNW, OPB_select, OPB_XferAck); - - -- write BUF_FIFO with bitmap - read_image; - - -- wait until JPEG encoding is done - host_read(CLK, X"0000_000C", data_read, - OPB_ABus, OPB_BE, OPB_DBus_out, OPB_RNW, OPB_select, OPB_XferAck); - while data_read /= 2 loop - host_read(CLK, X"0000_000C", data_read, - OPB_ABus, OPB_BE, OPB_DBus_out, OPB_RNW, OPB_select, OPB_XferAck); - end loop; - - sim_done <= '1'; - - wait; - - end process; - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/tb/vhdl/ClkGen.vhd =================================================================== --- main/tb/vhdl/ClkGen.vhd (revision 23) +++ main/tb/vhdl/ClkGen.vhd (nonexistent) @@ -1,75 +0,0 @@ - - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity ClkGen is - port ( - CLK : out std_logic; - RST : out std_logic - ); -end entity ClkGen; - - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture ClkGen_rtl of ClkGen is - - - constant CLOCK_PERIOD : time := 10 ns; - - signal clk_s : std_logic := '0'; - signal rst_s : std_logic := '0'; - - -begin - - -- Clock generator (50% duty cycle) - clk_gen: process - begin - clk_s <= '0'; - wait for CLOCK_PERIOD/2; - clk_s <= '1'; - wait for CLOCK_PERIOD/2; - end process clk_gen; - - CLK <= clk_s; - - - reset_gen: process - begin - wait until rising_edge(clk_s); - rst_s <= '0'; - wait until rising_edge(clk_s); - rst_s <= '1'; - wait until rising_edge(clk_s); - rst_s <= '0'; - wait; - end process reset_gen; - - RST <= rst_s; - - -end architecture ClkGen_rtl; \ No newline at end of file Index: main/tb/vhdl/RAMSIM.VHD =================================================================== --- main/tb/vhdl/RAMSIM.VHD (revision 23) +++ main/tb/vhdl/RAMSIM.VHD (nonexistent) @@ -1,77 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- -- --- Title : RAMZ -- --- Design : MDCT -- --- Author : Michal Krepa -- -- -- --- -- --------------------------------------------------------------------------------- --- --- File : RAMZ.VHD --- Created : Sat Mar 5 7:37 2006 --- --------------------------------------------------------------------------------- --- --- Description : RAM memory simulation model --- --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use IEEE.NUMERIC_STD.all; - -entity RAMSIM is - generic - ( - RAMADDR_W : INTEGER := 6; - RAMDATA_W : INTEGER := 12 - ); - port ( - d : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - waddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); - raddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); - we : in STD_LOGIC; - clk : in STD_LOGIC; - - q : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0) - ); -end RAMSIM; - -architecture RTL of RAMSIM is - type mem_type is array ((2**RAMADDR_W)-1 downto 0) of - STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - - signal read_addr : STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); - -begin - - - ------------------------------------------------------------------------------- - read_proc: -- register read address - ------------------------------------------------------------------------------- - process (clk) - begin - if clk = '1' and clk'event then - read_addr <= raddr; - end if; - end process; - - ------------------------------------------------------------------------------- - write_proc: --write access - ------------------------------------------------------------------------------- - process (clk) - variable mem : mem_type; - begin - if clk = '1' and clk'event then - if we = '1' then - mem(TO_INTEGER(UNSIGNED(waddr))) := d; - end if; - q <= mem(TO_INTEGER(UNSIGNED(raddr))); - end if; - end process; - -end RTL; \ No newline at end of file Index: main/tb/vhdl/JPEG_TB.VHD =================================================================== --- main/tb/vhdl/JPEG_TB.VHD (revision 23) +++ main/tb/vhdl/JPEG_TB.VHD (nonexistent) @@ -1,210 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- --- Title : JPEG_TB --- Design : JPEG_ENC --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : JPEG_TB.VHD --- Created : Sun Mar 1 2009 --- --------------------------------------------------------------------------------- --- --- Description : Testbench top-level --- --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use ieee.numeric_std.all; - use IEEE.STD_LOGIC_TEXTIO.ALL; - -library STD; - use STD.TEXTIO.ALL; - -library work; - use work.GPL_V2_Image_Pkg.ALL; - use WORK.MDCT_PKG.all; - use WORK.MDCTTB_PKG.all; - -entity JPEG_TB is -end JPEG_TB; - ---**************************************************************************-- - -architecture TB of JPEG_TB is - - type char_file is file of character; - - file f_capture : text; - file f_capture_bin : char_file; - constant CAPTURE_ORAM : string := "OUT_RAM.txt"; - constant CAPTURE_BIN : string := "test_out.jpg"; - - signal CLK : STD_LOGIC; - signal RST : STD_LOGIC; - - signal ram_rdaddr : std_logic_vector(23 downto 0); - signal ram_q : std_logic_vector(7 downto 0); - signal ram_byte : std_logic_vector(7 downto 0); - signal ram_wren : std_logic; - signal ram_wraddr : std_logic_vector(23 downto 0); - - signal OPB_ABus : std_logic_vector(31 downto 0); - signal OPB_BE : std_logic_vector(3 downto 0); - signal OPB_DBus_in : std_logic_vector(31 downto 0); - signal OPB_RNW : std_logic; - signal OPB_select : std_logic; - signal OPB_DBus_out : std_logic_vector(31 downto 0); - signal OPB_XferAck : std_logic; - signal OPB_retry : std_logic; - signal OPB_toutSup : std_logic; - signal OPB_errAck : std_logic; - signal iram_waddr : std_logic_vector(19 downto 0); - signal iram_raddr : std_logic_vector(19 downto 0); - signal iram_wdata : std_logic_vector(23 downto 0); - signal iram_rdata : std_logic_vector(23 downto 0); - signal iram_wren : std_logic; - signal iram_rden : std_logic; - signal sim_done : std_logic; - signal iram_fifo_afull : std_logic; - ------------------------------- --- architecture begin ------------------------------- -begin - - - - - ------------------------------ - -- CLKGEN map - ------------------------------ - U_ClkGen : entity work.ClkGen - port map - ( - CLK => CLK, - RST => RST - ); - - ------------------------------ - -- HOST Bus Functional Model - ------------------------------ - U_HostBFM : entity work.HostBFM - port map - ( - CLK => CLK, - RST => RST, - -- OPB - OPB_ABus => OPB_ABus, - OPB_BE => OPB_BE, - OPB_DBus_in => OPB_DBus_in, - OPB_RNW => OPB_RNW, - OPB_select => OPB_select, - OPB_DBus_out => OPB_DBus_out, - OPB_XferAck => OPB_XferAck, - OPB_retry => OPB_retry, - OPB_toutSup => OPB_toutSup, - OPB_errAck => OPB_errAck, - - -- IRAM - iram_wdata => iram_wdata, - iram_wren => iram_wren, - fifo_almost_full => iram_fifo_afull, - - sim_done => sim_done - ); - - ------------------------------ - -- JPEG ENCODER - ------------------------------ - U_JpegEnc : entity work.JpegEnc - port map - ( - CLK => CLK, - RST => RST, - - -- OPB - OPB_ABus => OPB_ABus, - OPB_BE => OPB_BE, - OPB_DBus_in => OPB_DBus_in, - OPB_RNW => OPB_RNW, - OPB_select => OPB_select, - OPB_DBus_out => OPB_DBus_out, - OPB_XferAck => OPB_XferAck, - OPB_retry => OPB_retry, - OPB_toutSup => OPB_toutSup, - OPB_errAck => OPB_errAck, - - -- IMAGE RAM - iram_wdata => iram_wdata, - iram_wren => iram_wren, - iram_fifo_afull => iram_fifo_afull, - - -- OUT RAM - ram_byte => ram_byte, - ram_wren => ram_wren, - ram_wraddr => ram_wraddr - ); - - ------------------------------------------------------------------- - -- OUT RAM - ------------------------------------------------------------------- - U_OUT_RAM : entity work.RAMSIM - generic map - ( - RAMADDR_W => 18, - RAMDATA_W => 8 - ) - port map - ( - d => ram_byte, - waddr => ram_wraddr(17 downto 0), - raddr => ram_rdaddr(17 downto 0), - we => ram_wren, - clk => CLK, - - q => ram_q - ); - - - p_capture : process - variable fLine : line; - variable fLine_bin : line; - begin - file_open(f_capture, CAPTURE_ORAM, write_mode); - file_open(f_capture_bin, CAPTURE_BIN, write_mode); - - while sim_done /= '1' loop - wait until rising_edge(CLK); - - if ram_wren = '1' then - hwrite(fLine, ram_byte); - write(fLine, string'(" ")); - - write(f_capture_bin, CHARACTER'VAL(to_integer(unsigned(ram_byte)))); - - end if; - - end loop; - writeline(f_capture, fLine); - --writeline(f_capture_bin, fLine_bin); - - file_close(f_capture); - file_close(f_capture_bin); - - wait; - end process; - - -end TB; ------------------------------------ - - ---**************************************************************************-- Index: main/tb/vhdl/DCT_TROM.vhd =================================================================== --- main/tb/vhdl/DCT_TROM.vhd (revision 23) +++ main/tb/vhdl/DCT_TROM.vhd (nonexistent) @@ -1,143 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- --- Title : DCT --- Design : MDCT Core --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : DCT_TROM.VHD --- Created : Sun Aug 27 18:09 2006 --- --------------------------------------------------------------------------------- --- --- Description : ROM for DCT quantizer matrix --- --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use ieee.numeric_std.all; - -entity DCT_TROM is - generic - ( - ROMADDR_W : INTEGER := 9; - ROMDATA_W : INTEGER := 8 - ); - port( - addr : in STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); - clk : in STD_LOGIC; - - datao : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0) - ); - -end DCT_TROM; - -architecture RTL of DCT_TROM is - - type DCT_TROM_TYPE is array (0 to 2**ROMADDR_W-1) - of INTEGER; - - constant rom : DCT_TROM_TYPE := - -- ( - -- 16,11,10,16,24,40,51,61, - -- 12,12,14,19,26,58,60,55, - -- 14,13,16,24,40,57,69,56, - -- 14,17,22,29,51,87,80,62, - -- 18,22,37,56,68,109,103,77, - -- 24,35,55,64,81,104,113,92, - -- 49,64,78,87,103,121,120,101, - -- 72,92,95,98,112,100,103,99); - ( - -280, 48, -20, 16, -26, 46, -42, 27, - 45, 12, -34, -31, 11, -1, 16, -44, - -5, -63, -34, 36, 24, -27, 6, 1, - -12, 12, -8, 34, 5, 2, -12, 5, - 22, -18, 15, 9, 12, -5, 1, -11, - 1, 10, 6, 12, -15, -11, -5, -10, - 5, -16, -4, 10, -1, -11, -5, -11, - -9, 11, 5, -3, -14, 4, 0, 0, - -213, -110, -78, 38, 32, 2, -1, -9, - 28, 62, -7, -7, 22, -11, 5, 7, - 85, -21, 33, -28, -37, 36, -11, 5, - -34, -18, 2, -24, 8, -12, -11, -8, - -13, 8, 39, -63, 27, 0, 1, -4, - -32, -4, -8, 24, -22, 11, 20, -4, - -12, 8, 43, 41, -16, -12, 4, -10, - -11, 14, 15, 7, -11, 9, -32, 0, - -225, 10, 25, 18, -30, 18, -14, 7, - 44, -13, -93, -7, 20, -7, 5, -11, - -88, -53, 6, 36, 2, 1, 22, 2, - -46, -10, 17, 23, 16, 32, -7, 8, - 66, 46, -10, -3, -17, 4, -5, -5, - -51, -18, -9, 6, 37, 15, 23, -4, - -21, 22, 44, 49, 25, 21, 1, -12, - 25, 12, -5, -2, -19, -8, -15, 0, - 390, -97, -41, -15, 20, 6, 0, 12, - 4, -62, 21, -5, -31, -7, -3, -20, - -352, 44, 27, 36, 35, 6, 5, 10, - 33, 48, 48, 14, -8, 14, 10, -9, - -95, 108, 5, 1, -11, -23, -20, 1, - 54, -7, -43, -32, -15, 3, 9, 3, - -42, 57, -32, -19, -4, 6, 5, -3, - 23, -31, -22, -1, 19, 24, 22, 1, - -14, 148, 70, 67, 54, 30, 2, -10, - 76, 20, 20, -39, 14, -10, -8, -11, - -86, -65, -15, -33, -33, -38, -2, 10, - 61, 20, 50, 18, -15, -25, -23, 2, - 11, -3, 12, 12, 15, 8, -18, -5, - -13, -14, -13, 16, 34, 15, -22, -18, - -8, -13, -3, 11, 19, 26, 9, -5, - 1, 1, 2, -9, -11, 2, 7, 0, - -317, -9, 63, 17, 10, -26, 1, -11, - 159, -41, -29, 42, -3, 21, 11, 1, - -6, -13, -18, 9, -19, 5, 15, 7, - -8, -9, -11, 16, -4, -1, -12, -3, - 1, 15, -1, 3, -13, -8, 5, -1, - -9, 3, 2, 5, 7, -6, 12, -11, - -3, 1, -6, 1, -5, -4, 9, 6, - 3, 7, 7, 3, -3, -5, -2, 0, - - - -404, 148, 70, 67, 54, 30, 2, -10, - 76, 20, 20, -39, 14, -10, -8, -11, - -86, -65, -15, -33, -33, -38, -2, 10, - 61, 20, 50, 18, -15, -25, -23, 2, - 11, -3, 12, 12, 15, 8, -18, -5, - -13, -14, -13, 16, 34, 15, -22, -18, - -8, -13, -3, 11, 19, 26, 9, -5, - 1, 1, 2, -9, -11, 2, 7, 0, - -404, 148, 70, 67, 54, 30, 2, -10, - 76, 20, 20, -39, 14, -10, -8, -11, - -86, -65, -15, -33, -33, -38, -2, 10, - 61, 20, 50, 18, -15, -25, -23, 2, - 11, -3, 12, 12, 15, 8, -18, -5, - -13, -14, -13, 16, 34, 15, -22, -18, - -8, -13, -3, 11, 19, 26, 9, -5, - 1, 1, 2, -9, -11, 2, 7, 0 - - - - ); - - - - signal addr_reg : STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); -begin - - datao <= STD_LOGIC_VECTOR(TO_SIGNED( rom( TO_INTEGER(UNSIGNED(addr_reg)) ), ROMDATA_W)); - - process(clk) - begin - if clk = '1' and clk'event then - addr_reg <= addr; - end if; - end process; - -end RTL; Index: main/tb/vhdl/GPL_V2_Image_pkg.vhd =================================================================== --- main/tb/vhdl/GPL_V2_Image_pkg.vhd (revision 23) +++ main/tb/vhdl/GPL_V2_Image_pkg.vhd (nonexistent) @@ -1,304 +0,0 @@ ------------------------------------------------------------------ --- Copyright (c) 1997 Ben Cohen. All rights reserved. --- email: vhdlcohen@aol.com --- --- This program is free software; you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published --- by the Free Software Foundation; either version 2 of the License, --- or (at your option) any later version. - --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty --- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. --- See the GNU General Public License for more details. - - --- UPDATE: 8/22/02 --- Add to HexImage the supply of hex 'Z' --- in the case statement when a binary set of 4 bits = "ZZZZ" - ---------------------------------------------------------------- - --- Note: 2006.08.11: (FB): modified package name to fit the structure of the --- project and to highlight the license. - -library IEEE; - use IEEE.Std_Logic_1164.all; - use IEEE.Std_Logic_TextIO.all; - use ieee.numeric_std.all; - -- use IEEE.Std_Logic_Arith.all; - -library Std; - use STD.TextIO.all; - ---package Image_Pkg is -package GPL_V2_Image_Pkg is - function Image(In_Image : Time) return String; - function Image(In_Image : Bit) return String; - function Image(In_Image : Bit_Vector) return String; - function Image(In_Image : Integer) return String; - function Image(In_Image : Real) return String; - function Image(In_Image : Std_uLogic) return String; - function Image(In_Image : Std_uLogic_Vector) return String; - function Image(In_Image : Std_Logic_Vector) return String; - function Image(In_Image : Signed) return String; - function Image(In_Image : UnSigned) return String; - - function HexImage(InStrg : String) return String; - function HexImage(In_Image : Bit_Vector) return String; - function HexImage(In_Image : Std_uLogic_Vector) return String; - function HexImage(In_Image : Std_Logic_Vector) return String; - function HexImage(In_Image : Signed) return String; - function HexImage(In_Image : UnSigned) return String; - - function DecImage(In_Image : Bit_Vector) return String; - function DecImage(In_Image : Std_uLogic_Vector) return String; - function DecImage(In_Image : Std_Logic_Vector) return String; - function DecImage(In_Image : Signed) return String; - function DecImage(In_Image : UnSigned) return String; -end GPL_V2_Image_Pkg; ---end Image_Pkg; - ---package body Image_Pkg is -package body GPL_V2_Image_Pkg is - function Image(In_Image : Time) return String is - variable L : Line; -- access type - variable W : String(1 to 14) := (others => ' '); - -- Long enough to hold a time string - begin - -- the WRITE procedure creates an object with "NEW". - -- L is passed as an output of the procedure. - Std.TextIO.WRITE(L, in_image); - -- Copy L.all onto W - W(L.all'range) := L.all; - Deallocate(L); - return W; - end Image; - - function Image(In_Image : Bit) return String is - variable L : Line; -- access type - variable W : String(1 to 3) := (others => ' '); - begin - Std.TextIO.WRITE(L, in_image); - W(L.all'range) := L.all; - Deallocate(L); - return W; - end Image; - - function Image(In_Image : Bit_Vector) return String is - variable L : Line; -- access type - variable W : String(1 to In_Image'length) := (others => ' '); - begin - Std.TextIO.WRITE(L, in_image); - W(L.all'range) := L.all; - Deallocate(L); - return W; - end Image; - - function Image(In_Image : Integer) return String is - variable L : Line; -- access type - variable W : String(1 to 32) := (others => ' '); - -- Long enough to hold a time string - begin - Std.TextIO.WRITE(L, in_image); - W(L.all'range) := L.all; - Deallocate(L); - return W; - end Image; - - function Image(In_Image : Real) return String is - variable L : Line; -- access type - variable W : String(1 to 32) := (others => ' '); - -- Long enough to hold a time string - begin - Std.TextIO.WRITE(L, in_image); - W(L.all'range) := L.all; - Deallocate(L); - return W; - end Image; - - function Image(In_Image : Std_uLogic) return String is - variable L : Line; -- access type - variable W : String(1 to 3) := (others => ' '); - begin - IEEE.Std_Logic_Textio.WRITE(L, in_image); - W(L.all'range) := L.all; - Deallocate(L); - return W; - end Image; - - function Image(In_Image : Std_uLogic_Vector) return String is - variable L : Line; -- access type - variable W : String(1 to In_Image'length) := (others => ' '); - begin - IEEE.Std_Logic_Textio.WRITE(L, in_image); - W(L.all'range) := L.all; - Deallocate(L); - return W; - end Image; - - function Image(In_Image : Std_Logic_Vector) return String is - variable L : Line; -- access type - variable W : String(1 to In_Image'length) := (others => ' '); - begin - IEEE.Std_Logic_TextIO.WRITE(L, In_Image); - W(L.all'range) := L.all; - Deallocate(L); - return W; - end Image; - - function Image(In_Image : Signed) return String is - begin - return Image(Std_Logic_Vector(In_Image)); - end Image; - - function Image(In_Image : UnSigned) return String is - begin - return Image(Std_Logic_Vector(In_Image)); - end Image; - - function HexImage(InStrg : String) return String is - subtype Int03_Typ is Integer range 0 to 3; - variable Result : string(1 to ((InStrg'length - 1)/4)+1) := - (others => '0'); - variable StrTo4 : string(1 to Result'length * 4) := - (others => '0'); - variable MTspace : Int03_Typ; -- Empty space to fill in - variable Str4 : String(1 to 4); - variable Group_v : Natural := 0; - begin - MTspace := Result'length * 4 - InStrg'length; - StrTo4(MTspace + 1 to StrTo4'length) := InStrg; -- padded with '0' - Cnvrt_Lbl : for I in Result'range loop - Group_v := Group_v + 4; -- identifies end of bit # in a group of 4 - Str4 := StrTo4(Group_v - 3 to Group_v); -- get next 4 characters - case Str4 is - when "0000" => Result(I) := '0'; - when "0001" => Result(I) := '1'; - when "0010" => Result(I) := '2'; - when "0011" => Result(I) := '3'; - when "0100" => Result(I) := '4'; - when "0101" => Result(I) := '5'; - when "0110" => Result(I) := '6'; - when "0111" => Result(I) := '7'; - when "1000" => Result(I) := '8'; - when "1001" => Result(I) := '9'; - when "1010" => Result(I) := 'A'; - when "1011" => Result(I) := 'B'; - when "1100" => Result(I) := 'C'; - when "1101" => Result(I) := 'D'; - when "1110" => Result(I) := 'E'; - when "1111" => Result(I) := 'F'; - when "ZZZZ" => Result(I) := 'Z'; -- added 8/23/02 - when others => Result(I) := 'X'; - end case; -- Str4 - end loop Cnvrt_Lbl; - - return Result; - end HexImage; - - - function HexImage(In_Image : Bit_Vector) return String is - begin - return HexImage(Image(In_Image)); - end HexImage; - - function HexImage(In_Image : Std_uLogic_Vector) return String is - begin - return HexImage(Image(In_Image)); - end HexImage; - - function HexImage(In_Image : Std_Logic_Vector) return String is - begin - return HexImage(Image(In_Image)); - end HexImage; - - function HexImage(In_Image : Signed) return String is - begin - return HexImage(Image(In_Image)); - end HexImage; - - function HexImage(In_Image : UnSigned) return String is - begin - return HexImage(Image(In_Image)); - end HexImage; - - function DecImage(In_Image : Bit_Vector) return String is - variable In_Image_v : Bit_Vector(In_Image'length downto 1) := In_Image; - begin - if In_Image'length > 31 then - assert False - report "Number too large for Integer, clipping to 31 bits" - severity Warning; - return Image(To_integer - (Unsigned(To_StdLogicVector - (In_Image_v(31 downto 1))))); - else - return Image(To_integer(Unsigned(To_StdLogicVector(In_Image)))); - end if; - end DecImage; - - function DecImage(In_Image : Std_uLogic_Vector) return String is - variable In_Image_v : Std_uLogic_Vector(In_Image'length downto 1) - := In_Image; - begin - if In_Image'length > 31 then - assert False - report "Number too large for Integer, clipping to 31 bits" - severity Warning; - return Image(To_integer(Unsigned(In_Image_v(31 downto 1)))); - else - return Image(To_integer(Unsigned(In_Image))); - end if; - end DecImage; - - function DecImage(In_Image : Std_Logic_Vector) return String is - variable In_Image_v : Std_Logic_Vector(In_Image'length downto 1) - := In_Image; - begin - if In_Image'length > 31 then - assert False - report "Number too large for Integer, clipping to 31 bits" - severity Warning; - return Image(To_integer(Unsigned(In_Image_v(31 downto 1)))); - else - return Image(To_integer(Unsigned(In_Image))); - end if; - end DecImage; - - function DecImage(In_Image : Signed) return String is - variable In_Image_v : Signed(In_Image'length downto 1) := In_Image; - begin - if In_Image'length > 31 then - assert False - report "Number too large for Integer, clipping to 31 bits" - severity Warning; - return Image(To_integer(In_Image_v(31 downto 1))); - else - return Image(To_integer(In_Image)); - end if; - end DecImage; - - function DecImage(In_Image : UnSigned) return String is - variable In_Image_v : UnSigned(In_Image'length downto 1) := In_Image; - begin - if In_Image'length > 31 then - assert False - report "Number too large for Integer, clipping to 31 bits" - severity Warning; - return Image(To_integer(In_Image_v(31 downto 1))); - else - return Image(To_integer(In_Image)); - end if; - end DecImage; - -end GPL_V2_Image_Pkg; ---end Image_Pkg; - - - - - - - - Index: main/tb/vhdl/MDCTTB_PKG.vhd =================================================================== --- main/tb/vhdl/MDCTTB_PKG.vhd (revision 23) +++ main/tb/vhdl/MDCTTB_PKG.vhd (nonexistent) @@ -1,468 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- --- Title : MDCTTB_PKG --- Design : MDCT Core --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : MDCTTB_PKG.VHD --- Created : Sat Mar 5 2006 --- --------------------------------------------------------------------------------- --- --- Description : Package for testbench simulation --- --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use IEEE.STD_LOGIC_ARITH.all; --- use IEEE.NUMERIC_STD.all; - use IEEE.MATH_REAL.all; - -library STD; - use STD.TEXTIO.all; - -library WORK; - use WORK.MDCT_PKG.all; - -package MDCTTB_PKG is - - ---------------------------------------------- - -- constant section 1 - ---------------------------------------------- - constant MAX_IMAGE_SIZE_X : INTEGER := 1280; - constant MAX_IMAGE_SIZE_Y : INTEGER := 1280; - ---------------------------------------------- - -- type section - ---------------------------------------------- - type MATRIX_TYPE is array (0 to N-1,0 TO N-1) of REAL; - type I_MATRIX_TYPE is array (0 to N-1,0 TO N-1) of INTEGER; - type COEM_TYPE is array (0 to N/2-1, 0 to N/2-1) - of SIGNED(ROMDATA_W-1 downto 0); - type VECTOR4 is array (0 to N/2-1) of REAL; - type N_LINES_TYPE is array (0 to N-1) - of STD_LOGIC_VECTOR(0 to MAX_IMAGE_SIZE_X*IP_W-1); - type IMAGE_TYPE is array (0 to MAX_IMAGE_SIZE_Y-1, - 0 to MAX_IMAGE_SIZE_X-1) of INTEGER; - - ---------------------------------------------- - -- function section - ---------------------------------------------- - procedure CMP_MATRIX(ref_matrix : in I_MATRIX_TYPE; - dcto_matrix : in I_MATRIX_TYPE; - max_error : in INTEGER; - error_matrix : out I_MATRIX_TYPE; - error_cnt : inout INTEGER); - function STR(int: INTEGER; base: INTEGER) return STRING; - function COMPUTE_REF_DCT1D(input_matrix : I_MATRIX_TYPE; shift : BOOLEAN - ) return I_MATRIX_TYPE; - function COMPUTE_REF_IDCT(X : I_MATRIX_TYPE) return I_MATRIX_TYPE; - function COMPUTE_PSNR(ref_input : I_MATRIX_TYPE; - reconstr_input : I_MATRIX_TYPE) return REAL; - function COMPUTE_PSNR(ref_input : IMAGE_TYPE; - reconstr_input : IMAGE_TYPE; - ysize : INTEGER; - xsize : INTEGER - ) return REAL; - ---------------------------------------------- - -- constant section 2 - ---------------------------------------------- - -- set below to true to enable quantization in testbench - constant CLK_FREQ_C : INTEGER := 50; - constant HOLD_TIME : TIME := 1 ns; - constant ENABLE_QUANTIZATION_C : BOOLEAN := FALSE; - constant HEX_BASE : INTEGER := 16; - constant DEC_BASE : INTEGER := 10; - constant RUN_FULL_IMAGE : BOOLEAN := FALSE; - constant FILEIN_NAME_C : STRING := "SOURCE\TESTBENCH\lena512.txt"; - constant FILEERROR_NAME_C : STRING := "SOURCE\TESTBENCH\imagee.txt"; - constant FILEIMAGEO_NAME_C : STRING := "SOURCE\TESTBENCH\imageo.txt"; - constant MAX_ERROR_1D : INTEGER := 1; - constant MAX_ERROR_2D : INTEGER := 4; - constant MAX_PIX_VAL : INTEGER := 2**IP_W-1; - constant null_data_r : MATRIX_TYPE := - ( - (000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0), - (000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0), - (000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0), - (000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0), - (000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0), - (000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0), - (000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0), - (000.0,000.0,000.0,000.0,000.0,000.0,000.0,000.0) - ); - - constant input_data0 : I_MATRIX_TYPE := - ( - (139,144,149,153,155,155,155,155), - (144,151,153,156,159,156,156,156), - (150,155,160,163,158,156,156,156), - (159,161,162,160,160,159,159,159), - (159,160,161,162,162,155,155,155), - (161,161,161,161,160,157,157,157), - (162,162,161,163,162,157,157,157), - (162,162,161,161,163,158,158,158) - ); - - constant input_data1 : I_MATRIX_TYPE := - ( - (255,255,255,000,000,255,254,255), - (255,255,255,000,000,255,254,000), - (255,255,255,000,000,255,254,255), - (255,255,255,000,000,255,254,000), - (254,000,255,255,000,255,254,255), - (254,000,255,255,000,255,254,000), - (254,000,255,255,000,255,254,255), - (254,000,255,255,000,255,254,000) - ); - - constant input_data2 : I_MATRIX_TYPE := - ( - (000,000,000,000,000,000,000,000), - (000,000,000,000,000,000,000,000), - (000,000,000,000,000,000,000,000), - (000,000,000,000,000,000,000,000), - (000,000,000,000,000,000,000,000), - (000,000,000,000,000,000,000,000), - (000,000,000,000,000,000,000,000), - (000,000,000,000,000,000,000,000) - ); - constant input_data3 : I_MATRIX_TYPE := - ( - (55,89,0,2,35,34,100,255), - (144,151,153,151,159,156,156,156), - (150,155,165,163,158,126,156,156), - (254,000,255,255,000,245,254,255), - (159,199,161,162,162,133,155,165), - (231,000,255,235,000,255,254,253), - (162,162,161,163,162,157,157,157), - (11,12,167,165,166,167,101,108) - ); - - constant input_data4 : I_MATRIX_TYPE := - ( - (135,14,145,15,155,15,155,15), - (140,15,151,15,152,15,153,15), - (154,15,165,16,156,15,157,15), - (158,16,168,16,169,15,150,15), - (15,161,16,162,16,153,15,154), - (165,16,166,16,167,15,158,15), - (16,169,16,160,16,152,15,153), - (164,16,165,16,165,15,156,15) - ); - - -- from JPEG standard (but not in standard itself!) - constant Q_JPEG_STD : I_MATRIX_TYPE := - ( - (16,11,10,16,24,40,51,61), - (12,12,14,19,26,58,60,55), - (14,13,16,24,40,57,69,56), - (14,17,22,29,51,87,80,62), - (18,22,37,56,68,109,103,77), - (24,35,55,64,81,104,113,92), - (49,64,78,87,103,121,120,101), - (72,92,95,98,112,100,103,99) - ); - - -- CANON EOS10D super fine quality - constant Q_CANON10D : I_MATRIX_TYPE := - ( - (1, 1, 1, 1, 1, 1, 2, 2), - (1, 1, 1, 1, 1, 2, 4, 4), - (1, 1, 1, 1, 1, 3, 3, 5), - (1, 1, 1, 2, 3, 3, 5, 5), - (1, 1, 3, 3, 4, 4, 5, 5), - (1, 3, 3, 3, 4, 5, 6, 6), - (2, 3, 3, 5, 3, 6, 5, 5), - (3, 3, 4, 3, 6, 4, 5, 5) - ); - - -- quantization matrix used in testbench - constant Q_MATRIX_USED : I_MATRIX_TYPE := Q_CANON10D; - - constant Ce : COEM_TYPE := - ( - (CONV_SIGNED(AP,ROMDATA_W),CONV_SIGNED(AP,ROMDATA_W),CONV_SIGNED(AP,ROMDATA_W),CONV_SIGNED(AP,ROMDATA_W)), - (CONV_SIGNED(BP,ROMDATA_W),CONV_SIGNED(CP,ROMDATA_W),CONV_SIGNED(CM,ROMDATA_W),CONV_SIGNED(BM,ROMDATA_W)), - (CONV_SIGNED(AP,ROMDATA_W),CONV_SIGNED(AM,ROMDATA_W),CONV_SIGNED(AM,ROMDATA_W),CONV_SIGNED(AP,ROMDATA_W)), - (CONV_SIGNED(CP,ROMDATA_W),CONV_SIGNED(BM,ROMDATA_W),CONV_SIGNED(BP,ROMDATA_W),CONV_SIGNED(CM,ROMDATA_W)) - ); - - constant Co : COEM_TYPE := - ( - (CONV_SIGNED(DP,ROMDATA_W),CONV_SIGNED(EP,ROMDATA_W),CONV_SIGNED(FP,ROMDATA_W),CONV_SIGNED(GP,ROMDATA_W)), - (CONV_SIGNED(EP,ROMDATA_W),CONV_SIGNED(GM,ROMDATA_W),CONV_SIGNED(DM,ROMDATA_W),CONV_SIGNED(FM,ROMDATA_W)), - (CONV_SIGNED(FP,ROMDATA_W),CONV_SIGNED(DM,ROMDATA_W),CONV_SIGNED(GP,ROMDATA_W),CONV_SIGNED(EP,ROMDATA_W)), - (CONV_SIGNED(GP,ROMDATA_W),CONV_SIGNED(FM,ROMDATA_W),CONV_SIGNED(EP,ROMDATA_W),CONV_SIGNED(DM,ROMDATA_W)) - ); -end MDCTTB_PKG; - --------------------------------------------------- --- PACKAGE BODY --------------------------------------------------- -package body MDCTTB_PKG is - -------------------------------------------------------------------------- - -- converts an INTEGER into a CHARACTER - -- for 0 to 9 the obvious mapping is used, higher - -- values are mapped to the CHARACTERs A-Z - -- (this is usefull for systems with base > 10) - -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) - -------------------------------------------------------------------------- - function CHR(int: INTEGER) return CHARACTER is - variable c: CHARACTER; - begin - case int is - when 0 => c := '0'; - when 1 => c := '1'; - when 2 => c := '2'; - when 3 => c := '3'; - when 4 => c := '4'; - when 5 => c := '5'; - when 6 => c := '6'; - when 7 => c := '7'; - when 8 => c := '8'; - when 9 => c := '9'; - when 10 => c := 'A'; - when 11 => c := 'B'; - when 12 => c := 'C'; - when 13 => c := 'D'; - when 14 => c := 'E'; - when 15 => c := 'F'; - when 16 => c := 'G'; - when 17 => c := 'H'; - when 18 => c := 'I'; - when 19 => c := 'J'; - when 20 => c := 'K'; - when 21 => c := 'L'; - when 22 => c := 'M'; - when 23 => c := 'N'; - when 24 => c := 'O'; - when 25 => c := 'P'; - when 26 => c := 'Q'; - when 27 => c := 'R'; - when 28 => c := 'S'; - when 29 => c := 'T'; - when 30 => c := 'U'; - when 31 => c := 'V'; - when 32 => c := 'W'; - when 33 => c := 'X'; - when 34 => c := 'Y'; - when 35 => c := 'Z'; - when others => c := '?'; - end case; - return c; - end CHR; - - - - -------------------------------------------------------------------------- - -- convert INTEGER to STRING using specified base - -------------------------------------------------------------------------- - function STR(int: INTEGER; base: INTEGER) return STRING is - variable temp: STRING(1 to 10); - variable num: INTEGER; - variable abs_int: INTEGER; - variable len: INTEGER := 1; - variable power: INTEGER := 1; - begin - -- bug fix for negative numbers - abs_int := abs(int); - num := abs_int; - while num >= base loop - len := len + 1; - num := num / base; - end loop ; - for i in len downto 1 loop - temp(i) := chr(abs_int/power mod base); - power := power * base; - end loop ; - -- return result and add sign if required - if int < 0 then - return '-'& temp(1 to len); - else - return temp(1 to len); - end if; - end STR; - - ------------------------------------------------ - -- computes DCT1D - ------------------------------------------------ - function COMPUTE_REF_DCT1D(input_matrix : I_MATRIX_TYPE; shift : BOOLEAN) - return I_MATRIX_TYPE is - variable fXm : VECTOR4 := (0.0,0.0,0.0,0.0); - variable fXs : VECTOR4 := (0.0,0.0,0.0,0.0); - variable fYe : VECTOR4 := (0.0,0.0,0.0,0.0); - variable fYo : VECTOR4 := (0.0,0.0,0.0,0.0); - variable ref_dct_matrix : I_MATRIX_TYPE; - variable norma_input : MATRIX_TYPE; - begin - -- compute reference coefficients - for x in 0 to N-1 loop - - for s in 0 to 7 loop - if shift = TRUE then - norma_input(x,s) := (REAL(input_matrix(x,s))- REAL(LEVEL_SHIFT))/2.0; - else - norma_input(x,s) := REAL(input_matrix(x,s))/2.0; - end if; - end loop; - fXs(0) := norma_input(x,0)+norma_input(x,7); - fXs(1) := norma_input(x,1)+norma_input(x,6); - fXs(2) := norma_input(x,2)+norma_input(x,5); - fXs(3) := norma_input(x,3)+norma_input(x,4); - - fXm(0) := norma_input(x,0)-norma_input(x,7); - fXm(1) := norma_input(x,1)-norma_input(x,6); - fXm(2) := norma_input(x,2)-norma_input(x,5); - fXm(3) := norma_input(x,3)-norma_input(x,4); - - for k in 0 to N/2-1 loop - fYe(k) := REAL(CONV_INTEGER(Ce(k,0)))*fXs(0) + - REAL(CONV_INTEGER(Ce(k,1)))*fXs(1) + - REAL(CONV_INTEGER(Ce(k,2)))*fXs(2) + - REAL(CONV_INTEGER(Ce(k,3)))*fXs(3); - fYo(k) := REAL(CONV_INTEGER(Co(k,0)))*fXm(0) + - REAL(CONV_INTEGER(Co(k,1)))*fXm(1) + - REAL(CONV_INTEGER(Co(k,2)))*fXm(2) + - REAL(CONV_INTEGER(Co(k,3)))*fXm(3); - end loop; - - -- transpose matrix by writing in row order - ref_dct_matrix(0,x) := INTEGER(fYe(0)/REAL((2**(COE_W-1)))); - ref_dct_matrix(1,x) := INTEGER(fYo(0)/REAL((2**(COE_W-1)))); - ref_dct_matrix(2,x) := INTEGER(fYe(1)/REAL((2**(COE_W-1)))); - ref_dct_matrix(3,x) := INTEGER(fYo(1)/REAL((2**(COE_W-1)))); - ref_dct_matrix(4,x) := INTEGER(fYe(2)/REAL((2**(COE_W-1)))); - ref_dct_matrix(5,x) := INTEGER(fYo(2)/REAL((2**(COE_W-1)))); - ref_dct_matrix(6,x) := INTEGER(fYe(3)/REAL((2**(COE_W-1)))); - ref_dct_matrix(7,x) := INTEGER(fYo(3)/REAL((2**(COE_W-1)))); - - end loop; - - return ref_dct_matrix; - end COMPUTE_REF_DCT1D; - - ----------------------------------------------- - -- compares NxN matrices, logs failure if difference - -- greater than maximum error specified - ----------------------------------------------- - procedure CMP_MATRIX(ref_matrix : in I_MATRIX_TYPE; - dcto_matrix : in I_MATRIX_TYPE; - max_error : in INTEGER; - error_matrix : out I_MATRIX_TYPE; - error_cnt : inout INTEGER - ) is - variable error_matrix_v : I_MATRIX_TYPE; - begin - for a in 0 to N - 1 loop - for b in 0 to N - 1 loop - error_matrix_v(a,b) := ref_matrix(a,b) - dcto_matrix(a,b); - if abs(error_matrix_v(a,b)) > max_error then - error_cnt := error_cnt + 1; - assert false - report "E01: DCT max error violated!" - severity Error; - end if; - end loop; - end loop; - error_matrix := error_matrix_v; - end CMP_MATRIX; - - ------------------------------------------------ - -- computes IDCT on NxN matrix - ------------------------------------------------ - function COMPUTE_REF_IDCT(X : I_MATRIX_TYPE) - return I_MATRIX_TYPE is - variable i : INTEGER := 0; - variable j : INTEGER := 0; - variable u : INTEGER := 0; - variable v : INTEGER := 0; - variable Cu : REAL; - variable Cv : REAL; - variable xi : MATRIX_TYPE := null_data_r; - variable xr : I_MATRIX_TYPE; - begin - -- idct - for i in 0 to N-1 loop - for j in 0 to N-1 loop - for u in 0 to N-1 loop - if u = 0 then - Cu := 1.0/sqrt(2.0); - else - Cu := 1.0; - end if; - for v in 0 to N-1 loop - if v = 0 then - Cv := 1.0/sqrt(2.0); - else - Cv := 1.0; - end if; - xi(i,j) := xi(i,j) + - 2.0/REAL(N)*Cu*Cv*REAL(X(u,v))* - cos( ( (2.0*REAL(i)+1.0)*REAL(u)*MATH_PI ) / (2.0*REAL(N)) )* - cos( ( (2.0*REAL(j)+1.0)*REAL(v)*MATH_PI ) / (2.0*REAL(N)) ); - xr(i,j) := INTEGER(ROUND(xi(i,j)))+LEVEL_SHIFT; - end loop; - end loop; - end loop; - end loop; - return xr; - end COMPUTE_REF_IDCT; - - ------------------------------------------------ - -- computes peak signal to noise ratio - -- for reconstruced and input image data - ------------------------------------------------ - function COMPUTE_PSNR(ref_input : I_MATRIX_TYPE; - reconstr_input : I_MATRIX_TYPE) return REAL is - variable psnr_tmp : REAL := 0.0; - begin - for i in 0 to N-1 loop - for j in 0 to N-1 loop - psnr_tmp := psnr_tmp + (REAL(ref_input(i,j))-REAL(reconstr_input(i,j)))**2; - end loop; - end loop; - psnr_tmp := psnr_tmp / (REAL(N)*REAL(N)); - psnr_tmp := 10.0*LOG10( (REAL(MAX_PIX_VAL)**2) / psnr_tmp ); - return psnr_tmp; - - end COMPUTE_PSNR; - - ------------------------------------------------ - -- computes peak signal to noise ratio - -- for reconstruced and input image data - ------------------------------------------------ - function COMPUTE_PSNR(ref_input : IMAGE_TYPE; - reconstr_input : IMAGE_TYPE; - ysize : INTEGER; - xsize : INTEGER - ) return REAL is - variable psnr_tmp : REAL := 0.0; - variable lineb : LINE; - begin - for i in 0 to ysize-1 loop - for j in 0 to xsize-1 loop - psnr_tmp := psnr_tmp + - (REAL(ref_input(i,j))-REAL(reconstr_input(i,j)))**2; - end loop; - end loop; - psnr_tmp := psnr_tmp / (REAL(ysize)*REAL(xsize)); - --WRITE(lineb,STRING'("MSE Mean Squared Error is ")); - --WRITE(lineb,psnr_tmp); - --assert false - -- report lineb.all - -- severity Note; - psnr_tmp := 10.0*LOG10( (REAL(MAX_PIX_VAL)**2) / psnr_tmp ); - return psnr_tmp; - - end COMPUTE_PSNR; - - -end MDCTTB_PKG; \ No newline at end of file Index: main/design/BufFifo/BUF_FIFO.vhd =================================================================== --- main/design/BufFifo/BUF_FIFO.vhd (revision 23) +++ main/design/BufFifo/BUF_FIFO.vhd (nonexistent) @@ -1,263 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : BUF_FIFO.vhd --- --- Project : JPEG_ENC --- --- Module : BUF_FIFO --- --- Content : Input FIFO Buffer --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090311: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- -library work; - use work.JPEG_PKG.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity BUF_FIFO is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- HOST PROG - img_size_x : in std_logic_vector(15 downto 0); - img_size_y : in std_logic_vector(15 downto 0); - sof : in std_logic; - - -- HOST DATA - iram_wren : in std_logic; - iram_wdata : in std_logic_vector(23 downto 0); - fifo_almost_full : out std_logic; - - -- FDCT - fdct_block_cnt : in std_logic_vector(12 downto 0); - fdct_fifo_rd : in std_logic; - fdct_fifo_empty : out std_logic; - fdct_fifo_q : out std_logic_vector(23 downto 0); - fdct_fifo_hf_full : out std_logic - ); -end entity BUF_FIFO; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of BUF_FIFO is - - constant C_NUM_SUBF : integer := ((C_MAX_LINE_WIDTH/8)); - - type T_DATA_ARR is array (0 to C_NUM_SUBF-1) of std_logic_vector(23 downto 0); - type T_CNT_ARR is array (0 to C_NUM_SUBF-1) of - std_logic_vector(7-C_MEMORY_OPTIMIZED downto 0); - - signal fifo_rd : std_logic_vector(C_NUM_SUBF-1 downto 0); - signal fifo_wr : std_logic_vector(C_NUM_SUBF-1 downto 0); - signal fifo_data : std_logic_vector(23 downto 0); - signal fifo_data_d1 : std_logic_vector(23 downto 0); - signal fifo_q : T_DATA_ARR; - signal fifo_full : std_logic_vector(C_NUM_SUBF-1 downto 0); - signal fifo_empty : std_logic_vector(C_NUM_SUBF-1 downto 0); - signal fifo_half_full : std_logic_vector(C_NUM_SUBF-1 downto 0); - signal fifo_count : T_CNT_ARR; - - signal pixel_cnt : unsigned(15 downto 0); - signal wblock_cnt : unsigned(12 downto 0); - signal last_idx : unsigned(12 downto 0); - signal idx_reg : unsigned(log2(C_NUM_SUBF)-1 downto 0); - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - ------------------------------------------------------------------- - -- SUB_FIFOs - ------------------------------------------------------------------- - G_SUB_FIFO : for i in 0 to C_NUM_SUBF-1 generate - - U_SUB_FIFO : entity work.FIFO - generic map - ( - DATA_WIDTH => 24, - ADDR_WIDTH => 7-C_MEMORY_OPTIMIZED - ) - port map - ( - rst => RST, - clk => CLK, - rinc => fifo_rd(i), - winc => fifo_wr(i), - datai => fifo_data, - - datao => fifo_q(i), - fullo => fifo_full(i), - emptyo => fifo_empty(i), - count => fifo_count(i) - ); - end generate G_SUB_FIFO; - - ------------------------------------------------------------------- - -- FIFO almost full - ------------------------------------------------------------------- - p_fifo_almost_full : process(CLK, RST) - begin - if RST = '1' then - fifo_almost_full <= '1'; - last_idx <= (others => '0'); - elsif CLK'event and CLK = '1' then - if img_size_x = (img_size_x'range => '0') then - last_idx <= (others => '0'); - else - last_idx <= unsigned(img_size_x(15 downto 3))-1; - end if; - - if last_idx > 0 then - if C_MEMORY_OPTIMIZED = 0 then - if unsigned(fifo_count(to_integer(last_idx)-2)) > to_unsigned(128-2*8,8) then - fifo_almost_full <= '1'; - else - fifo_almost_full <= '0'; - end if; - else - if unsigned(fifo_count(to_integer(last_idx))) = to_unsigned(64,8) then - fifo_almost_full <= '1'; - else - fifo_almost_full <= '0'; - end if; - end if; - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- pixel_cnt - ------------------------------------------------------------------- - p_pixel_cnt : process(CLK, RST) - begin - if RST = '1' then - pixel_cnt <= (others => '0'); - elsif CLK'event and CLK = '1' then - if iram_wren = '1' then - if pixel_cnt = unsigned(img_size_x)-1 then - pixel_cnt <= (others => '0'); - else - pixel_cnt <= pixel_cnt + 1; - end if; - end if; - - if sof = '1' then - pixel_cnt <= (others => '0'); - end if; - end if; - end process; - - wblock_cnt <= pixel_cnt(pixel_cnt'high downto 3); - - ------------------------------------------------------------------- - -- FIFO half full - ------------------------------------------------------------------- - p_half_full : process(CLK, RST) - begin - if RST = '1' then - for i in 0 to C_NUM_SUBF-1 loop - fifo_half_full(i) <= '0'; - end loop; - elsif CLK'event and CLK = '1' then - for i in 0 to C_NUM_SUBF-1 loop - if unsigned(fifo_count(i)) >= 64 then - fifo_half_full(i) <= '1'; - else - fifo_half_full(i) <= '0'; - end if; - end loop; - end if; - end process; - - - ------------------------------------------------------------------- - -- Mux1 - ------------------------------------------------------------------- - p_mux1 : process(CLK, RST) - begin - if RST = '1' then - fifo_data <= (others => '0'); - for i in 0 to C_NUM_SUBF-1 loop - fifo_wr(i) <= '0'; - end loop; - elsif CLK'event and CLK = '1' then - for i in 0 to C_NUM_SUBF-1 loop - if wblock_cnt(log2(C_NUM_SUBF)-1 downto 0) = i then - fifo_wr(i) <= iram_wren; - else - fifo_wr(i) <= '0'; - end if; - end loop; - - fifo_data <= iram_wdata; - end if; - end process; - - ------------------------------------------------------------------- - -- Mux2 - ------------------------------------------------------------------- - p_mux2 : process(CLK, RST) - begin - if RST = '1' then - for i in 0 to C_NUM_SUBF-1 loop - fifo_rd(i) <= '0'; - end loop; - fdct_fifo_empty <= '0'; - fdct_fifo_q <= (others => '0'); - fdct_fifo_hf_full <= '0'; - idx_reg <= (others => '0'); - elsif CLK'event and CLK = '1' then - idx_reg <= unsigned(fdct_block_cnt(log2(C_NUM_SUBF)-1 downto 0)); - - for i in 0 to C_NUM_SUBF-1 loop - if idx_reg = i then - fifo_rd(i) <= fdct_fifo_rd; - else - fifo_rd(i) <= '0'; - end if; - end loop; - - fdct_fifo_empty <= fifo_empty(to_integer(idx_reg)); - fdct_fifo_q <= fifo_q(to_integer(idx_reg)); - fdct_fifo_hf_full <= fifo_half_full(to_integer(idx_reg)); - end if; - end process; - - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/control/CtrlSM.vhd =================================================================== --- main/design/control/CtrlSM.vhd (revision 23) +++ main/design/control/CtrlSM.vhd (nonexistent) @@ -1,319 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : CtrlSM.vhd --- --- Project : JPEG_ENC --- --- Module : CtrlSM --- --- Content : CtrlSM --- --- Description : CtrlSM core --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090301: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- -library work; - use work.JPEG_PKG.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity CtrlSM is - port - ( - CLK : in std_logic; - RST : in std_logic; - - -- HOST IF - sof : in std_logic; - img_size_x : in std_logic_vector(15 downto 0); - img_size_y : in std_logic_vector(15 downto 0); - jpeg_ready : out std_logic; - jpeg_busy : out std_logic; - cmp_max : in std_logic_vector(1 downto 0); - - -- FDCT - fdct_start : out std_logic; - fdct_ready : in std_logic; - fdct_sm_settings : out T_SM_SETTINGS; - - -- ZIGZAG - zig_start : out std_logic; - zig_ready : in std_logic; - zig_sm_settings : out T_SM_SETTINGS; - - -- RLE - rle_start : out std_logic; - rle_ready : in std_logic; - rle_sm_settings : out T_SM_SETTINGS; - - -- Huffman - huf_start : out std_logic; - huf_ready : in std_logic; - huf_sm_settings : out T_SM_SETTINGS; - - -- ByteStuffdr - bs_start : out std_logic; - bs_ready : in std_logic; - bs_sm_settings : out T_SM_SETTINGS; - - -- JFIF GEN - jfif_start : out std_logic; - jfif_ready : in std_logic; - jfif_eoi : out std_logic; - - -- OUT MUX - out_mux_ctrl : out std_logic - ); -end entity CtrlSM; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of CtrlSM is - - type T_STATE is (IDLES, JFIF, HORIZ, COMP, VERT, EOI); - type ARR_FSM is array(5 downto 1) of std_logic_vector(1 downto 0); - - type T_ARR_SM_SETTINGS is array(6 downto 1) of T_SM_SETTINGS; - signal Reg : T_ARR_SM_SETTINGS; - signal main_state : T_STATE; - signal start : std_logic_vector(6 downto 1); - signal idle : std_logic_vector(6 downto 1); - signal start_PB : std_logic_vector(5 downto 1); - signal ready_PB : std_logic_vector(5 downto 1); - signal fsm : ARR_FSM; - signal start1_d : std_logic; - signal RSM : T_SM_SETTINGS; - signal out_mux_ctrl_s : std_logic; - signal out_mux_ctrl_s2 : std_logic; - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - fdct_sm_settings <= Reg(1); - zig_sm_settings <= Reg(2); - rle_sm_settings <= Reg(3); - huf_sm_settings <= Reg(4); - bs_sm_settings <= Reg(5); - - fdct_start <= start_PB(1); - ready_PB(1) <= fdct_ready; - - zig_start <= start_PB(2); - ready_PB(2) <= zig_ready; - - rle_start <= start_PB(3); - ready_PB(3) <= rle_ready; - - huf_start <= start_PB(4); - ready_PB(4) <= huf_ready; - - bs_start <= start_PB(5); - ready_PB(5) <= bs_ready; - - ----------------------------------------------------------------------------- - -- CTRLSM1..5 - ----------------------------------------------------------------------------- - G_S_CTRL_SM : for i in 1 to 5 generate - - -- CTRLSM1..5 - U_S_CTRL_SM : entity work.SingleSM - port map - ( - CLK => CLK, - RST => RST, - -- from/to SM(m) - start_i => start(i), - idle_o => idle(i), - -- from/to SM(m+1) - idle_i => idle(i+1), - start_o => start(i+1), - -- from/to processing block - pb_rdy_i => ready_PB(i), - pb_start_o => start_PB(i), - -- state out - fsm_o => fsm(i) - ); - end generate G_S_CTRL_SM; - - idle(6) <= '1'; - - ------------------------------------------------------------------- - -- Reg1 - ------------------------------------------------------------------- - G_REG_SM : for i in 1 to 5 generate - p_reg1 : process(CLK, RST) - begin - if RST = '1' then - Reg(i) <= C_SM_SETTINGS; - elsif CLK'event and CLK = '1' then - if start(i) = '1' then - if i = 1 then - Reg(i).x_cnt <= RSM.x_cnt; - Reg(i).y_cnt <= RSM.y_cnt; - Reg(i).cmp_idx <= RSM.cmp_idx; - else - Reg(i) <= Reg(i-1); - end if; - end if; - end if; - end process; - end generate G_REG_SM; - - ------------------------------------------------------------------- - -- Main_SM - ------------------------------------------------------------------- - p_main_sm : process(CLK, RST) - begin - if RST = '1' then - main_state <= IDLES; - start(1) <= '0'; - start1_d <= '0'; - jpeg_ready <= '0'; - RSM.x_cnt <= (others => '0'); - RSM.y_cnt <= (others => '0'); - jpeg_busy <= '0'; - RSM.cmp_idx <= (others => '0'); - out_mux_ctrl_s <= '0'; - out_mux_ctrl_s2 <= '0'; - jfif_eoi <= '0'; - out_mux_ctrl <= '0'; - jfif_start <= '0'; - elsif CLK'event and CLK = '1' then - start(1) <= '0'; - start1_d <= start(1); - jpeg_ready <= '0'; - jfif_start <= '0'; - out_mux_ctrl_s2 <= out_mux_ctrl_s; - out_mux_ctrl <= out_mux_ctrl_s2; - - case main_state is - ------------------------------- - -- IDLE - ------------------------------- - when IDLES => - if sof = '1' then - RSM.x_cnt <= (others => '0'); - RSM.y_cnt <= (others => '0'); - jfif_start <= '1'; - out_mux_ctrl_s <= '0'; - jfif_eoi <= '0'; - main_state <= JFIF; - end if; - - ------------------------------- - -- JFIF - ------------------------------- - when JFIF => - if jfif_ready = '1' then - out_mux_ctrl_s <= '1'; - main_state <= HORIZ; - end if; - - ------------------------------- - -- HORIZ - ------------------------------- - when HORIZ => - if RSM.x_cnt < unsigned(img_size_x) then - main_state <= COMP; - else - RSM.x_cnt <= (others => '0'); - main_state <= VERT; - end if; - - ------------------------------- - -- COMP - ------------------------------- - when COMP => - if idle(1) = '1' and start(1) = '0' then - if RSM.cmp_idx < unsigned(cmp_max) then - start(1) <= '1'; - else - RSM.cmp_idx <= (others => '0'); - RSM.x_cnt <= RSM.x_cnt + 8; - main_state <= HORIZ; - end if; - end if; - - ------------------------------- - -- VERT - ------------------------------- - when VERT => - if RSM.y_cnt < unsigned(img_size_y)-8 then - RSM.x_cnt <= (others => '0'); - RSM.y_cnt <= RSM.y_cnt + 8; - main_state <= HORIZ; - else - if idle(1) = '1' and idle(2) = '1' and idle(3) = '1' and - idle(4) = '1' and idle(5) = '1' then - main_state <= EOI; - jfif_eoi <= '1'; - out_mux_ctrl_s <= '0'; - jfif_start <= '1'; - end if; - end if; - - ------------------------------- - -- VERT - ------------------------------- - when EOI => - if jfif_ready = '1' then - jpeg_ready <= '1'; - main_state <= IDLES; - end if; - - ------------------------------- - -- others - ------------------------------- - when others => - main_state <= IDLES; - - end case; - - if start1_d = '1' then - RSM.cmp_idx <= RSM.cmp_idx + 1; - end if; - - if main_state = IDLES then - jpeg_busy <= '0'; - else - jpeg_busy <= '1'; - end if; - - end if; - end process; - - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/outmux/OutMux.vhd =================================================================== --- main/design/outmux/OutMux.vhd (revision 23) +++ main/design/outmux/OutMux.vhd (nonexistent) @@ -1,110 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : OutMux.vhd --- --- Project : JPEG_ENC --- --- Module : OutMux --- --- Content : Output Multiplexer --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090308: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- -library work; - use work.JPEG_PKG.all; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity OutMux is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- CTRL - out_mux_ctrl : in std_logic; - - -- ByteStuffer - bs_ram_byte : in std_logic_vector(7 downto 0); - bs_ram_wren : in std_logic; - bs_ram_wraddr : in std_logic_vector(23 downto 0); - - -- JFIFGen - jfif_ram_byte : in std_logic_vector(7 downto 0); - jfif_ram_wren : in std_logic; - jfif_ram_wraddr : in std_logic_vector(23 downto 0); - - -- OUT RAM - ram_byte : out std_logic_vector(7 downto 0); - ram_wren : out std_logic; - ram_wraddr : out std_logic_vector(23 downto 0) - ); -end entity OutMux; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of OutMux is - - - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - - ------------------------------------------------------------------- - -- Mux - ------------------------------------------------------------------- - p_ctrl : process(CLK, RST) - begin - if RST = '1' then - ram_byte <= (others => '0'); - ram_wren <= '0'; - ram_wraddr <= (others => '0'); - elsif CLK'event and CLK = '1' then - if out_mux_ctrl = '0' then - ram_byte <= jfif_ram_byte; - ram_wren <= jfif_ram_wren; - ram_wraddr <= std_logic_vector(jfif_ram_wraddr); - else - ram_byte <= bs_ram_byte; - ram_wren <= bs_ram_wren; - ram_wraddr <= bs_ram_wraddr; - end if; - end if; - end process; - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/rle/RleDoubleFifo.vhd =================================================================== --- main/design/rle/RleDoubleFifo.vhd (revision 23) +++ main/design/rle/RleDoubleFifo.vhd (nonexistent) @@ -1,178 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : RleDoubleFifo.vhd --- --- Project : JPEG_ENC --- --- Module : RleDoubleFifo --- --- Content : RleDoubleFifo --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090228: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity RleDoubleFifo is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- HUFFMAN - data_in : in std_logic_vector(19 downto 0); - wren : in std_logic; - -- BYTE STUFFER - buf_sel : in std_logic; - rd_req : in std_logic; - fifo_empty : out std_logic; - data_out : out std_logic_vector(19 downto 0) - ); -end entity RleDoubleFifo; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of RleDoubleFifo is - - signal fifo1_rd : std_logic; - signal fifo1_wr : std_logic; - signal fifo1_q : std_logic_vector(19 downto 0); - signal fifo1_full : std_logic; - signal fifo1_empty : std_logic; - signal fifo1_count : std_logic_vector(6 downto 0); - - signal fifo2_rd : std_logic; - signal fifo2_wr : std_logic; - signal fifo2_q : std_logic_vector(19 downto 0); - signal fifo2_full : std_logic; - signal fifo2_empty : std_logic; - signal fifo2_count : std_logic_vector(6 downto 0); - - signal fifo_data_in : std_logic_vector(19 downto 0); -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - ------------------------------------------------------------------- - -- FIFO 1 - ------------------------------------------------------------------- - U_FIFO_1 : entity work.FIFO - generic map - ( - DATA_WIDTH => 20, - ADDR_WIDTH => 6 - ) - port map - ( - rst => RST, - clk => CLK, - rinc => fifo1_rd, - winc => fifo1_wr, - datai => fifo_data_in, - - datao => fifo1_q, - fullo => fifo1_full, - emptyo => fifo1_empty, - count => fifo1_count - ); - - ------------------------------------------------------------------- - -- FIFO 2 - ------------------------------------------------------------------- - U_FIFO_2 : entity work.FIFO - generic map - ( - DATA_WIDTH => 20, - ADDR_WIDTH => 6 - ) - port map - ( - rst => RST, - clk => CLK, - rinc => fifo2_rd, - winc => fifo2_wr, - datai => fifo_data_in, - - datao => fifo2_q, - fullo => fifo2_full, - emptyo => fifo2_empty, - count => fifo2_count - ); - - ------------------------------------------------------------------- - -- mux2 - ------------------------------------------------------------------- - p_mux2 : process(CLK, RST) - begin - if RST = '1' then - fifo1_wr <= '0'; - fifo2_wr <= '0'; - fifo_data_in <= (others => '0'); - elsif CLK'event and CLK = '1' then - if buf_sel = '0' then - fifo1_wr <= wren; - else - fifo2_wr <= wren; - end if; - fifo_data_in <= data_in; - end if; - end process; - - ------------------------------------------------------------------- - -- mux3 - ------------------------------------------------------------------- - p_mux3 : process(CLK, RST) - begin - if RST = '1' then - data_out <= (others => '0'); - fifo1_rd <= '0'; - fifo2_rd <= '0'; - fifo_empty <= '0'; - elsif CLK'event and CLK = '1' then - if buf_sel = '1' then - data_out <= fifo1_q; - fifo1_rd <= rd_req; - fifo_empty <= fifo1_empty; - else - data_out <= fifo2_q; - fifo2_rd <= rd_req; - fifo_empty <= fifo2_empty; - end if; - end if; - end process; - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/rle/RLE.VHD =================================================================== --- main/design/rle/RLE.VHD (revision 23) +++ main/design/rle/RLE.VHD (nonexistent) @@ -1,249 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2009 -- --- -- --------------------------------------------------------------------------------- --- -- --- Title : RLE -- --- Design : MDCT CORE -- --- Author : Michal Krepa -- --- -- --------------------------------------------------------------------------------- --- -- --- File : RLE.VHD -- --- Created : Wed Mar 04 2009 -- --- -- --------------------------------------------------------------------------------- --- -- --- Description : Run Length Encoder -- --- Baseline Entropy Coding -- --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.All; - use IEEE.NUMERIC_STD.all; - -library work; - use work.JPEG_PKG.all; - -entity rle is - generic - ( - RAMADDR_W : INTEGER := 6; - RAMDATA_W : INTEGER := 12 - ); - port - ( - rst : in STD_LOGIC; - clk : in STD_LOGIC; - di : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - divalid : in STD_LOGIC; - start_pb : in std_logic; - sof : in std_logic; - rle_sm_settings : in T_SM_SETTINGS; - - runlength : out STD_LOGIC_VECTOR(3 downto 0); - size : out STD_LOGIC_VECTOR(3 downto 0); - amplitude : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - dovalid : out STD_LOGIC - ); -end rle; - -architecture rtl of rle is - - - - constant SIZE_REG_C : INTEGER := 4; - constant ZEROS_32_C : UNSIGNED(31 downto 0) := (others => '0'); - - signal prev_dc_reg_0 : SIGNED(RAMDATA_W-1 downto 0); - signal prev_dc_reg_1 : SIGNED(RAMDATA_W-1 downto 0); - signal prev_dc_reg_2 : SIGNED(RAMDATA_W-1 downto 0); - signal acc_reg : SIGNED(RAMDATA_W downto 0); - signal size_reg : UNSIGNED(SIZE_REG_C-1 downto 0); - signal ampli_vli_reg : SIGNED(RAMDATA_W downto 0); - signal runlength_reg : UNSIGNED(3 downto 0); - signal dovalid_reg : STD_LOGIC; - signal zero_cnt : unsigned(3 downto 0); - signal wr_cnt_d1 : unsigned(5 downto 0); - signal wr_cnt : unsigned(5 downto 0); - -begin - - size <= STD_LOGIC_VECTOR(size_reg); - amplitude <= STD_LOGIC_VECTOR(ampli_vli_reg(11 downto 0)); - - ------------------------------------------- - -- MAIN PROCESSING - ------------------------------------------- - process(clk) - begin - if clk = '1' and clk'event then - if rst = '1' then - wr_cnt_d1 <= (others => '0'); - prev_dc_reg_0 <= (others => '0'); - prev_dc_reg_1 <= (others => '0'); - prev_dc_reg_2 <= (others => '0'); - dovalid_reg <= '0'; - acc_reg <= (others => '0'); - runlength_reg <= (others => '0'); - runlength <= (others => '0'); - dovalid <= '0'; - zero_cnt <= (others => '0'); - else - dovalid_reg <= '0'; - runlength_reg <= (others => '0'); - - wr_cnt_d1 <= wr_cnt; - runlength <= std_logic_vector(runlength_reg); - dovalid <= dovalid_reg; - - -- input data valid - if divalid = '1' then - wr_cnt <= wr_cnt + 1; - - -- first DCT coefficient received, DC data - if wr_cnt = 0 then - -- differental coding of DC data per component - case rle_sm_settings.cmp_idx is - when "00" => - acc_reg <= RESIZE(SIGNED(di),RAMDATA_W+1) - RESIZE(prev_dc_reg_0,RAMDATA_W+1); - prev_dc_reg_0 <= SIGNED(di); - when "01" => - acc_reg <= RESIZE(SIGNED(di),RAMDATA_W+1) - RESIZE(prev_dc_reg_1,RAMDATA_W+1); - prev_dc_reg_1 <= SIGNED(di); - when "10" => - acc_reg <= RESIZE(SIGNED(di),RAMDATA_W+1) - RESIZE(prev_dc_reg_2,RAMDATA_W+1); - prev_dc_reg_2 <= SIGNED(di); - when others => - null; - end case; - runlength_reg <= (others => '0'); - dovalid_reg <= '1'; - -- AC coefficient - else - -- zero AC - if signed(di) = 0 then - -- EOB - if wr_cnt = 63 then - acc_reg <= (others => '0'); - runlength_reg <= (others => '0'); - dovalid_reg <= '1'; - -- zero extension symbol - elsif zero_cnt = 15 then - acc_reg <= (others => '0'); - runlength_reg <= to_unsigned(15, runlength_reg'length); - dovalid_reg <= '1'; - zero_cnt <= (others => '0'); - -- zero_cnt < 15 and no EOB - else - zero_cnt <= zero_cnt + 1; - end if; - -- non-zero AC - else - acc_reg <= RESIZE(SIGNED(di),RAMDATA_W+1); - runlength_reg <= zero_cnt; - zero_cnt <= (others => '0'); - dovalid_reg <= '1'; - end if; - end if; - end if; - - -- start of 8x8 block processing - if start_pb = '1' then - zero_cnt <= (others => '0'); - wr_cnt <= (others => '0'); - end if; - - if sof = '1' then - prev_dc_reg_0 <= (others => '0'); - prev_dc_reg_1 <= (others => '0'); - prev_dc_reg_2 <= (others => '0'); - end if; - - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- Entropy Coder - ------------------------------------------------------------------- - p_entropy_coder : process(CLK, RST) - begin - if RST = '1' then - ampli_vli_reg <= (others => '0'); - size_reg <= (others => '0'); - elsif CLK'event and CLK = '1' then - -- perform VLI (variable length integer) encoding for Symbol-2 (Amplitude) - -- positive input - if acc_reg >= 0 then - ampli_vli_reg <= acc_reg; - else - ampli_vli_reg <= acc_reg - TO_SIGNED(1,RAMDATA_W+1); - end if; - - -- compute Symbol-1 Size - if acc_reg = TO_SIGNED(-1,RAMDATA_W+1) then - size_reg <= TO_UNSIGNED(1,SIZE_REG_C); - elsif (acc_reg < TO_SIGNED(-1,RAMDATA_W+1) and acc_reg > TO_SIGNED(-4,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(2,SIZE_REG_C); - elsif (acc_reg < TO_SIGNED(-3,RAMDATA_W+1) and acc_reg > TO_SIGNED(-8,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(3,SIZE_REG_C); - elsif (acc_reg < TO_SIGNED(-7,RAMDATA_W+1) and acc_reg > TO_SIGNED(-16,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(4,SIZE_REG_C); - elsif (acc_reg < TO_SIGNED(-15,RAMDATA_W+1) and acc_reg > TO_SIGNED(-32,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(5,SIZE_REG_C); - elsif (acc_reg < TO_SIGNED(-31,RAMDATA_W+1) and acc_reg > TO_SIGNED(-64,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(6,SIZE_REG_C); - elsif (acc_reg < TO_SIGNED(-63,RAMDATA_W+1) and acc_reg > TO_SIGNED(-128,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(7,SIZE_REG_C); - elsif (acc_reg < TO_SIGNED(-127,RAMDATA_W+1) and acc_reg > TO_SIGNED(-256,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(8,SIZE_REG_C); - elsif (acc_reg < TO_SIGNED(-255,RAMDATA_W+1) and acc_reg > TO_SIGNED(-512,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(9,SIZE_REG_C); - elsif (acc_reg < TO_SIGNED(-511,RAMDATA_W+1) and acc_reg > TO_SIGNED(-1024,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(10,SIZE_REG_C); - elsif (acc_reg < TO_SIGNED(-1023,RAMDATA_W+1) and acc_reg > TO_SIGNED(-2048,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(11,SIZE_REG_C); - end if; - - -- compute Symbol-1 Size - -- positive input - if acc_reg = TO_SIGNED(1,RAMDATA_W+1) then - size_reg <= TO_UNSIGNED(1,SIZE_REG_C); - elsif (acc_reg > TO_SIGNED(1,RAMDATA_W+1) and acc_reg < TO_SIGNED(4,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(2,SIZE_REG_C); - elsif (acc_reg > TO_SIGNED(3,RAMDATA_W+1) and acc_reg < TO_SIGNED(8,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(3,SIZE_REG_C); - elsif (acc_reg > TO_SIGNED(7,RAMDATA_W+1) and acc_reg < TO_SIGNED(16,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(4,SIZE_REG_C); - elsif (acc_reg > TO_SIGNED(15,RAMDATA_W+1) and acc_reg < TO_SIGNED(32,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(5,SIZE_REG_C); - elsif (acc_reg > TO_SIGNED(31,RAMDATA_W+1) and acc_reg < TO_SIGNED(64,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(6,SIZE_REG_C); - elsif (acc_reg > TO_SIGNED(63,RAMDATA_W+1) and acc_reg < TO_SIGNED(128,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(7,SIZE_REG_C); - elsif (acc_reg > TO_SIGNED(127,RAMDATA_W+1) and acc_reg < TO_SIGNED(256,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(8,SIZE_REG_C); - elsif (acc_reg > TO_SIGNED(255,RAMDATA_W+1) and acc_reg < TO_SIGNED(512,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(9,SIZE_REG_C); - elsif (acc_reg > TO_SIGNED(511,RAMDATA_W+1) and acc_reg < TO_SIGNED(1024,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(10,SIZE_REG_C); - elsif (acc_reg > TO_SIGNED(1023,RAMDATA_W+1) and acc_reg < TO_SIGNED(2048,RAMDATA_W+1)) then - size_reg <= TO_UNSIGNED(11,SIZE_REG_C); - end if; - - -- DC coefficient amplitude=0 case OR EOB - if acc_reg = 0 then - size_reg <= TO_UNSIGNED(0,SIZE_REG_C); - end if; - end if; - end process; - -end rtl; --------------------------------------------------------------------------------- - - Index: main/design/rle/RLE_TOP.VHD =================================================================== --- main/design/rle/RLE_TOP.VHD (revision 23) +++ main/design/rle/RLE_TOP.VHD (nonexistent) @@ -1,258 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : RLE_TOP.vhd --- --- Project : JPEG_ENC --- --- Module : RLE_TOP --- --- Content : Run Length Encoder top level --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090301: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- -library work; - use work.JPEG_PKG.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity RLE_TOP is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- CTRL - start_pb : in std_logic; - ready_pb : out std_logic; - rle_sm_settings : in T_SM_SETTINGS; - - -- HUFFMAN - huf_buf_sel : in std_logic; - huf_rden : in std_logic; - huf_runlength : out std_logic_vector(3 downto 0); - huf_size : out std_logic_vector(3 downto 0); - huf_amplitude : out std_logic_vector(11 downto 0); - huf_dval : out std_logic; - huf_fifo_empty : out std_logic; - - -- ZIGZAG - zig_buf_sel : out std_logic; - zig_rd_addr : out std_logic_vector(5 downto 0); - zig_data : in std_logic_vector(11 downto 0); - - -- HostIF - sof : in std_logic - ); -end entity RLE_TOP; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of RLE_TOP is - - signal dbuf_data : std_logic_vector(19 downto 0); - signal dbuf_q : std_logic_vector(19 downto 0); - signal dbuf_we : std_logic; - - signal rd_cnt : unsigned(5 downto 0); - signal rd_en_d : std_logic_vector(5 downto 0); - signal rd_en : std_logic; - - signal rle_runlength : std_logic_vector(3 downto 0); - signal rle_size : std_logic_vector(3 downto 0); - signal rle_amplitude : std_logic_vector(11 downto 0); - signal rle_dovalid : std_logic; - signal rle_di : std_logic_vector(11 downto 0); - signal rle_divalid : std_logic; - - signal zig_buf_sel_s : std_logic; - signal huf_dval_p0 : std_logic; - - signal wr_cnt : unsigned(5 downto 0); - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - zig_rd_addr <= std_logic_vector(rd_cnt); - huf_runlength <= dbuf_q(19 downto 16); - huf_size <= dbuf_q(15 downto 12); - huf_amplitude <= dbuf_q(11 downto 0); - zig_buf_sel <= zig_buf_sel_s; - - ------------------------------------------------------------------- - -- RLE Core - ------------------------------------------------------------------- - U_rle : entity work.rle - generic map - ( - RAMADDR_W => 6, - RAMDATA_W => 12 - ) - port map - ( - rst => RST, - clk => CLK, - di => rle_di, - divalid => rle_divalid, - start_pb => start_pb, - sof => sof, - rle_sm_settings => rle_sm_settings, - - runlength => rle_runlength, - size => rle_size, - amplitude => rle_amplitude, - dovalid => rle_dovalid - ); - - rle_di <= zig_data; - rle_divalid <= rd_en_d(0); - - ------------------------------------------------------------------- - -- Double Fifo - ------------------------------------------------------------------- - U_RleDoubleFifo : entity work.RleDoubleFifo - port map - ( - CLK => CLK, - RST => RST, - -- RLE - data_in => dbuf_data, - wren => dbuf_we, - -- HUFFMAN - buf_sel => huf_buf_sel, - rd_req => huf_rden, - fifo_empty => huf_fifo_empty, - data_out => dbuf_q - ); - dbuf_data <= rle_runlength & rle_size & rle_amplitude; - dbuf_we <= rle_dovalid; - - - ------------------------------------------------------------------- - -- Counter1 - ------------------------------------------------------------------- - p_counter1 : process(CLK, RST) - begin - if RST = '1' then - rd_en <= '0'; - rd_en_d <= (others => '0'); - rd_cnt <= (others => '0'); - elsif CLK'event and CLK = '1' then - rd_en_d <= rd_en_d(rd_en_d'length-2 downto 0) & rd_en; - - if start_pb = '1' then - rd_cnt <= (others => '0'); - rd_en <= '1'; - end if; - - if rd_en = '1' then - if rd_cnt = 64-1 then - rd_cnt <= (others => '0'); - rd_en <= '0'; - else - rd_cnt <= rd_cnt + 1; - end if; - end if; - - end if; - end process; - - ------------------------------------------------------------------- - -- ready_pb - ------------------------------------------------------------------- - p_ready_pb : process(CLK, RST) - begin - if RST = '1' then - ready_pb <= '0'; - wr_cnt <= (others => '0'); - elsif CLK'event and CLK = '1' then - ready_pb <= '0'; - - if start_pb = '1' then - wr_cnt <= (others => '0'); - end if; - - -- detect EOB (0,0) - end of RLE block - if rle_dovalid = '1' then - - -- ZERO EXTENSION - if unsigned(rle_runlength) = 15 and unsigned(rle_size) = 0 then - wr_cnt <= wr_cnt + 16; - else - wr_cnt <= wr_cnt + 1 + resize(unsigned(rle_runlength), wr_cnt'length); - end if; - - -- EOB can only be on AC! - if dbuf_data = (dbuf_data'range => '0') and wr_cnt /= 0 then - ready_pb <= '1'; - else - if wr_cnt + resize(unsigned(rle_runlength), wr_cnt'length) = 63 then - ready_pb <= '1'; - end if; - end if; - end if; - - end if; - end process; - - ------------------------------------------------------------------- - -- fdct_buf_sel - ------------------------------------------------------------------- - p_buf_sel : process(CLK, RST) - begin - if RST = '1' then - zig_buf_sel_s <= '0'; - elsif CLK'event and CLK = '1' then - if start_pb = '1' then - zig_buf_sel_s <= not zig_buf_sel_s; - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- output data valid - ------------------------------------------------------------------- - p_dval : process(CLK, RST) - begin - if RST = '1' then - huf_dval_p0 <= '0'; - huf_dval <= '0'; - elsif CLK'event and CLK = '1' then - huf_dval_p0 <= huf_rden; - huf_dval <= huf_rden; - end if; - end process; - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/top/JpegEnc.vhd =================================================================== --- main/design/top/JpegEnc.vhd (revision 23) +++ main/design/top/JpegEnc.vhd (nonexistent) @@ -1,488 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : JpegEnc.vhd --- --- Project : JPEG_ENC --- --- Module : JpegEnc --- --- Content : JPEG Encoder Top Level --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090301: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- -library work; - use work.JPEG_PKG.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity JpegEnc is - port - ( - CLK : in std_logic; - RST : in std_logic; - - -- OPB - OPB_ABus : in std_logic_vector(31 downto 0); - OPB_BE : in std_logic_vector(3 downto 0); - OPB_DBus_in : in std_logic_vector(31 downto 0); - OPB_RNW : in std_logic; - OPB_select : in std_logic; - OPB_DBus_out : out std_logic_vector(31 downto 0); - OPB_XferAck : out std_logic; - OPB_retry : out std_logic; - OPB_toutSup : out std_logic; - OPB_errAck : out std_logic; - - -- IMAGE RAM - iram_wdata : in std_logic_vector(23 downto 0); - iram_wren : in std_logic; - iram_fifo_afull : out std_logic; - - -- OUT RAM - ram_byte : out std_logic_vector(7 downto 0); - ram_wren : out std_logic; - ram_wraddr : out std_logic_vector(23 downto 0) - ); -end entity JpegEnc; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of JpegEnc is - - signal qdata : std_logic_vector(7 downto 0); - signal qaddr : std_logic_vector(5 downto 0); - signal qwren : std_logic; - signal jpeg_ready : std_logic; - signal jpeg_busy : std_logic; - signal outram_base_addr : std_logic_vector(9 downto 0); - signal num_enc_bytes : std_logic_vector(23 downto 0); - signal img_size_x : std_logic_vector(15 downto 0); - signal img_size_y : std_logic_vector(15 downto 0); - signal sof : std_logic; - signal jpg_iram_rden : std_logic; - signal jpg_iram_rdaddr : std_logic_vector(31 downto 0); - signal jpg_iram_rdata : std_logic_vector(23 downto 0); - signal fdct_start : std_logic; - signal fdct_ready : std_logic; - signal zig_start : std_logic; - signal zig_ready : std_logic; - signal rle_start : std_logic; - signal rle_ready : std_logic; - signal huf_start : std_logic; - signal huf_ready : std_logic; - signal bs_start : std_logic; - signal bs_ready : std_logic; - signal zz_buf_sel : std_logic; - signal zz_rd_addr : std_logic_vector(5 downto 0); - signal zz_data : std_logic_vector(11 downto 0); - signal rle_buf_sel : std_logic; - signal rle_rdaddr : std_logic_vector(5 downto 0); - signal rle_data : std_logic_vector(11 downto 0); - signal huf_buf_sel : std_logic; - signal huf_rdaddr : std_logic_vector(5 downto 0); - signal huf_rden : std_logic; - signal huf_runlength : std_logic_vector(3 downto 0); - signal huf_size : std_logic_vector(3 downto 0); - signal huf_amplitude : std_logic_vector(11 downto 0); - signal huf_dval : std_logic; - signal bs_buf_sel : std_logic; - signal bs_fifo_empty : std_logic; - signal bs_rd_req : std_logic; - signal bs_packed_byte : std_logic_vector(7 downto 0); - signal huf_fifo_empty : std_logic; - signal zz_rden : std_logic; - signal fdct_sm_settings : T_SM_SETTINGS; - signal zig_sm_settings : T_SM_SETTINGS; - signal rle_sm_settings : T_SM_SETTINGS; - signal huf_sm_settings : T_SM_SETTINGS; - signal bs_sm_settings : T_SM_SETTINGS; - signal cmp_max : std_logic_vector(1 downto 0); - signal image_size_reg : std_logic_vector(31 downto 0); - signal jfif_ram_byte : std_logic_vector(7 downto 0); - signal jfif_ram_wren : std_logic; - signal jfif_ram_wraddr : std_logic_vector(23 downto 0); - signal out_mux_ctrl : std_logic; - signal img_size_wr : std_logic; - signal jfif_start : std_logic; - signal jfif_ready : std_logic; - signal bs_ram_byte : std_logic_vector(7 downto 0); - signal bs_ram_wren : std_logic; - signal bs_ram_wraddr : std_logic_vector(23 downto 0); - signal jfif_eoi : std_logic; - signal fdct_block_cnt : std_logic_vector(12 downto 0); - signal fdct_fifo_rd : std_logic; - signal fdct_fifo_empty : std_logic; - signal fdct_fifo_q : std_logic_vector(23 downto 0); - signal fdct_fifo_hf_full : std_logic; - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - ------------------------------------------------------------------- - -- Host Interface - ------------------------------------------------------------------- - U_HostIF : entity work.HostIF - port map - ( - CLK => CLK, - RST => RST, - -- OPB - OPB_ABus => OPB_ABus, - OPB_BE => OPB_BE, - OPB_DBus_in => OPB_DBus_in, - OPB_RNW => OPB_RNW, - OPB_select => OPB_select, - OPB_DBus_out => OPB_DBus_out, - OPB_XferAck => OPB_XferAck, - OPB_retry => OPB_retry, - OPB_toutSup => OPB_toutSup, - OPB_errAck => OPB_errAck, - - -- Quantizer RAM - qdata => qdata, - qaddr => qaddr, - qwren => qwren, - - -- CTRL - jpeg_ready => jpeg_ready, - jpeg_busy => jpeg_busy, - - -- ByteStuffer - outram_base_addr => outram_base_addr, - num_enc_bytes => num_enc_bytes, - - -- global - img_size_x => img_size_x, - img_size_y => img_size_y, - img_size_wr => img_size_wr, - sof => sof, - cmp_max => cmp_max - ); - - ------------------------------------------------------------------- - -- BUF_FIFO - ------------------------------------------------------------------- - U_BUF_FIFO : entity work.BUF_FIFO - port map - ( - CLK => CLK, - RST => RST, - -- HOST PROG - img_size_x => img_size_x, - img_size_y => img_size_y, - sof => sof, - - -- HOST DATA - iram_wren => iram_wren, - iram_wdata => iram_wdata, - fifo_almost_full => iram_fifo_afull, - - -- FDCT - fdct_block_cnt => fdct_block_cnt, - fdct_fifo_rd => fdct_fifo_rd, - fdct_fifo_empty => fdct_fifo_empty, - fdct_fifo_q => fdct_fifo_q, - fdct_fifo_hf_full => fdct_fifo_hf_full - ); - - ------------------------------------------------------------------- - -- Controller - ------------------------------------------------------------------- - U_CtrlSM : entity work.CtrlSM - port map - ( - CLK => CLK, - RST => RST, - - -- HOST IF - sof => sof, - img_size_x => img_size_x, - img_size_y => img_size_y, - jpeg_ready => jpeg_ready, - jpeg_busy => jpeg_busy, - cmp_max => cmp_max, - - -- FDCT - fdct_start => fdct_start, - fdct_ready => fdct_ready, - fdct_sm_settings => fdct_sm_settings, - - -- ZIGZAG - zig_start => zig_start, - zig_ready => zig_ready, - zig_sm_settings => zig_sm_settings, - - -- RLE - rle_start => rle_start, - rle_ready => rle_ready, - rle_sm_settings => rle_sm_settings, - - -- Huffman - huf_start => huf_start, - huf_ready => huf_ready, - huf_sm_settings => huf_sm_settings, - - -- ByteStuffdr - bs_start => bs_start, - bs_ready => bs_ready, - bs_sm_settings => bs_sm_settings, - - -- JFIF GEN - jfif_start => jfif_start, - jfif_ready => jfif_ready, - jfif_eoi => jfif_eoi, - - -- OUT MUX - out_mux_ctrl => out_mux_ctrl - ); - - ------------------------------------------------------------------- - -- FDCT - ------------------------------------------------------------------- - U_FDCT : entity work.FDCT - port map - ( - CLK => CLK, - RST => RST, - -- CTRL - start_pb => fdct_start, - ready_pb => fdct_ready, - fdct_sm_settings => fdct_sm_settings, - - -- BUF_FIFO - bf_block_cnt => fdct_block_cnt, - bf_fifo_rd => fdct_fifo_rd, - bf_fifo_empty => fdct_fifo_empty, - bf_fifo_q => fdct_fifo_q, - bf_fifo_hf_full => fdct_fifo_hf_full, - - -- ZIG ZAG - zz_buf_sel => zz_buf_sel, - zz_rd_addr => zz_rd_addr, - zz_data => zz_data, - zz_rden => zz_rden, - - -- HOST - img_size_x => img_size_x, - img_size_y => img_size_y, - sof => sof - ); - - ------------------------------------------------------------------- - -- ZigZag top level - ------------------------------------------------------------------- - U_ZZ_TOP : entity work.ZZ_TOP - port map - ( - CLK => CLK, - RST => RST, - -- CTRL - start_pb => zig_start, - ready_pb => zig_ready, - - -- RLE - rle_buf_sel => rle_buf_sel, - rle_rdaddr => rle_rdaddr, - rle_data => rle_data, - - -- FDCT - fdct_buf_sel => zz_buf_sel, - fdct_rd_addr => zz_rd_addr, - fdct_data => zz_data, - fdct_rden => zz_rden, - - -- HOST - qdata => qdata, - qaddr => qaddr, - qwren => qwren - ); - - ------------------------------------------------------------------- - -- RLE TOP - ------------------------------------------------------------------- - U_RLE_TOP : entity work.RLE_TOP - port map - ( - CLK => CLK, - RST => RST, - -- CTRL - start_pb => rle_start, - ready_pb => rle_ready, - rle_sm_settings => rle_sm_settings, - - -- HUFFMAN - huf_buf_sel => huf_buf_sel, - huf_rden => huf_rden, - huf_runlength => huf_runlength, - huf_size => huf_size, - huf_amplitude => huf_amplitude, - huf_dval => huf_dval, - huf_fifo_empty => huf_fifo_empty, - - -- ZIGZAG - zig_buf_sel => rle_buf_sel, - zig_rd_addr => rle_rdaddr, - zig_data => rle_data, - - -- HostIF - sof => sof - ); - - ------------------------------------------------------------------- - -- Huffman Encoder - ------------------------------------------------------------------- - U_Huffman : entity work.Huffman - port map - ( - CLK => CLK, - RST => RST, - -- CTRL - start_pb => huf_start, - ready_pb => huf_ready, - - -- HOST IF - sof => sof, - img_size_x => img_size_x, - img_size_y => img_size_y, - cmp_max => cmp_max, - - -- RLE - rle_buf_sel => huf_buf_sel, - rd_en => huf_rden, - runlength => huf_runlength, - VLI_size => huf_size, - VLI => huf_amplitude, - d_val => huf_dval, - rle_fifo_empty => huf_fifo_empty, - - - -- Byte Stuffer - bs_buf_sel => bs_buf_sel, - bs_fifo_empty => bs_fifo_empty, - bs_rd_req => bs_rd_req, - bs_packed_byte => bs_packed_byte - ); - - - ------------------------------------------------------------------- - -- Byte Stuffer - ------------------------------------------------------------------- - U_ByteStuffer : entity work.ByteStuffer - port map - ( - CLK => CLK, - RST => RST, - -- CTRL - start_pb => bs_start, - ready_pb => bs_ready, - - -- HOST IF - sof => sof, - num_enc_bytes => num_enc_bytes, - outram_base_addr => outram_base_addr, - - -- Huffman - huf_buf_sel => bs_buf_sel, - huf_fifo_empty => bs_fifo_empty, - huf_rd_req => bs_rd_req, - huf_packed_byte => bs_packed_byte, - - -- OUT RAM - ram_byte => bs_ram_byte, - ram_wren => bs_ram_wren, - ram_wraddr => bs_ram_wraddr - ); - - ------------------------------------------------------------------- - -- JFIF Generator - ------------------------------------------------------------------- - U_JFIFGen : entity work.JFIFGen - port map - ( - CLK => CLK, - RST => RST, - -- CTRL - start => jfif_start, - ready => jfif_ready, - eoi => jfif_eoi, - - -- ByteStuffer - num_enc_bytes => num_enc_bytes, - - -- HOST IF - qwren => qwren, - qwaddr => qaddr, - qwdata => qdata, - image_size_reg => image_size_reg, - image_size_reg_wr => img_size_wr, - - -- OUT RAM - ram_byte => jfif_ram_byte, - ram_wren => jfif_ram_wren, - ram_wraddr => jfif_ram_wraddr - ); - - image_size_reg <= img_size_x & img_size_y; - - ------------------------------------------------------------------- - -- OutMux - ------------------------------------------------------------------- - U_OutMux : entity work.OutMux - port map - ( - CLK => CLK, - RST => RST, - -- CTRL - out_mux_ctrl => out_mux_ctrl, - - -- ByteStuffer - bs_ram_byte => bs_ram_byte, - bs_ram_wren => bs_ram_wren, - bs_ram_wraddr => bs_ram_wraddr, - -- ByteStuffer - jfif_ram_byte => jfif_ram_byte, - jfif_ram_wren => jfif_ram_wren, - jfif_ram_wraddr => jfif_ram_wraddr, - - -- OUT RAM - ram_byte => ram_byte, - ram_wren => ram_wren, - ram_wraddr => ram_wraddr - ); - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- Index: main/design/quantizer/ROMQ.vhd =================================================================== --- main/design/quantizer/ROMQ.vhd (revision 23) +++ main/design/quantizer/ROMQ.vhd (nonexistent) @@ -1,92 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- --- Title : DCT --- Design : MDCT Core --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : ROMQ.VHD --- Created : Sun Aug 27 18:09 2006 --- --------------------------------------------------------------------------------- --- --- Description : ROM for DCT quantizer matrix --- --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use ieee.numeric_std.all; - -entity ROMQ is - generic - ( - ROMADDR_W : INTEGER := 6; - ROMDATA_W : INTEGER := 8 - ); - port( - addr : in STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); - clk : in STD_LOGIC; - - datao : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0) - ); - -end ROMQ; - -architecture RTL of ROMQ is - - type ROMQ_TYPE is array (0 to 2**ROMADDR_W-1) - of INTEGER range 0 to 2**ROMDATA_W-1; - - constant rom : ROMQ_TYPE := - -- ( - -- 16,11,10,16,24,40,51,61, - -- 12,12,14,19,26,58,60,55, - -- 14,13,16,24,40,57,69,56, - -- 14,17,22,29,51,87,80,62, - -- 18,22,37,56,68,109,103,77, - -- 24,35,55,64,81,104,113,92, - -- 49,64,78,87,103,121,120,101, - -- 72,92,95,98,112,100,103,99); - ( - --8,6,6,7,6,5,8, - --7,7,7,9,9,8,10,12, - --20,13,12,11,11,12,25,18,19,15,20,29, - --26,31,30,29,26,28,28,32,36,46,39,32, - --34,44,35,28,28,40,55,41,44,48,49,52,52,52, - --31,39,57,61,56,50,60,46,51,52,50 - - - - - 1,1,1,1,1,1,1,1, - 1,1,1,1,1,1,1,1, - 1,1,1,1,1,1,1,1, - 1,1,1,1,1,1,1,1, - 1,1,1,1,1,1,1,1, - 1,1,1,1,1,1,1,1, - 1,1,1,1,1,1,1,1, - 1,1,1,1,1,1,1,1 - ); - - - - signal addr_reg : STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); -begin - - datao <= STD_LOGIC_VECTOR(TO_UNSIGNED( rom( TO_INTEGER(UNSIGNED(addr_reg)) ), ROMDATA_W)); - - process(clk) - begin - if clk = '1' and clk'event then - addr_reg <= addr; - end if; - end process; - -end RTL; Index: main/design/quantizer/ROMR.vhd =================================================================== --- main/design/quantizer/ROMR.vhd (revision 23) +++ main/design/quantizer/ROMR.vhd (nonexistent) @@ -1,322 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2009 -- --- -- --------------------------------------------------------------------------------- --- --- Title : ROMR --- Design : EV_JPEG_ENC --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : ROMR.VHD --- Created : Wed Mar 19 21:09 2009 --- --------------------------------------------------------------------------------- --- --- Description : Reciprocal of 1/X where X is 1..255 --- --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use ieee.numeric_std.all; - -entity ROMR is - generic - ( - ROMADDR_W : INTEGER := 8; - ROMDATA_W : INTEGER := 16 - ); - port( - addr : in STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); - clk : in STD_LOGIC; - - datao : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0) - ); - -end ROMR; - -architecture RTL of ROMR is - - constant CK : integer := 256*256; - type ROMQ_TYPE is array (0 to 2**ROMADDR_W-1) - of INTEGER range 0 to 2**ROMDATA_W-1; - - constant rom : ROMQ_TYPE := - ( - 0, - 65535, - 32768, - 21845, - 16384, - 13107, - 10923, - 9362, - 8192, - 7282, - 6554, - 5958, - 5461, - 5041, - 4681, - 4369, - 4096, - 3855, - 3641, - 3449, - 3277, - 3121, - 2979, - 2849, - 2731, - 2621, - 2521, - 2427, - 2341, - 2260, - 2185, - 2114, - 2048, - 1986, - 1928, - 1872, - 1820, - 1771, - 1725, - 1680, - 1638, - 1598, - 1560, - 1524, - 1489, - 1456, - 1425, - 1394, - 1365, - 1337, - 1311, - 1285, - 1260, - 1237, - 1214, - 1192, - 1170, - 1150, - 1130, - 1111, - 1092, - 1074, - 1057, - 1040, - 1024, - 1008, - 993, - 978, - 964, - 950, - 936, - 923, - 910, - 898, - 886, - 874, - 862, - 851, - 840, - 830, - 819, - 809, - 799, - 790, - 780, - 771, - 762, - 753, - 745, - 736, - 728, - 720, - 712, - 705, - 697, - 690, - 683, - 676, - 669, - 662, - 655, - 649, - 643, - 636, - 630, - 624, - 618, - 612, - 607, - 601, - 596, - 590, - 585, - 580, - 575, - 570, - 565, - 560, - 555, - 551, - 546, - 542, - 537, - 533, - 529, - 524, - 520, - 516, - 512, - 508, - 504, - 500, - 496, - 493, - 489, - 485, - 482, - 478, - 475, - 471, - 468, - 465, - 462, - 458, - 455, - 452, - 449, - 446, - 443, - 440, - 437, - 434, - 431, - 428, - 426, - 423, - 420, - 417, - 415, - 412, - 410, - 407, - 405, - 402, - 400, - 397, - 395, - 392, - 390, - 388, - 386, - 383, - 381, - 379, - 377, - 374, - 372, - 370, - 368, - 366, - 364, - 362, - 360, - 358, - 356, - 354, - 352, - 350, - 349, - 347, - 345, - 343, - 341, - 340, - 338, - 336, - 334, - 333, - 331, - 329, - 328, - 326, - 324, - 323, - 321, - 320, - 318, - 317, - 315, - 314, - 312, - 311, - 309, - 308, - 306, - 305, - 303, - 302, - 301, - 299, - 298, - 297, - 295, - 294, - 293, - 291, - 290, - 289, - 287, - 286, - 285, - 284, - 282, - 281, - 280, - 279, - 278, - 277, - 275, - 274, - 273, - 272, - 271, - 270, - 269, - 267, - 266, - 265, - 264, - 263, - 262, - 261, - 260, - 259, - 258, - 257 - ); - - - - signal addr_reg : STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); -begin - - datao <= STD_LOGIC_VECTOR(TO_UNSIGNED( rom( TO_INTEGER(UNSIGNED(addr_reg)) ), ROMDATA_W)); - - process(clk) - begin - if clk = '1' and clk'event then - addr_reg <= addr; - end if; - end process; - -end RTL; Index: main/design/quantizer/QUANTIZER.vhd =================================================================== --- main/design/quantizer/QUANTIZER.vhd (revision 23) +++ main/design/quantizer/QUANTIZER.vhd (nonexistent) @@ -1,178 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- -- --- Title : DIVIDER -- --- Design : DCT QUANTIZER -- --- Author : Michal Krepa -- --- -- --------------------------------------------------------------------------------- --- -- --- File : QUANTIZER.VHD -- --- Created : Sun Aug 27 2006 -- --- -- --------------------------------------------------------------------------------- --- -- --- Description : Pipelined DCT Quantizer -- --- Pipeline delay: 2*SIZE_C+INTERN_PIPE_C -- --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.All; - use IEEE.NUMERIC_STD.all; - -entity quantizer is - generic - ( - SIZE_C : INTEGER := 12; - RAMQADDR_W : INTEGER := 6; - RAMQDATA_W : INTEGER := 8 - ); - port - ( - rst : in STD_LOGIC; - clk : in STD_LOGIC; - di : in STD_LOGIC_VECTOR(SIZE_C-1 downto 0); - divalid : in STD_LOGIC; - qdata : in std_logic_vector(7 downto 0); - qwaddr : in std_logic_vector(5 downto 0); - qwren : in std_logic; - - do : out STD_LOGIC_VECTOR(SIZE_C-1 downto 0); - dovalid : out STD_LOGIC - ); -end quantizer; - -architecture rtl of quantizer is - - constant INTERN_PIPE_C : INTEGER := 3; - - signal romaddr_s : UNSIGNED(RAMQADDR_W-1 downto 0); - signal slv_romaddr_s : STD_LOGIC_VECTOR(RAMQADDR_W-1 downto 0); - signal romdatao_s : STD_LOGIC_VECTOR(RAMQDATA_W-1 downto 0); - signal divisor_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); - signal remainder_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); - signal do_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); - signal round_s : STD_LOGIC; - signal di_d1 : std_logic_vector(SIZE_C-1 downto 0); - - signal pipeline_reg : STD_LOGIC_VECTOR(4 downto 0); - signal sign_bit_pipe : std_logic_vector(SIZE_C+INTERN_PIPE_C+1-1 downto 0); - signal do_rdiv : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); -begin - - ---------------------------- - -- RAMQ - ---------------------------- - U_RAMQ : entity work.RAMZ - generic map - ( - RAMADDR_W => RAMQADDR_W, - RAMDATA_W => RAMQDATA_W - ) - port map - ( - d => qdata, - waddr => qwaddr, - raddr => slv_romaddr_s, - we => qwren, - clk => CLK, - - q => romdatao_s - ); - - ---------------------------- - -- S_DIVIDER - ---------------------------- - --U_S_DIVIDER : entity work.s_divider - -- generic map - -- ( - -- SIZE_C => SIZE_C - -- ) - -- port map - -- ( - -- rst => rst, - -- clk => clk, - -- a => di_d1, - -- d => divisor_s, - -- - -- q => do_s, - -- r => remainder_s, -- if ever used, needs to be 1T delayed - -- round => round_s - -- ); - - divisor_s(RAMQDATA_W-1 downto 0) <= romdatao_s; - divisor_s(SIZE_C-1 downto RAMQDATA_W) <= (others => '0'); - - r_divider : entity work.r_divider - port map - ( - rst => rst, - clk => clk, - a => di_d1, - d => romdatao_s, - - q => do_s - ) ; - do <= do_s; - slv_romaddr_s <= STD_LOGIC_VECTOR(romaddr_s); - - ------------------------------ - ---- round to nearest integer - ------------------------------ - --process(clk) - --begin - -- if clk = '1' and clk'event then - -- if rst = '1' then - -- do <= (others => '0'); - -- else - -- -- round to nearest integer? - -- if round_s = '1' then - -- -- negative number, subtract 1 - -- if sign_bit_pipe(sign_bit_pipe'length-1) = '1' then - -- do <= STD_LOGIC_VECTOR(SIGNED(do_s)-TO_SIGNED(1,SIZE_C)); - -- -- positive number, add 1 - -- else - -- do <= STD_LOGIC_VECTOR(SIGNED(do_s)+TO_SIGNED(1,SIZE_C)); - -- end if; - -- else - -- do <= do_s; - -- end if; - -- end if; - -- end if; - --end process; - - ---------------------------- - -- address incrementer - ---------------------------- - process(clk) - begin - if clk = '1' and clk'event then - if rst = '1' then - romaddr_s <= (others => '0'); - pipeline_reg <= (OTHERS => '0'); - di_d1 <= (OTHERS => '0'); - sign_bit_pipe <= (others => '0'); - else - if divalid = '1' then - romaddr_s <= romaddr_s + TO_UNSIGNED(1,RAMQADDR_W); - end if; - - pipeline_reg <= pipeline_reg(pipeline_reg'length-2 downto 0) & divalid; - - di_d1 <= di; - - sign_bit_pipe <= sign_bit_pipe(sign_bit_pipe'length-2 downto 0) & di(SIZE_C-1); - end if; - end if; - end process; - - dovalid <= pipeline_reg(pipeline_reg'high); - -end rtl; --------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/quantizer/r_divider.vhd =================================================================== --- main/design/quantizer/r_divider.vhd (revision 23) +++ main/design/quantizer/r_divider.vhd (nonexistent) @@ -1,124 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2009 -- --- -- --------------------------------------------------------------------------------- --- -- --- Title : DIVIDER -- --- Design : Divider using reciprocal table -- --- Author : Michal Krepa -- --- -- --------------------------------------------------------------------------------- --- -- --- File : R_DIVIDER.VHD -- --- Created : Wed 18-03-2009 -- --- -- --------------------------------------------------------------------------------- --- -- --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- --- MAIN DIVIDER top level --------------------------------------------------------------------------------- -library IEEE; - use IEEE.STD_LOGIC_1164.All; - use IEEE.NUMERIC_STD.all; - -entity r_divider is - port - ( - rst : in STD_LOGIC; - clk : in STD_LOGIC; - a : in STD_LOGIC_VECTOR(11 downto 0); - d : in STD_LOGIC_VECTOR(7 downto 0); - - q : out STD_LOGIC_VECTOR(11 downto 0) - ) ; -end r_divider ; - -architecture rtl of r_divider is - - signal romr_datao : std_logic_vector(15 downto 0); - signal romr_addr : std_logic_vector(7 downto 0); - signal dividend : signed(11 downto 0); - signal dividend_d1 : unsigned(11 downto 0); - signal reciprocal : unsigned(15 downto 0); - signal mult_out : unsigned(27 downto 0); - signal mult_out_s : signed(11 downto 0); - signal signbit : std_logic; - signal signbit_d1 : std_logic; - signal signbit_d2 : std_logic; - signal signbit_d3 : std_logic; - signal round : std_logic; - -begin - - U_ROMR : entity work.ROMR - generic map - ( - ROMADDR_W => 8, - ROMDATA_W => 16 - ) - port map - ( - addr => romr_addr, - clk => CLK, - datao => romr_datao - ); - - romr_addr <= d; - reciprocal <= unsigned(romr_datao); - - dividend <= signed(a); - signbit <= dividend(dividend'high); - - rdiv : process(clk,rst) - begin - if rst = '1' then - mult_out <= (others => '0'); - mult_out_s <= (others => '0'); - dividend_d1 <= (others => '0'); - q <= (others => '0'); - signbit_d1 <= '0'; - signbit_d2 <= '0'; - signbit_d3 <= '0'; - round <= '0'; - elsif clk = '1' and clk'event then - signbit_d1 <= signbit; - signbit_d2 <= signbit_d1; - signbit_d3 <= signbit_d2; - if signbit = '1' then - dividend_d1 <= unsigned(0-dividend); - else - dividend_d1 <= unsigned(dividend); - end if; - - mult_out <= dividend_d1 * reciprocal; - - if signbit_d2 = '0' then - mult_out_s <= resize(signed(mult_out(27 downto 16)),mult_out_s'length); - else - mult_out_s <= resize(0-signed(mult_out(27 downto 16)),mult_out_s'length); - end if; - round <= mult_out(15); - - if signbit_d3 = '0' then - if round = '1' then - q <= std_logic_vector(mult_out_s + 1); - else - q <= std_logic_vector(mult_out_s); - end if; - else - if round = '1' then - q <= std_logic_vector(mult_out_s - 1); - else - q <= std_logic_vector(mult_out_s); - end if; - end if; - end if; - end process; - -end rtl; - - Index: main/design/quantizer/s_divider.vhd =================================================================== --- main/design/quantizer/s_divider.vhd (revision 23) +++ main/design/quantizer/s_divider.vhd (nonexistent) @@ -1,170 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006-2009 -- --- -- --------------------------------------------------------------------------------- --- -- --- Title : DIVIDER -- --- Design : Signed Pipelined Divider core -- --- Author : Michal Krepa -- --- -- --------------------------------------------------------------------------------- --- -- --- File : S_DIVIDER.VHD -- --- Created : Sat Aug 26 2006 -- --- Modified : Thu Mar 12 2009 -- --- -- --------------------------------------------------------------------------------- --- -- --- Description : Signed Pipelined Divider -- --- -- --- dividend allowable range of -2**SIZE_C to 2**SIZE_C-1 [SIGNED number] -- --- divisor allowable range of 1 to (2**SIZE_C)/2-1 [UNSIGNED number] -- --- pipeline latency is 2*SIZE_C+2 (time from latching input to result ready) -- --- when pipeline is full new result is generated every clock cycle -- --- Non-Restoring division algorithm -- --- Use SIZE_C constant in divider entity to adjust bit width -- --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- --- MAIN DIVIDER top level --------------------------------------------------------------------------------- -library IEEE; - use IEEE.STD_LOGIC_1164.All; - use IEEE.NUMERIC_STD.all; - -entity s_divider is - generic - ( - SIZE_C : INTEGER := 32 - ) ; -- SIZE_C: Number of bits - port - ( - rst : in STD_LOGIC; - clk : in STD_LOGIC; - a : in STD_LOGIC_VECTOR(SIZE_C-1 downto 0) ; - d : in STD_LOGIC_VECTOR(SIZE_C-1 downto 0) ; - - q : out STD_LOGIC_VECTOR(SIZE_C-1 downto 0) ; - r : out STD_LOGIC_VECTOR(SIZE_C-1 downto 0) ; - round : out STD_LOGIC - ) ; -end s_divider ; - -architecture str of s_divider is - - type S_ARRAY is array(0 to SIZE_C+3) of unsigned(SIZE_C-1 downto 0); - type S2_ARRAY is array(0 to SIZE_C+1) of unsigned(2*SIZE_C-1 downto 0); - - signal d_s : S_ARRAY; - signal q_s : S_ARRAY; - signal r_s : S2_ARRAY; - signal diff : S_ARRAY; - signal qu_s : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); - signal ru_s : unsigned(SIZE_C-1 downto 0); - signal qu_s2 : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); - signal ru_s2 : unsigned(SIZE_C-1 downto 0); - signal d_reg : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); - signal pipeline_reg : STD_LOGIC_VECTOR(SIZE_C+3-1 downto 0); - signal r_reg : STD_LOGIC_VECTOR(SIZE_C-1 downto 0); - -begin - - pipeline : process(clk,rst) - begin - if rst = '1' then - for k in 0 to SIZE_C loop - r_s(k) <= (others => '0'); - q_s(k) <= (others => '0'); - d_s(k) <= (others => '0'); - end loop; - pipeline_reg <= (others => '0'); - elsif clk = '1' and clk'event then - - -- negative number - if a(SIZE_C-1) = '1' then - -- negate negative number to create positive - r_s(0) <= unsigned(resize(unsigned(not(SIGNED(a)) + TO_SIGNED(1,SIZE_C)),2*SIZE_C)); - -- left shift - pipeline_reg <= pipeline_reg(pipeline_reg'high-1 downto 0) & '1'; - else - r_s(0) <= resize(unsigned(a),2*SIZE_C); - -- left shift - pipeline_reg <= pipeline_reg(pipeline_reg'high-1 downto 0) & '0'; - end if; - d_s(0) <= unsigned(d); - q_s(0) <= (others => '0'); - - -- pipeline - for k in 0 to SIZE_C loop - -- test remainder if positive/negative - if r_s(k)(2*SIZE_C-1) = '0' then - -- shift r_tmp one bit left and subtract d_tmp from upper part of r_tmp - r_s(k+1)(2*SIZE_C-1 downto SIZE_C) <= r_s(k)(2*SIZE_C-2 downto SIZE_C-1) - d_s(k); - else - r_s(k+1)(2*SIZE_C-1 downto SIZE_C) <= r_s(k)(2*SIZE_C-2 downto SIZE_C-1) + d_s(k); - end if; - -- shift r_tmp one bit left (lower part) - r_s(k+1)(SIZE_C-1 downto 0) <= r_s(k)(SIZE_C-2 downto 0) & '0'; - - if diff(k)(SIZE_C-1) = '0' then - q_s(k+1) <= q_s(k)(SIZE_C-2 downto 0) & '1'; - else - q_s(k+1) <= q_s(k)(SIZE_C-2 downto 0) & '0'; - end if; - - d_s(k+1) <= d_s(k); - end loop; - end if; - end process; - - G_DIFF: for x in 0 to SIZE_C generate - diff(x) <= r_s(x)(2*SIZE_C-2 downto SIZE_C-1) - d_s(x) when r_s(x)(2*SIZE_C-1) = '0' - else r_s(x)(2*SIZE_C-2 downto SIZE_C-1) + d_s(x); - end generate G_DIFF; - - qu_s <= STD_LOGIC_VECTOR( q_s(SIZE_C) ); - ru_s <= r_s(SIZE_C)(2*SIZE_C-1 downto SIZE_C); - - process(clk,rst) - begin - if rst = '1' then - q <= (others => '0'); - r_reg <= (others => '0'); - round <= '0'; - elsif clk = '1' and clk'event then - - - if ru_s(SIZE_C-1) = '0' then - ru_s2 <= (ru_s); - else - ru_s2 <= (unsigned(ru_s) + d_s(SIZE_C)); - end if; - qu_s2 <= qu_s; - - -- negative number - if pipeline_reg(SIZE_C+1) = '1' then - -- negate positive number to create negative - q <= STD_LOGIC_VECTOR(not(SIGNED(qu_s2)) + TO_SIGNED(1,SIZE_C)); - r_reg <= STD_LOGIC_VECTOR(not(SIGNED(ru_s2)) + TO_SIGNED(1,SIZE_C)); - else - q <= STD_LOGIC_VECTOR(qu_s2); - r_reg <= STD_LOGIC_VECTOR(ru_s2); - end if; - - -- if 2*remainder >= divisor then add 1 to round to nearest integer - if (ru_s2(SIZE_C-2 downto 0) & '0') >= d_s(SIZE_C+1) then - round <= '1'; - else - round <= '0'; - end if; - end if; - end process; - - -- remainder - r <= r_reg; - -end str; - - Index: main/design/bytestuffer/ByteStuffer.vhd =================================================================== --- main/design/bytestuffer/ByteStuffer.vhd (revision 23) +++ main/design/bytestuffer/ByteStuffer.vhd (nonexistent) @@ -1,209 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : ByteStuffer.vhd --- --- Project : JPEG_ENC --- --- Module : ByteStuffer --- --- Content : ByteStuffer --- --- Description : ByteStuffer core --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090301: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- -library work; - use work.JPEG_PKG.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity ByteStuffer is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- CTRL - start_pb : in std_logic; - ready_pb : out std_logic; - - -- HOST IF - sof : in std_logic; - num_enc_bytes : out std_logic_vector(23 downto 0); - outram_base_addr : in std_logic_vector(9 downto 0); - - -- Huffman - huf_buf_sel : out std_logic; - huf_fifo_empty : in std_logic; - huf_rd_req : out std_logic; - huf_packed_byte : in std_logic_vector(7 downto 0); - - -- OUT RAM - ram_byte : out std_logic_vector(7 downto 0); - ram_wren : out std_logic; - ram_wraddr : out std_logic_vector(23 downto 0) - ); -end entity ByteStuffer; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of ByteStuffer is - - signal huf_data_val : std_logic_vector(3 downto 0); - signal wdata_reg : std_logic_vector(15 downto 0); - signal wraddr : unsigned(23 downto 0); - signal wr_n_cnt : unsigned(1 downto 0); - signal huf_buf_sel_s : std_logic; - signal rd_en : std_logic; - signal rd_en_d1 : std_logic; - signal huf_rd_req_s : std_logic; - signal latch_byte : std_logic_vector(7 downto 0); - signal data_valid : std_logic; - signal wait_for_ndata : std_logic; - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - huf_buf_sel <= huf_buf_sel_s; - huf_rd_req <= huf_rd_req_s; - - num_enc_bytes <= std_logic_vector(wraddr); - - ------------------------------------------------------------------- - -- CTRL_SM - ------------------------------------------------------------------- - p_ctrl_sm : process(CLK, RST) - begin - if RST = '1' then - wr_n_cnt <= (others => '0'); - ready_pb <= '0'; - huf_rd_req_s <= '0'; - huf_data_val <= (others => '0'); - rd_en <= '0'; - rd_en_d1 <= '0'; - wdata_reg <= (others => '0'); - ram_wren <= '0'; - wraddr <= (others => '0'); - ram_wraddr <= (others => '0'); - ram_byte <= (others => '0'); - latch_byte <= (others => '0'); - wait_for_ndata <= '0'; - data_valid <= '0'; - elsif CLK'event and CLK = '1' then - huf_rd_req_s <= '0'; - ready_pb <= '0'; - huf_data_val <= huf_data_val(huf_data_val'length-2 downto 0) & huf_rd_req_s; - rd_en_d1 <= rd_en; - ram_wren <= '0'; - data_valid <= '0'; - - if start_pb = '1' then - rd_en <= '1'; - end if; - - -- read FIFO until it becomes empty. wait until last byte read is - -- serviced - if rd_en_d1 = '1' and wait_for_ndata = '0' then - -- FIFO empty - if huf_fifo_empty = '1' then - rd_en <= '0'; - rd_en_d1 <= '0'; - ready_pb <= '1'; - else - huf_rd_req_s <= '1'; - wait_for_ndata <= '1'; - end if; - end if; - - -- show ahead FIFO, capture data early - if huf_rd_req_s = '1' then - latch_byte <= huf_packed_byte; - data_valid <= '1'; - end if; - - if huf_data_val(1) = '1' then - wait_for_ndata <= '0'; - end if; - - -- data from FIFO is valid - if data_valid = '1' then - -- stuffing necessary - if latch_byte = X"FF" then - -- two writes are necessary for byte stuffing - wr_n_cnt <= "10"; - wdata_reg <= X"FF00"; - -- no stuffing - else - wr_n_cnt <= "01"; - wdata_reg <= X"00" & latch_byte; - end if; - end if; - - if wr_n_cnt > 0 then - wr_n_cnt <= wr_n_cnt - 1; - ram_wren <= '1'; - wraddr <= wraddr + 1; - end if; - -- delayed to make address post-increment - ram_wraddr <= std_logic_vector(wraddr); - - -- stuffing - if wr_n_cnt = 2 then - ram_byte <= wdata_reg(15 downto 8); - elsif wr_n_cnt = 1 then - ram_byte <= wdata_reg(7 downto 0); - end if; - - if sof = '1' then - wraddr <= to_unsigned(C_HDR_SIZE,wraddr'length); - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- HUFFMAN buf_sel - ------------------------------------------------------------------- - p_huf_buf_sel : process(CLK, RST) - begin - if RST = '1' then - huf_buf_sel_s <= '0'; - elsif CLK'event and CLK = '1' then - if start_pb = '1' then - huf_buf_sel_s <= not huf_buf_sel_s; - end if; - end if; - end process; - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/huffman/DC_ROM.vhd =================================================================== --- main/design/huffman/DC_ROM.vhd (revision 23) +++ main/design/huffman/DC_ROM.vhd (nonexistent) @@ -1,127 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : DC_ROM.vhd --- --- Project : JPEG_ENC --- --- Module : DC_ROM --- --- Content : DC_ROM Luminance --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090228: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity DC_ROM is - port - ( - CLK : in std_logic; - RST : in std_logic; - VLI_size : in std_logic_vector(3 downto 0); - - VLC_DC_size : out std_logic_vector(3 downto 0); - VLC_DC : out unsigned(8 downto 0) - ); -end entity DC_ROM; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of DC_ROM is - - - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - ------------------------------------------------------------------- - -- DC-ROM - ------------------------------------------------------------------- - p_dc_rom : process(CLK, RST) - begin - if RST = '1' then - VLC_DC_size <= X"0"; - VLC_DC <= (others => '0'); - elsif CLK'event and CLK = '1' then - case VLI_size is - when X"0" => - VLC_DC_size <= X"2"; - VLC_DC <= resize("00", VLC_DC'length); - when X"1" => - VLC_DC_size <= X"3"; - VLC_DC <= resize("010", VLC_DC'length); - when X"2" => - VLC_DC_size <= X"3"; - VLC_DC <= resize("011", VLC_DC'length); - when X"3" => - VLC_DC_size <= X"3"; - VLC_DC <= resize("100", VLC_DC'length); - when X"4" => - VLC_DC_size <= X"3"; - VLC_DC <= resize("101", VLC_DC'length); - when X"5" => - VLC_DC_size <= X"3"; - VLC_DC <= resize("110", VLC_DC'length); - when X"6" => - VLC_DC_size <= X"4"; - VLC_DC <= resize("1110", VLC_DC'length); - when X"7" => - VLC_DC_size <= X"5"; - VLC_DC <= resize("11110", VLC_DC'length); - when X"8" => - VLC_DC_size <= X"6"; - VLC_DC <= resize("111110", VLC_DC'length); - when X"9" => - VLC_DC_size <= X"7"; - VLC_DC <= resize("1111110", VLC_DC'length); - when X"A" => - VLC_DC_size <= X"8"; - VLC_DC <= resize("11111110", VLC_DC'length); - when X"B" => - VLC_DC_size <= X"9"; - VLC_DC <= resize("111111110", VLC_DC'length); - when others => - VLC_DC_size <= X"0"; - VLC_DC <= (others => '0'); - end case; - end if; - end process; - - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/huffman/Huffman.vhd =================================================================== --- main/design/huffman/Huffman.vhd (revision 23) +++ main/design/huffman/Huffman.vhd (nonexistent) @@ -1,481 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : Huffman.vhd --- --- Project : JPEG_ENC --- --- Module : Huffman --- --- Content : Huffman Encoder --- --- Description : Huffman encoder core --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090228: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity Huffman is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- CTRL - start_pb : in std_logic; - ready_pb : out std_logic; - - -- HOST IF - sof : in std_logic; - img_size_x : in std_logic_vector(15 downto 0); - img_size_y : in std_logic_vector(15 downto 0); - cmp_max : in std_logic_vector(1 downto 0); - - -- RLE - rle_buf_sel : out std_logic; - rd_en : out std_logic; - runlength : in std_logic_vector(3 downto 0); - VLI_size : in std_logic_vector(3 downto 0); - VLI : in std_logic_vector(11 downto 0); - d_val : in std_logic; - rle_fifo_empty : in std_logic; - - -- Byte Stuffer - bs_buf_sel : in std_logic; - bs_fifo_empty : out std_logic; - bs_rd_req : in std_logic; - bs_packed_byte : out std_logic_vector(7 downto 0) - ); -end entity Huffman; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of Huffman is - - type T_STATE is (IDLE, RUN_VLC, RUN_VLI, PAD); - - constant C_M : integer := 23; - constant BLK_SIZE : integer := 64; - - signal state : T_STATE; - signal rle_buf_sel_s : std_logic; - signal first_rle_word : std_logic; - signal word_reg : unsigned(C_M-1 downto 0); - signal bit_ptr : unsigned(4 downto 0); - signal num_fifo_wrs : unsigned(1 downto 0); - signal VLI_ext : unsigned(15 downto 0); - signal VLI_ext_size : unsigned(4 downto 0); - signal ready_HFW : std_logic; - signal fifo_wbyte : std_logic_vector(7 downto 0); - signal fifo_wrt_cnt : unsigned(1 downto 0); - signal fifo_wren : std_logic; - signal last_block : std_logic; - signal image_area_size : unsigned(33 downto 0); - signal block_cnt : unsigned(27 downto 0); - signal VLC_size : unsigned(4 downto 0); - signal VLC : unsigned(15 downto 0); - signal VLC_DC_size : std_logic_vector(3 downto 0); - signal VLC_DC : unsigned(8 downto 0); - signal VLC_AC_size : unsigned(4 downto 0); - signal VLC_AC : unsigned(15 downto 0); - signal vlc_vld : std_logic; - signal d_val_d1 : std_logic; - signal d_val_d2 : std_logic; - signal d_val_d3 : std_logic; - signal d_val_d4 : std_logic; - signal VLI_size_d : std_logic_vector(3 downto 0); - signal VLI_d : std_logic_vector(11 downto 0); - signal VLI_size_d1 : std_logic_vector(3 downto 0); - signal VLI_d1 : std_logic_vector(11 downto 0); - signal HFW_running : std_logic; - signal runlength_r : std_logic_vector(3 downto 0); - signal VLI_size_r : std_logic_vector(3 downto 0); - signal VLI_r : std_logic_vector(11 downto 0); - signal rd_en_s : std_logic; - signal pad_byte : std_logic_vector(7 downto 0); - signal pad_reg : std_logic; - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - rle_buf_sel <= rle_buf_sel_s; - - rd_en <= rd_en_s; - vlc_vld <= rd_en_s; - - ------------------------------------------------------------------- - -- latch FIFO Q - ------------------------------------------------------------------- - p_latch_fifo : process(CLK, RST) - begin - if RST = '1' then - VLI_size_r <= (others => '0'); - VLI_r <= (others => '0'); - elsif CLK'event and CLK = '1' then - if d_val = '1' then - VLI_size_r <= VLI_size; - VLI_r <= VLI; - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- DC_ROM - ------------------------------------------------------------------- - U_DC_ROM : entity work.DC_ROM - port map - ( - CLK => CLK, - RST => RST, - VLI_size => VLI_size, - - VLC_DC_size => VLC_DC_size, - VLC_DC => VLC_DC - ); - - ------------------------------------------------------------------- - -- AC_ROM - ------------------------------------------------------------------- - U_AC_ROM : entity work.AC_ROM - port map - ( - CLK => CLK, - RST => RST, - runlength => runlength, - VLI_size => VLI_size, - - VLC_AC_size => VLC_AC_size, - VLC_AC => VLC_AC - ); - - ------------------------------------------------------------------- - -- Double Fifo - ------------------------------------------------------------------- - U_DoubleFifo : entity work.DoubleFifo - port map - ( - CLK => CLK, - RST => RST, - -- HUFFMAN - data_in => fifo_wbyte, - wren => fifo_wren, - -- BYTE STUFFER - buf_sel => bs_buf_sel, - rd_req => bs_rd_req, - fifo_empty => bs_fifo_empty, - data_out => bs_packed_byte - ); - - ------------------------------------------------------------------- - -- RLE buf_sel - ------------------------------------------------------------------- - p_rle_buf_sel : process(CLK, RST) - begin - if RST = '1' then - rle_buf_sel_s <= '0'; - elsif CLK'event and CLK = '1' then - if start_pb = '1' then - rle_buf_sel_s <= not rle_buf_sel_s; - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- mux for DC/AC ROM - ------------------------------------------------------------------- - p_mux : process(CLK, RST) - begin - if RST = '1' then - VLC_size <= (others => '0'); - VLC <= (others => '0'); - elsif CLK'event and CLK = '1' then - if first_rle_word = '1' then - VLC_size <= unsigned('0' & VLC_DC_size); - VLC <= resize(VLC_DC, VLC'length); - else - VLC_size <= VLC_AC_size; - VLC <= VLC_AC; - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- Block Counter / Last Block detector - ------------------------------------------------------------------- - p_blk_cnt : process(CLK, RST) - begin - if RST = '1' then - image_area_size <= (others => '0'); - last_block <= '0'; - elsif CLK'event and CLK = '1' then - image_area_size <= unsigned(cmp_max)* - unsigned(img_size_x)*unsigned(img_size_y); - - if sof = '1' then - block_cnt <= (others => '0'); - elsif start_pb = '1' then - block_cnt <= block_cnt + 1; - end if; - - if block_cnt = image_area_size(33 downto 6) then - last_block <= '1'; - else - last_block <= '0'; - end if; - - end if; - end process; - - VLI_ext <= unsigned("0000" & VLI_d1); - VLI_ext_size <= unsigned('0' & VLI_size_d1); - - ------------------------------------------------------------------- - -- delay line - ------------------------------------------------------------------- - p_vli_dly : process(CLK, RST) - begin - if RST = '1' then - VLI_d <= (others => '0'); - VLI_size_d <= (others => '0'); - VLI_d1 <= (others => '0'); - VLI_size_d1 <= (others => '0'); - d_val_d1 <= '0'; - d_val_d2 <= '0'; - d_val_d3 <= '0'; - d_val_d4 <= '0'; - elsif CLK'event and CLK = '1' then - VLI_d1 <= VLI_r; - VLI_size_d1 <= VLI_size_r; - - VLI_d <= VLI_d1; - VLI_size_d <= VLI_size_d1; - - d_val_d1 <= d_val; - d_val_d2 <= d_val_d1; - d_val_d3 <= d_val_d2; - d_val_d4 <= d_val_d3; - end if; - end process; - - ------------------------------------------------------------------- - -- HandleFifoWrites - ------------------------------------------------------------------- - p_HandleFifoWrites : process(CLK, RST) - begin - if RST = '1' then - ready_HFW <= '0'; - fifo_wrt_cnt <= (others => '0'); - fifo_wren <= '0'; - fifo_wbyte <= (others => '0'); - rd_en_s <= '0'; - elsif CLK'event and CLK = '1' then - fifo_wren <= '0'; - ready_HFW <= '0'; - rd_en_s <= '0'; - - if start_pb = '1' then - rd_en_s <= '1'; - end if; - - if HFW_running = '1' and ready_HFW = '0' then - -- there is no at least one integer byte to write this time - if num_fifo_wrs = 0 then - ready_HFW <= '1'; - if state = RUN_VLI then - rd_en_s <= '1'; - end if; - -- single byte write to FIFO - else - fifo_wrt_cnt <= fifo_wrt_cnt + 1; - fifo_wren <= '1'; - -- last byte write - if fifo_wrt_cnt + 1 = num_fifo_wrs then - ready_HFW <= '1'; - if state = RUN_VLI then - rd_en_s <= '1'; - end if; - fifo_wrt_cnt <= (others => '0'); - end if; - end if; - end if; - - case fifo_wrt_cnt is - when "00" => - fifo_wbyte <= std_logic_vector(word_reg(C_M-1 downto C_M-8)); - when "01" => - fifo_wbyte <= std_logic_vector(word_reg(C_M-8-1 downto C_M-16)); - when others => - fifo_wbyte <= (others => '0'); - end case; - if pad_reg = '1' then - fifo_wbyte <= pad_byte; - end if; - - - end if; - end process; - - -- divide by 8 - num_fifo_wrs <= bit_ptr(4 downto 3); - - ------------------------------------------------------------------- - -- Variable Length Processor FSM - ------------------------------------------------------------------- - p_vlp : process(CLK, RST) - begin - if RST = '1' then - ready_pb <= '0'; - first_rle_word <= '0'; - state <= IDLE; - word_reg <= (others => '0'); - bit_ptr <= (others => '0'); - HFW_running <= '0'; - pad_reg <= '0'; - pad_byte <= (others => '0'); - elsif CLK'event and CLK = '1' then - ready_pb <= '0'; - - case state is - - when IDLE => - if start_pb = '1' then - first_rle_word <= '1'; - state <= RUN_VLC; - end if; - - when RUN_VLC => - -- data valid DC or data valid AC - if (d_val_d2 = '1' and first_rle_word = '1') or - (d_val = '1' and first_rle_word = '0') then - for i in 0 to C_M-1 loop - if i < to_integer(VLC_size) then - word_reg(C_M-1-to_integer(bit_ptr)-i) <= VLC(to_integer(VLC_size)-1-i); - end if; - end loop; - bit_ptr <= bit_ptr + resize(VLC_size,bit_ptr'length); - - -- HandleFifoWrites - HFW_running <= '1'; - -- HandleFifoWrites completed - elsif HFW_running = '1' and - (num_fifo_wrs = 0 or fifo_wrt_cnt + 1 = num_fifo_wrs) then - -- shift word reg left to skip bytes already written to FIFO - word_reg <= shift_left(word_reg, to_integer(num_fifo_wrs & "000")); - -- adjust bit pointer after some bytes were written to FIFO - -- modulo 8 operation - bit_ptr <= bit_ptr - (num_fifo_wrs & "000"); - HFW_running <= '0'; - first_rle_word <= '0'; - state <= RUN_VLI; - end if; - - when RUN_VLI => - if HFW_running = '0' then - - for i in 0 to C_M-1 loop - if i < to_integer(VLI_ext_size) then - word_reg(C_M-1-to_integer(bit_ptr)-i) - <= VLI_ext(to_integer(VLI_ext_size)-1-i); - end if; - end loop; - - bit_ptr <= bit_ptr + resize(VLI_ext_size,bit_ptr'length); - - -- HandleFifoWrites - HFW_running <= '1'; - -- HandleFifoWrites completed - elsif HFW_running = '1' and - (num_fifo_wrs = 0 or fifo_wrt_cnt + 1 = num_fifo_wrs) then - -- shift word reg left to skip bytes already written to FIFO - word_reg <= shift_left(word_reg, to_integer(num_fifo_wrs & "000")); - -- adjust bit pointer after some bytes were written to FIFO - -- modulo 8 operation - bit_ptr <= bit_ptr - (num_fifo_wrs & "000"); - HFW_running <= '0'; - - -- end of block - if rle_fifo_empty = '1' then - -- end of segment - if bit_ptr - (num_fifo_wrs & "000") /= 0 and last_block = '1' then - state <= PAD; - else - ready_pb <= '1'; - state <= IDLE; - end if; - else - state <= RUN_VLC; - end if; - end if; - - -- end of segment which requires bit padding - when PAD => - if HFW_running = '0' then - -- 1's bit padding to integer number of bytes - for i in 0 to 7 loop - if i < bit_ptr then - pad_byte(7-i) <= word_reg(C_M-1-i); - else - pad_byte(7-i) <= '1'; - end if; - end loop; - pad_reg <= '1'; - - bit_ptr <= to_unsigned(8, bit_ptr'length); - - -- HandleFifoWrites - HFW_running <= '1'; - elsif HFW_running = '1' and - (num_fifo_wrs = 0 or fifo_wrt_cnt + 1 = num_fifo_wrs) then - bit_ptr <= (others => '0'); - HFW_running <= '0'; - pad_reg <= '0'; - - ready_pb <= '1'; - state <= IDLE; - end if; - - when others => - - end case; - - if sof = '1' then - bit_ptr <= (others => '0'); - end if; - - end if; - end process; - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/huffman/DoubleFifo.vhd =================================================================== --- main/design/huffman/DoubleFifo.vhd (revision 23) +++ main/design/huffman/DoubleFifo.vhd (nonexistent) @@ -1,178 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : DoubleFifo.vhd --- --- Project : JPEG_ENC --- --- Module : DoubleFifo --- --- Content : DoubleFifo --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090228: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity DoubleFifo is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- HUFFMAN - data_in : in std_logic_vector(7 downto 0); - wren : in std_logic; - -- BYTE STUFFER - buf_sel : in std_logic; - rd_req : in std_logic; - fifo_empty : out std_logic; - data_out : out std_logic_vector(7 downto 0) - ); -end entity DoubleFifo; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of DoubleFifo is - - signal fifo1_rd : std_logic; - signal fifo1_wr : std_logic; - signal fifo1_q : std_logic_vector(7 downto 0); - signal fifo1_full : std_logic; - signal fifo1_empty : std_logic; - signal fifo1_count : std_logic_vector(7 downto 0); - - signal fifo2_rd : std_logic; - signal fifo2_wr : std_logic; - signal fifo2_q : std_logic_vector(7 downto 0); - signal fifo2_full : std_logic; - signal fifo2_empty : std_logic; - signal fifo2_count : std_logic_vector(7 downto 0); - - signal fifo_data_in : std_logic_vector(7 downto 0); -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - ------------------------------------------------------------------- - -- FIFO 1 - ------------------------------------------------------------------- - U_FIFO_1 : entity work.FIFO - generic map - ( - DATA_WIDTH => 8, - ADDR_WIDTH => 7 - ) - port map - ( - rst => RST, - clk => CLK, - rinc => fifo1_rd, - winc => fifo1_wr, - datai => fifo_data_in, - - datao => fifo1_q, - fullo => fifo1_full, - emptyo => fifo1_empty, - count => fifo1_count - ); - - ------------------------------------------------------------------- - -- FIFO 2 - ------------------------------------------------------------------- - U_FIFO_2 : entity work.FIFO - generic map - ( - DATA_WIDTH => 8, - ADDR_WIDTH => 7 - ) - port map - ( - rst => RST, - clk => CLK, - rinc => fifo2_rd, - winc => fifo2_wr, - datai => fifo_data_in, - - datao => fifo2_q, - fullo => fifo2_full, - emptyo => fifo2_empty, - count => fifo2_count - ); - - ------------------------------------------------------------------- - -- mux2 - ------------------------------------------------------------------- - p_mux2 : process(CLK, RST) - begin - if RST = '1' then - fifo1_wr <= '0'; - fifo2_wr <= '0'; - fifo_data_in <= (others => '0'); - elsif CLK'event and CLK = '1' then - if buf_sel = '0' then - fifo1_wr <= wren; - else - fifo2_wr <= wren; - end if; - fifo_data_in <= data_in; - end if; - end process; - - ------------------------------------------------------------------- - -- mux3 - ------------------------------------------------------------------- - p_mux3 : process(CLK, RST) - begin - if RST = '1' then - data_out <= (others => '0'); - fifo1_rd <= '0'; - fifo2_rd <= '0'; - fifo_empty <= '0'; - elsif CLK'event and CLK = '1' then - if buf_sel = '1' then - data_out <= fifo1_q; - fifo1_rd <= rd_req; - fifo_empty <= fifo1_empty; - else - data_out <= fifo2_q; - fifo2_rd <= rd_req; - fifo_empty <= fifo2_empty; - end if; - end if; - end process; - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/huffman/AC_ROM.vhd =================================================================== --- main/design/huffman/AC_ROM.vhd (revision 23) +++ main/design/huffman/AC_ROM.vhd (nonexistent) @@ -1,708 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : AC_ROM.vhd --- --- Project : JPEG_ENC --- --- Module : AC_ROM --- --- Content : AC_ROM Luminance --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090228: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity AC_ROM is - port - ( - CLK : in std_logic; - RST : in std_logic; - runlength : in std_logic_vector(3 downto 0); - VLI_size : in std_logic_vector(3 downto 0); - - VLC_AC_size : out unsigned(4 downto 0); - VLC_AC : out unsigned(15 downto 0) - ); -end entity AC_ROM; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of AC_ROM is - - signal rom_addr : std_logic_vector(7 downto 0); - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - rom_addr <= runlength & VLI_size; - - ------------------------------------------------------------------- - -- AC-ROM - ------------------------------------------------------------------- - p_AC_ROM : process(CLK, RST) - begin - if RST = '1' then - VLC_AC_size <= (others => '0'); - VLC_AC <= (others => '0'); - elsif CLK'event and CLK = '1' then - case runlength is - when X"0" => - - case VLI_size is - when X"0" => - VLC_AC_size <= to_unsigned(4, VLC_AC_size'length); - VLC_AC <= resize("1010", VLC_AC'length); - when X"1" => - VLC_AC_size <= to_unsigned(2, VLC_AC_size'length); - VLC_AC <= resize("00", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(2, VLC_AC_size'length); - VLC_AC <= resize("01", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(3, VLC_AC_size'length); - VLC_AC <= resize("100", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(4, VLC_AC_size'length); - VLC_AC <= resize("1011", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(5, VLC_AC_size'length); - VLC_AC <= resize("11010", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); - VLC_AC <= resize("1111000", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(8, VLC_AC_size'length); - VLC_AC <= resize("11111000", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); - VLC_AC <= resize("1111110110", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110000010", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110000011", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"1" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(4, VLC_AC_size'length); - VLC_AC <= resize("1100", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(5, VLC_AC_size'length); - VLC_AC <= resize("11011", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); - VLC_AC <= resize("1111001", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); - VLC_AC <= resize("111110110", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); - VLC_AC <= resize("11111110110", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110000100", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110000101", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110000110", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110000111", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110001000", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"2" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(5, VLC_AC_size'length); - VLC_AC <= resize("11100", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(8, VLC_AC_size'length); - VLC_AC <= resize("11111001", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); - VLC_AC <= resize("1111110111", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); - VLC_AC <= resize("111111110100", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110001001", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110001010", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110001011", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110001100", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110001101", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110001110", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"3" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(6, VLC_AC_size'length); - VLC_AC <= resize("111010", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); - VLC_AC <= resize("111110111", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); - VLC_AC <= resize("111111110101", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110001111", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110010000", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110010001", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110010010", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110010011", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110010100", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110010101", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"4" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(6, VLC_AC_size'length); - VLC_AC <= resize("111011", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); - VLC_AC <= resize("1111111000", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110010110", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110010111", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110011000", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110011001", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110011010", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110011011", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110011100", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110011101", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"5" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); - VLC_AC <= resize("1111010", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); - VLC_AC <= resize("11111110111", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110011110", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110011111", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110100000", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110100001", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110100010", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110100011", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110100100", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110100101", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"6" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(7, VLC_AC_size'length); - VLC_AC <= resize("1111011", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); - VLC_AC <= resize("111111110110", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110100110", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110100111", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110101000", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110101001", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110101010", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110101011", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110101100", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110101101", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"7" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(8, VLC_AC_size'length); - VLC_AC <= resize("11111010", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(12, VLC_AC_size'length); - VLC_AC <= resize("111111110111", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110101110", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110101111", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110110000", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110110001", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110110010", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110110011", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110110100", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110110101", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"8" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); - VLC_AC <= resize("111111000", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(15, VLC_AC_size'length); - VLC_AC <= resize("111111111000000", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110110110", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110110111", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110111000", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110111001", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110111010", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110111011", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110111100", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110111101", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"9" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); - VLC_AC <= resize("111111001", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110111110", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111110111111", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111000000", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111000001", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111000010", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111000011", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111000100", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111000101", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111000110", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"A" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(9, VLC_AC_size'length); - VLC_AC <= resize("111111010", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111000111", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111001000", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111001001", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111001010", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111001011", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111001100", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111001101", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111001110", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111001111", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"B" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); - VLC_AC <= resize("1111111001", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111010000", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111010001", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111010010", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111010011", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111010100", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111010101", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111010110", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111010111", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111011000", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"C" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(10, VLC_AC_size'length); - VLC_AC <= resize("1111111010", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111011001", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111011010", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111011011", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111011100", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111011101", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111011110", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111011111", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111100000", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111100001", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"D" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); - VLC_AC <= resize("11111111000", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111100010", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111100011", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111100100", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111100101", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111100110", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111100111", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111101000", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111101001", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111101010", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"E" => - - case VLI_size is - when X"1" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111101011", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111101100", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111101101", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111101110", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111101111", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111110000", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111110001", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111110010", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111110011", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111110100", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when X"F" => - - case VLI_size is - when X"0" => - VLC_AC_size <= to_unsigned(11, VLC_AC_size'length); - VLC_AC <= resize("11111111001", VLC_AC'length); - when X"1" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111110101", VLC_AC'length); - when X"2" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111110110", VLC_AC'length); - when X"3" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111110111", VLC_AC'length); - when X"4" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111111000", VLC_AC'length); - when X"5" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111111001", VLC_AC'length); - when X"6" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111111010", VLC_AC'length); - when X"7" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111111011", VLC_AC'length); - when X"8" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111111100", VLC_AC'length); - when X"9" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111111101", VLC_AC'length); - when X"A" => - VLC_AC_size <= to_unsigned(16, VLC_AC_size'length); - VLC_AC <= resize("1111111111111110", VLC_AC'length); - when others => - VLC_AC_size <= to_unsigned(0, VLC_AC_size'length); - VLC_AC <= resize("0", VLC_AC'length); - end case; - - when others => - VLC_AC_size <= (others => '0'); - VLC_AC <= (others => '0'); - end case; - end if; - end process; - - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/iramif/IRAMIF.vhd =================================================================== --- main/design/iramif/IRAMIF.vhd (revision 23) +++ main/design/iramif/IRAMIF.vhd (nonexistent) @@ -1,74 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : IRamIF.vhd --- --- Project : JPEG_ENC --- --- Module : IRamIF --- --- Content : IMAGE RAM Interface --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090301: (MK): Initial Creation. -------------------------------------------------------------------------------- - -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -entity IRamIF is - port - ( - CLK : in std_logic; - RST : in std_logic; - - -- IMAGE RAM - iram_addr : out std_logic_vector(19 downto 0); - iram_rdata : in std_logic_vector(23 downto 0); - - -- FDCT - jpg_iram_rden : in std_logic; - jpg_iram_rdaddr : in std_logic_vector(31 downto 0); - jpg_iram_data : out std_logic_vector(23 downto 0) - ); -end entity IRamIF; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of IRamIF is - - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - jpg_iram_data <= iram_rdata; - - ------------------------------------------------------------------- - -- - ------------------------------------------------------------------- - p_if : process(CLK, RST) - begin - if RST = '1' then - iram_addr <= (others => '0'); - elsif CLK'event and CLK = '1' then - -- host has access - iram_addr <= jpg_iram_rdaddr(iram_addr'range); - end if; - end process; - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/mdct/ROMO.VHD =================================================================== --- main/design/mdct/ROMO.VHD (revision 23) +++ main/design/mdct/ROMO.VHD (nonexistent) @@ -1,132 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- --- Title : DCT --- Design : MDCT Core --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : ROMO.VHD --- Created : Sat Mar 5 7:37 2006 --- Modified : Dez. 30 2008 - Andreas Bergmann --- Libs and Typeconversion fixed due Xilinx Synthesis errors --- --------------------------------------------------------------------------------- --- --- Description : ROM for DCT matrix constant cosine coefficients (odd part) --- --------------------------------------------------------------------------------- - --- 5:0 --- 5:4 = select matrix row (1 out of 4) --- 3:0 = select precomputed MAC ( 1 out of 16) - -library IEEE; - use IEEE.STD_LOGIC_1164.all; --- use ieee.STD_LOGIC_signed.all; - use IEEE.STD_LOGIC_arith.all; - use WORK.MDCT_PKG.all; - -entity ROMO is - port( - addr : in STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); - clk : in STD_LOGIC; - - datao : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0) - ); - -end ROMO; - -architecture RTL of ROMO is - type ROM_TYPE is array (0 to 2**ROMADDR_W-1) - of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0); - constant rom : ROM_TYPE := - ( - (others => '0'), - conv_std_logic_vector( GP,ROMDATA_W ), - conv_std_logic_vector( FP,ROMDATA_W ), - conv_std_logic_vector( FP+GP,ROMDATA_W ), - conv_std_logic_vector( EP,ROMDATA_W ), - conv_std_logic_vector( EP+GP,ROMDATA_W ), - conv_std_logic_vector( EP+FP,ROMDATA_W ), - conv_std_logic_vector( EP+FP+GP,ROMDATA_W ), - conv_std_logic_vector( DP,ROMDATA_W ), - conv_std_logic_vector( DP+GP,ROMDATA_W ), - conv_std_logic_vector( DP+FP,ROMDATA_W ), - conv_std_logic_vector( DP+FP+GP,ROMDATA_W ), - conv_std_logic_vector( DP+EP,ROMDATA_W ), - conv_std_logic_vector( DP+EP+GP,ROMDATA_W ), - conv_std_logic_vector( DP+EP+FP,ROMDATA_W ), - conv_std_logic_vector( DP+EP+FP+GP,ROMDATA_W ), - - (others => '0'), - conv_std_logic_vector( FM,ROMDATA_W ), - conv_std_logic_vector( DM,ROMDATA_W ), - conv_std_logic_vector( DM+FM,ROMDATA_W ), - conv_std_logic_vector( GM,ROMDATA_W ), - conv_std_logic_vector( GM+FM,ROMDATA_W ), - conv_std_logic_vector( GM+DM,ROMDATA_W ), - conv_std_logic_vector( GM+DM+FM,ROMDATA_W ), - conv_std_logic_vector( EP,ROMDATA_W ), - conv_std_logic_vector( EP+FM,ROMDATA_W ), - conv_std_logic_vector( EP+DM,ROMDATA_W ), - conv_std_logic_vector( EP+DM+FM,ROMDATA_W ), - conv_std_logic_vector( EP+GM,ROMDATA_W ), - conv_std_logic_vector( EP+GM+FM,ROMDATA_W ), - conv_std_logic_vector( EP+GM+DM,ROMDATA_W ), - conv_std_logic_vector( EP+GM+DM+FM,ROMDATA_W ), - - (others => '0'), - conv_std_logic_vector( EP,ROMDATA_W ), - conv_std_logic_vector( GP,ROMDATA_W ), - conv_std_logic_vector( EP+GP,ROMDATA_W ), - conv_std_logic_vector( DM,ROMDATA_W ), - conv_std_logic_vector( DM+EP,ROMDATA_W ), - conv_std_logic_vector( DM+GP,ROMDATA_W ), - conv_std_logic_vector( DM+GP+EP,ROMDATA_W ), - conv_std_logic_vector( FP,ROMDATA_W ), - conv_std_logic_vector( FP+EP,ROMDATA_W ), - conv_std_logic_vector( FP+GP,ROMDATA_W ), - conv_std_logic_vector( FP+GP+EP,ROMDATA_W ), - conv_std_logic_vector( FP+DM,ROMDATA_W ), - conv_std_logic_vector( FP+DM+EP,ROMDATA_W ), - conv_std_logic_vector( FP+DM+GP,ROMDATA_W ), - conv_std_logic_vector( FP+DM+GP+EP,ROMDATA_W ), - - (others => '0'), - conv_std_logic_vector( DM,ROMDATA_W ), - conv_std_logic_vector( EP,ROMDATA_W ), - conv_std_logic_vector( EP+DM,ROMDATA_W ), - conv_std_logic_vector( FM,ROMDATA_W ), - conv_std_logic_vector( FM+DM,ROMDATA_W ), - conv_std_logic_vector( FM+EP,ROMDATA_W ), - conv_std_logic_vector( FM+EP+DM,ROMDATA_W ), - conv_std_logic_vector( GP,ROMDATA_W ), - conv_std_logic_vector( GP+DM,ROMDATA_W ), - conv_std_logic_vector( GP+EP,ROMDATA_W ), - conv_std_logic_vector( GP+EP+DM,ROMDATA_W ), - conv_std_logic_vector( GP+FM,ROMDATA_W ), - conv_std_logic_vector( GP+FM+DM,ROMDATA_W ), - conv_std_logic_vector( GP+FM+EP,ROMDATA_W ), - conv_std_logic_vector( GP+FM+EP+DM,ROMDATA_W ) - ); - -begin - - process(clk) - begin - if clk = '1' and clk'event then - datao <= rom( CONV_INTEGER(UNSIGNED(addr)) ); - end if; - end process; - -end RTL; - - - - Index: main/design/mdct/DCT1D.vhd =================================================================== --- main/design/mdct/DCT1D.vhd (revision 23) +++ main/design/mdct/DCT1D.vhd (nonexistent) @@ -1,334 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- --- Title : DCT1D --- Design : MDCT Core --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : DCT1D.VHD --- Created : Sat Mar 5 7:37 2006 --- --------------------------------------------------------------------------------- --- --- Description : 1D Discrete Cosine Transform (1st stage) --- --------------------------------------------------------------------------------- - - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use IEEE.NUMERIC_STD.all; - -library WORK; - use WORK.MDCT_PKG.all; - --------------------------------------------------------------------------------- --- ENTITY --------------------------------------------------------------------------------- -entity DCT1D is - port( - clk : in STD_LOGIC; - rst : in std_logic; - dcti : in std_logic_vector(IP_W-1 downto 0); - idv : in STD_LOGIC; - romedatao : in T_ROM1DATAO; - romodatao : in T_ROM1DATAO; - - odv : out STD_LOGIC; - dcto : out std_logic_vector(OP_W-1 downto 0); - romeaddro : out T_ROM1ADDRO; - romoaddro : out T_ROM1ADDRO; - ramwaddro : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - ramdatai : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - ramwe : out STD_LOGIC; - wmemsel : out STD_LOGIC - ); -end DCT1D; - --------------------------------------------------------------------------------- --- ARCHITECTURE --------------------------------------------------------------------------------- -architecture RTL of DCT1D is - - type INPUT_DATA is array (N-1 downto 0) of SIGNED(IP_W downto 0); - - signal databuf_reg : INPUT_DATA; - signal latchbuf_reg : INPUT_DATA; - signal col_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal row_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal rowr_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal inpcnt_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal ramwe_s : STD_LOGIC; - signal wmemsel_reg : STD_LOGIC; - signal stage2_reg : STD_LOGIC; - signal stage2_cnt_reg : UNSIGNED(RAMADRR_W-1 downto 0); - signal col_2_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal ramwaddro_s : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - - signal even_not_odd : std_logic; - signal even_not_odd_d1 : std_logic; - signal even_not_odd_d2 : std_logic; - signal even_not_odd_d3 : std_logic; - signal ramwe_d1 : STD_LOGIC; - signal ramwe_d2 : STD_LOGIC; - signal ramwe_d3 : STD_LOGIC; - signal ramwe_d4 : STD_LOGIC; - signal ramwaddro_d1 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - signal ramwaddro_d2 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - signal ramwaddro_d3 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - signal ramwaddro_d4 : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - signal wmemsel_d1 : STD_LOGIC; - signal wmemsel_d2 : STD_LOGIC; - signal wmemsel_d3 : STD_LOGIC; - signal wmemsel_d4 : STD_LOGIC; - signal romedatao_d1 : T_ROM1DATAO; - signal romodatao_d1 : T_ROM1DATAO; - signal romedatao_d2 : T_ROM1DATAO; - signal romodatao_d2 : T_ROM1DATAO; - signal romedatao_d3 : T_ROM1DATAO; - signal romodatao_d3 : T_ROM1DATAO; - signal dcto_1 : STD_LOGIC_VECTOR(DA_W-1 downto 0); - signal dcto_2 : STD_LOGIC_VECTOR(DA_W-1 downto 0); - signal dcto_3 : STD_LOGIC_VECTOR(DA_W-1 downto 0); - signal dcto_4 : STD_LOGIC_VECTOR(DA_W-1 downto 0); - -begin - - ramwaddro <= ramwaddro_d4; - ramwe <= ramwe_d4; - ramdatai <= dcto_4(DA_W-1 downto 12); - wmemsel <= wmemsel_d4; - - process(clk,rst) - begin - if rst = '1' then - inpcnt_reg <= (others => '0'); - latchbuf_reg <= (others => (others => '0')); - databuf_reg <= (others => (others => '0')); - stage2_reg <= '0'; - stage2_cnt_reg <= (others => '1'); - ramwe_s <= '0'; - ramwaddro_s <= (others => '0'); - col_reg <= (others => '0'); - row_reg <= (others => '0'); - wmemsel_reg <= '0'; - col_2_reg <= (others => '0'); - elsif clk = '1' and clk'event then - stage2_reg <= '0'; - ramwe_s <= '0'; - - -------------------------------- - -- 1st stage - -------------------------------- - if idv = '1' then - - inpcnt_reg <= inpcnt_reg + 1; - - -- right shift input data - latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1); - latchbuf_reg(N-1) <= SIGNED('0' & dcti) - LEVEL_SHIFT; - - if inpcnt_reg = N-1 then - -- after this sum databuf_reg is in range of -256 to 254 (min to max) - databuf_reg(0) <= latchbuf_reg(1)+(SIGNED('0' & dcti) - LEVEL_SHIFT); - databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7); - databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6); - databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5); - databuf_reg(4) <= latchbuf_reg(1)-(SIGNED('0' & dcti) - LEVEL_SHIFT); - databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7); - databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6); - databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5); - stage2_reg <= '1'; - end if; - end if; - -------------------------------- - - -------------------------------- - -- 2nd stage - -------------------------------- - if stage2_cnt_reg < N then - - stage2_cnt_reg <= stage2_cnt_reg + 1; - - -- write RAM - ramwe_s <= '1'; - -- reverse col/row order for transposition purpose - ramwaddro_s <= STD_LOGIC_VECTOR(col_2_reg & row_reg); - -- increment column counter - col_reg <= col_reg + 1; - col_2_reg <= col_2_reg + 1; - - -- finished processing one input row - if col_reg = 0 then - row_reg <= row_reg + 1; - -- switch to 2nd memory - if row_reg = N - 1 then - wmemsel_reg <= not wmemsel_reg; - col_reg <= (others => '0'); - end if; - end if; - - end if; - - if stage2_reg = '1' then - stage2_cnt_reg <= (others => '0'); - col_reg <= (0=>'1',others => '0'); - col_2_reg <= (others => '0'); - end if; - ---------------------------------- - - - end if; - end process; - - -- output data pipeline - p_data_out_pipe : process(CLK, RST) - begin - if RST = '1' then - even_not_odd <= '0'; - even_not_odd_d1 <= '0'; - even_not_odd_d2 <= '0'; - even_not_odd_d3 <= '0'; - ramwe_d1 <= '0'; - ramwe_d2 <= '0'; - ramwe_d3 <= '0'; - ramwe_d4 <= '0'; - ramwaddro_d1 <= (others => '0'); - ramwaddro_d2 <= (others => '0'); - ramwaddro_d3 <= (others => '0'); - ramwaddro_d4 <= (others => '0'); - wmemsel_d1 <= '0'; - wmemsel_d2 <= '0'; - wmemsel_d3 <= '0'; - wmemsel_d4 <= '0'; - dcto_1 <= (others => '0'); - dcto_2 <= (others => '0'); - dcto_3 <= (others => '0'); - dcto_4 <= (others => '0'); - elsif CLK'event and CLK = '1' then - even_not_odd <= stage2_cnt_reg(0); - even_not_odd_d1 <= even_not_odd; - even_not_odd_d2 <= even_not_odd_d1; - even_not_odd_d3 <= even_not_odd_d2; - ramwe_d1 <= ramwe_s; - ramwe_d2 <= ramwe_d1; - ramwe_d3 <= ramwe_d2; - ramwe_d4 <= ramwe_d3; - ramwaddro_d1 <= ramwaddro_s; - ramwaddro_d2 <= ramwaddro_d1; - ramwaddro_d3 <= ramwaddro_d2; - ramwaddro_d4 <= ramwaddro_d3; - wmemsel_d1 <= wmemsel_reg; - wmemsel_d2 <= wmemsel_d1; - wmemsel_d3 <= wmemsel_d2; - wmemsel_d4 <= wmemsel_d3; - - if even_not_odd = '0' then - dcto_1 <= STD_LOGIC_VECTOR(RESIZE - (RESIZE(SIGNED(romedatao(0)),DA_W) + - (RESIZE(SIGNED(romedatao(1)),DA_W-1) & '0') + - (RESIZE(SIGNED(romedatao(2)),DA_W-2) & "00"), - DA_W)); - else - dcto_1 <= STD_LOGIC_VECTOR(RESIZE - (RESIZE(SIGNED(romodatao(0)),DA_W) + - (RESIZE(SIGNED(romodatao(1)),DA_W-1) & '0') + - (RESIZE(SIGNED(romodatao(2)),DA_W-2) & "00"), - DA_W)); - end if; - - if even_not_odd_d1 = '0' then - dcto_2 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_1) + - (RESIZE(SIGNED(romedatao_d1(3)),DA_W-3) & "000") + - (RESIZE(SIGNED(romedatao_d1(4)),DA_W-4) & "0000"), - DA_W)); - else - dcto_2 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_1) + - (RESIZE(SIGNED(romodatao_d1(3)),DA_W-3) & "000") + - (RESIZE(SIGNED(romodatao_d1(4)),DA_W-4) & "0000"), - DA_W)); - end if; - - if even_not_odd_d2 = '0' then - dcto_3 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_2) + - (RESIZE(SIGNED(romedatao_d2(5)),DA_W-5) & "00000") + - (RESIZE(SIGNED(romedatao_d2(6)),DA_W-6) & "000000"), - DA_W)); - else - dcto_3 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_2) + - (RESIZE(SIGNED(romodatao_d2(5)),DA_W-5) & "00000") + - (RESIZE(SIGNED(romodatao_d2(6)),DA_W-6) & "000000"), - DA_W)); - end if; - - if even_not_odd_d3 = '0' then - dcto_4 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_3) + - (RESIZE(SIGNED(romedatao_d3(7)),DA_W-7) & "0000000") - - (RESIZE(SIGNED(romedatao_d3(8)),DA_W-8) & "00000000"), - DA_W)); - else - dcto_4 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_3) + - (RESIZE(SIGNED(romodatao_d3(7)),DA_W-7) & "0000000") - - (RESIZE(SIGNED(romodatao_d3(8)),DA_W-8) & "00000000"), - DA_W)); - end if; - end if; - end process; - - -- read precomputed MAC results from LUT - p_romaddr : process(CLK, RST) - begin - if RST = '1' then - romeaddro <= (others => (others => '0')); - romoaddro <= (others => (others => '0')); - elsif CLK'event and CLK = '1' then - for i in 0 to 8 loop - -- even - romeaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & - databuf_reg(0)(i) & - databuf_reg(1)(i) & - databuf_reg(2)(i) & - databuf_reg(3)(i); - -- odd - romoaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & - databuf_reg(4)(i) & - databuf_reg(5)(i) & - databuf_reg(6)(i) & - databuf_reg(7)(i); - end loop; - end if; - end process; - - p_romdatao_d1 : process(CLK, RST) - begin - if RST = '1' then - romedatao_d1 <= (others => (others => '0')); - romodatao_d1 <= (others => (others => '0')); - romedatao_d2 <= (others => (others => '0')); - romodatao_d2 <= (others => (others => '0')); - romedatao_d3 <= (others => (others => '0')); - romodatao_d3 <= (others => (others => '0')); - elsif CLK'event and CLK = '1' then - romedatao_d1 <= romedatao; - romodatao_d1 <= romodatao; - romedatao_d2 <= romedatao_d1; - romodatao_d2 <= romodatao_d1; - romedatao_d3 <= romedatao_d2; - romodatao_d3 <= romodatao_d2; - end if; - end process; - -end RTL; --------------------------------------------------------------------------------- Index: main/design/mdct/RAM.VHD =================================================================== --- main/design/mdct/RAM.VHD (revision 23) +++ main/design/mdct/RAM.VHD (nonexistent) @@ -1,79 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- -- --- Title : RAM -- --- Design : MDCT -- --- Author : Michal Krepa -- -- -- --- -- --------------------------------------------------------------------------------- --- --- File : RAM.VHD --- Created : Sat Mar 5 7:37 2006 --- --------------------------------------------------------------------------------- --- --- Description : RAM memory simulation model --- --------------------------------------------------------------------------------- - --- 5:3 row select --- 2:0 col select - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use IEEE.NUMERIC_STD.all; - -library WORK; - use WORK.MDCT_PKG.all; - -entity RAM is - port ( - d : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - waddr : in STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - raddr : in STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - we : in STD_LOGIC; - clk : in STD_LOGIC; - - q : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0) - ); -end RAM; - -architecture RTL of RAM is - type mem_type is array ((2**RAMADRR_W)-1 downto 0) of - STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - signal mem : mem_type; - signal read_addr : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - -begin - - ------------------------------------------------------------------------------- - q_sg: - ------------------------------------------------------------------------------- - q <= mem(TO_INTEGER(UNSIGNED(read_addr))); - - ------------------------------------------------------------------------------- - read_proc: -- register read address - ------------------------------------------------------------------------------- - process (clk) - begin - if clk = '1' and clk'event then - read_addr <= raddr; - end if; - end process; - - ------------------------------------------------------------------------------- - write_proc: --write access - ------------------------------------------------------------------------------- - process (clk) begin - if clk = '1' and clk'event then - if we = '1' then - mem(TO_INTEGER(UNSIGNED(waddr))) <= d; - end if; - end if; - end process; - -end RTL; \ No newline at end of file Index: main/design/mdct/DCT2D.VHD =================================================================== --- main/design/mdct/DCT2D.VHD (revision 23) +++ main/design/mdct/DCT2D.VHD (nonexistent) @@ -1,357 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- --- Title : DCT2D --- Design : MDCT Core --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : DCT2D.VHD --- Created : Sat Mar 28 22:32 2006 --- --------------------------------------------------------------------------------- --- --- Description : 1D Discrete Cosine Transform (second stage) --- --------------------------------------------------------------------------------- - - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use ieee.numeric_std.all; - -library WORK; - use WORK.MDCT_PKG.all; - -entity DCT2D is - port( - clk : in STD_LOGIC; - rst : in std_logic; - romedatao : in T_ROM2DATAO; - romodatao : in T_ROM2DATAO; - ramdatao : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - dataready : in STD_LOGIC; - - odv : out STD_LOGIC; - dcto : out std_logic_vector(OP_W-1 downto 0); - romeaddro : out T_ROM2ADDRO; - romoaddro : out T_ROM2ADDRO; - ramraddro : out STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - rmemsel : out STD_LOGIC; - datareadyack : out STD_LOGIC - - ); -end DCT2D; - -architecture RTL of DCT2D is - - type input_data2 is array (N-1 downto 0) of SIGNED(RAMDATA_W downto 0); - - signal databuf_reg : input_data2; - signal latchbuf_reg : input_data2; - signal col_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal row_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal colram_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal rowram_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal colr_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal rowr_reg : UNSIGNED(RAMADRR_W/2-1 downto 0); - signal rmemsel_reg : STD_LOGIC; - signal stage1_reg : STD_LOGIC; - signal stage2_reg : STD_LOGIC; - signal stage2_cnt_reg : UNSIGNED(RAMADRR_W-1 downto 0); - signal dataready_2_reg : STD_LOGIC; - signal even_not_odd : std_logic; - signal even_not_odd_d1 : std_logic; - signal even_not_odd_d2 : std_logic; - signal even_not_odd_d3 : std_logic; - signal even_not_odd_d4 : std_logic; - signal odv_d0 : std_logic; - signal odv_d1 : std_logic; - signal odv_d2 : std_logic; - signal odv_d3 : std_logic; - signal odv_d4 : std_logic; - signal odv_d5 : std_logic; - signal dcto_1 : std_logic_vector(DA2_W-1 downto 0); - signal dcto_2 : std_logic_vector(DA2_W-1 downto 0); - signal dcto_3 : std_logic_vector(DA2_W-1 downto 0); - signal dcto_4 : std_logic_vector(DA2_W-1 downto 0); - signal dcto_5 : std_logic_vector(DA2_W-1 downto 0); - signal romedatao_d1 : T_ROM2DATAO; - signal romodatao_d1 : T_ROM2DATAO; - signal romedatao_d2 : T_ROM2DATAO; - signal romodatao_d2 : T_ROM2DATAO; - signal romedatao_d3 : T_ROM2DATAO; - signal romodatao_d3 : T_ROM2DATAO; - signal romedatao_d4 : T_ROM2DATAO; - signal romodatao_d4 : T_ROM2DATAO; -begin - - ramraddro_sg: - ramraddro <= STD_LOGIC_VECTOR(rowr_reg & colr_reg); - - rmemsel_sg: - rmemsel <= rmemsel_reg; - - process(clk,rst) - begin - if rst = '1' then - stage2_cnt_reg <= (others => '1'); - rmemsel_reg <= '0'; - stage1_reg <= '0'; - stage2_reg <= '0'; - colram_reg <= (others => '0'); - rowram_reg <= (others => '0'); - col_reg <= (others => '0'); - row_reg <= (others => '0'); - latchbuf_reg <= (others => (others => '0')); - databuf_reg <= (others => (others => '0')); - odv_d0 <= '0'; - colr_reg <= (others => '0'); - rowr_reg <= (others => '0'); - dataready_2_reg <= '0'; - elsif clk='1' and clk'event then - stage2_reg <= '0'; - odv_d0 <= '0'; - datareadyack <= '0'; - dataready_2_reg <= dataready; - - ---------------------------------- - -- read DCT 1D to barrel shifer - ---------------------------------- - if stage1_reg = '1' then - - -- right shift input data - latchbuf_reg(N-2 downto 0) <= latchbuf_reg(N-1 downto 1); - latchbuf_reg(N-1) <= RESIZE(SIGNED(ramdatao),RAMDATA_W+1); - - colram_reg <= colram_reg + 1; - colr_reg <= colr_reg + 1; - - if colram_reg = N-2 then - rowr_reg <= rowr_reg + 1; - end if; - - if colram_reg = N-1 then - rowram_reg <= rowram_reg + 1; - if rowram_reg = N-1 then - stage1_reg <= '0'; - colr_reg <= (others => '0'); - -- release memory - rmemsel_reg <= not rmemsel_reg; - end if; - - -- after this sum databuf_reg is in range of -256 to 254 (min to max) - databuf_reg(0) <= latchbuf_reg(1)+RESIZE(SIGNED(ramdatao),RAMDATA_W+1); - databuf_reg(1) <= latchbuf_reg(2)+latchbuf_reg(7); - databuf_reg(2) <= latchbuf_reg(3)+latchbuf_reg(6); - databuf_reg(3) <= latchbuf_reg(4)+latchbuf_reg(5); - databuf_reg(4) <= latchbuf_reg(1)-RESIZE(SIGNED(ramdatao),RAMDATA_W+1); - databuf_reg(5) <= latchbuf_reg(2)-latchbuf_reg(7); - databuf_reg(6) <= latchbuf_reg(3)-latchbuf_reg(6); - databuf_reg(7) <= latchbuf_reg(4)-latchbuf_reg(5); - - -- 8 point input latched - stage2_reg <= '1'; - end if; - end if; - - -------------------------------- - -- 2nd stage - -------------------------------- - if stage2_cnt_reg < N then - stage2_cnt_reg <= stage2_cnt_reg + 1; - - -- output data valid - odv_d0 <= '1'; - - -- increment column counter - col_reg <= col_reg + 1; - - -- finished processing one input row - if col_reg = N - 1 then - row_reg <= row_reg + 1; - end if; - end if; - - if stage2_reg = '1' then - stage2_cnt_reg <= (others => '0'); - col_reg <= (0=>'1',others => '0'); - end if; - -------------------------------- - - ---------------------------------- - -- wait for new data - ---------------------------------- - -- one of ram buffers has new data, process it - if dataready = '1' and dataready_2_reg = '0' then - stage1_reg <= '1'; - -- to account for 1T RAM delay, increment RAM address counter - colram_reg <= (others => '0'); - colr_reg <= (0=>'1',others => '0'); - datareadyack <= '1'; - end if; - ---------------------------------- - - - end if; - end process; - - p_data_pipe : process(CLK, RST) - begin - if RST = '1' then - even_not_odd <= '0'; - even_not_odd_d1 <= '0'; - even_not_odd_d2 <= '0'; - even_not_odd_d3 <= '0'; - even_not_odd_d4 <= '0'; - odv_d1 <= '0'; - odv_d2 <= '0'; - odv_d3 <= '0'; - odv_d4 <= '0'; - odv_d5 <= '0'; - dcto_1 <= (others => '0'); - dcto_2 <= (others => '0'); - dcto_3 <= (others => '0'); - dcto_4 <= (others => '0'); - dcto_5 <= (others => '0'); - elsif CLK'event and CLK = '1' then - even_not_odd <= stage2_cnt_reg(0); - even_not_odd_d1 <= even_not_odd; - even_not_odd_d2 <= even_not_odd_d1; - even_not_odd_d3 <= even_not_odd_d2; - even_not_odd_d4 <= even_not_odd_d3; - odv_d1 <= odv_d0; - odv_d2 <= odv_d1; - odv_d3 <= odv_d2; - odv_d4 <= odv_d3; - odv_d5 <= odv_d4; - - if even_not_odd = '0' then - dcto_1 <= STD_LOGIC_VECTOR(RESIZE - (RESIZE(SIGNED(romedatao(0)),DA2_W) + - (RESIZE(SIGNED(romedatao(1)),DA2_W-1) & '0') + - (RESIZE(SIGNED(romedatao(2)),DA2_W-2) & "00"), - DA2_W)); - else - dcto_1 <= STD_LOGIC_VECTOR(RESIZE - (RESIZE(SIGNED(romodatao(0)),DA2_W) + - (RESIZE(SIGNED(romodatao(1)),DA2_W-1) & '0') + - (RESIZE(SIGNED(romodatao(2)),DA2_W-2) & "00"), - DA2_W)); - end if; - - if even_not_odd_d1 = '0' then - dcto_2 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_1) + - (RESIZE(SIGNED(romedatao_d1(3)),DA2_W-3) & "000") + - (RESIZE(SIGNED(romedatao_d1(4)),DA2_W-4) & "0000"), - DA2_W)); - else - dcto_2 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_1) + - (RESIZE(SIGNED(romodatao_d1(3)),DA2_W-3) & "000") + - (RESIZE(SIGNED(romodatao_d1(4)),DA2_W-4) & "0000"), - DA2_W)); - end if; - - if even_not_odd_d2 = '0' then - dcto_3 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_2) + - (RESIZE(SIGNED(romedatao_d2(5)),DA2_W-5) & "00000") + - (RESIZE(SIGNED(romedatao_d2(6)),DA2_W-6) & "000000"), - DA2_W)); - else - dcto_3 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_2) + - (RESIZE(SIGNED(romodatao_d2(5)),DA2_W-5) & "00000") + - (RESIZE(SIGNED(romodatao_d2(6)),DA2_W-6) & "000000"), - DA2_W)); - end if; - - if even_not_odd_d3 = '0' then - dcto_4 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_3) + - (RESIZE(SIGNED(romedatao_d3(7)),DA2_W-7) & "0000000") + - (RESIZE(SIGNED(romedatao_d3(8)),DA2_W-8) & "00000000"), - DA2_W)); - else - dcto_4 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_3) + - (RESIZE(SIGNED(romodatao_d3(7)),DA2_W-7) & "0000000") + - (RESIZE(SIGNED(romodatao_d3(8)),DA2_W-8) & "00000000"), - DA2_W)); - end if; - - if even_not_odd_d4 = '0' then - dcto_5 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_4) + - (RESIZE(SIGNED(romedatao_d4(9)),DA2_W-9) & "000000000") - - (RESIZE(SIGNED(romedatao_d4(10)),DA2_W-10) & "0000000000"), - DA2_W)); - else - dcto_5 <= STD_LOGIC_VECTOR(RESIZE - (signed(dcto_4) + - (RESIZE(SIGNED(romodatao_d4(9)),DA2_W-9) & "000000000") - - (RESIZE(SIGNED(romodatao_d4(10)),DA2_W-10) & "0000000000"), - DA2_W)); - end if; - end if; - end process; - - dcto <= dcto_5(DA2_W-1 downto 12); - odv <= odv_d5; - - p_romaddr : process(CLK, RST) - begin - if RST = '1' then - romeaddro <= (others => (others => '0')); - romoaddro <= (others => (others => '0')); - elsif CLK'event and CLK = '1' then - for i in 0 to 10 loop - -- read precomputed MAC results from LUT - romeaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & - databuf_reg(0)(i) & - databuf_reg(1)(i) & - databuf_reg(2)(i) & - databuf_reg(3)(i); - -- odd - romoaddro(i) <= STD_LOGIC_VECTOR(col_reg(RAMADRR_W/2-1 downto 1)) & - databuf_reg(4)(i) & - databuf_reg(5)(i) & - databuf_reg(6)(i) & - databuf_reg(7)(i); - end loop; - end if; - end process; - - p_romdatao_dly : process(CLK, RST) - begin - if RST = '1' then - romedatao_d1 <= (others => (others => '0')); - romodatao_d1 <= (others => (others => '0')); - romedatao_d2 <= (others => (others => '0')); - romodatao_d2 <= (others => (others => '0')); - romedatao_d3 <= (others => (others => '0')); - romodatao_d3 <= (others => (others => '0')); - romedatao_d4 <= (others => (others => '0')); - romodatao_d4 <= (others => (others => '0')); - elsif CLK'event and CLK = '1' then - romedatao_d1 <= romedatao; - romodatao_d1 <= romodatao; - romedatao_d2 <= romedatao_d1; - romodatao_d2 <= romodatao_d1; - romedatao_d3 <= romedatao_d2; - romodatao_d3 <= romodatao_d2; - romedatao_d4 <= romedatao_d3; - romodatao_d4 <= romodatao_d3; - end if; - end process; - -end RTL; --------------------------------------------------------------------------------- - Index: main/design/mdct/FDCT.vhd =================================================================== --- main/design/mdct/FDCT.vhd (revision 23) +++ main/design/mdct/FDCT.vhd (nonexistent) @@ -1,555 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : FDCT.vhd --- --- Project : JPEG_ENC --- --- Module : FDCT --- --- Content : FDCT --- --- Description : 2D Discrete Cosine Transform --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090301: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- -library work; - use work.JPEG_PKG.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity FDCT is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- CTRL - start_pb : in std_logic; - ready_pb : out std_logic; - fdct_sm_settings : in T_SM_SETTINGS; - - -- BUF_FIFO - bf_block_cnt : out std_logic_vector(12 downto 0); - bf_fifo_rd : out std_logic; - bf_fifo_empty : in std_logic; - bf_fifo_q : in std_logic_vector(23 downto 0); - bf_fifo_hf_full : in std_logic; - - -- ZIG ZAG - zz_buf_sel : in std_logic; - zz_rd_addr : in std_logic_vector(5 downto 0); - zz_data : out std_logic_vector(11 downto 0); - zz_rden : in std_logic; - - -- HOST - img_size_x : in std_logic_vector(15 downto 0); - img_size_y : in std_logic_vector(15 downto 0); - sof : in std_logic - ); -end entity FDCT; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of FDCT is - - constant C_Y_1 : signed(14 downto 0) := to_signed(4899, 15); - constant C_Y_2 : signed(14 downto 0) := to_signed(9617, 15); - constant C_Y_3 : signed(14 downto 0) := to_signed(1868, 15); - constant C_Cb_1 : signed(14 downto 0) := to_signed(-2764, 15); - constant C_Cb_2 : signed(14 downto 0) := to_signed(-5428, 15); - constant C_Cb_3 : signed(14 downto 0) := to_signed(8192, 15); - constant C_Cr_1 : signed(14 downto 0) := to_signed(8192, 15); - constant C_Cr_2 : signed(14 downto 0) := to_signed(-6860, 15); - constant C_Cr_3 : signed(14 downto 0) := to_signed(-1332, 15); - - - signal mdct_data_in : std_logic_vector(7 downto 0); - signal mdct_idval : std_logic; - signal mdct_odval : std_logic; - signal mdct_data_out : std_logic_vector(11 downto 0); - signal odv1 : std_logic; - signal dcto1 : std_logic_vector(11 downto 0); - signal x_block_cnt : unsigned(15 downto 0); - signal y_block_cnt : unsigned(15 downto 0); - signal x_block_cnt_cur : unsigned(15 downto 0); - signal y_block_cnt_cur : unsigned(15 downto 0); - signal rd_addr : std_logic_vector(31 downto 0); - signal input_rd_cnt : unsigned(5 downto 0); - signal rd_en : std_logic; - signal rd_en_d1 : std_logic; - signal rdaddr : unsigned(31 downto 0); - signal bf_dval : std_logic_vector(2 downto 0); - signal wr_cnt : unsigned(5 downto 0); - signal dbuf_data : std_logic_vector(11 downto 0); - signal dbuf_q : std_logic_vector(11 downto 0); - signal dbuf_we : std_logic; - signal dbuf_waddr : std_logic_vector(6 downto 0); - signal dbuf_raddr : std_logic_vector(6 downto 0); - signal xw_cnt : unsigned(2 downto 0); - signal yw_cnt : unsigned(2 downto 0); - - signal dbuf_q_z1 : std_logic_vector(11 downto 0); - constant C_SIMA_ASZ : integer := 9; - signal sim_rd_addr : unsigned(C_SIMA_ASZ-1 downto 0); - signal Y_reg_1 : signed(23 downto 0); - signal Y_reg_2 : signed(23 downto 0); - signal Y_reg_3 : signed(23 downto 0); - signal Cb_reg_1 : signed(23 downto 0); - signal Cb_reg_2 : signed(23 downto 0); - signal Cb_reg_3 : signed(23 downto 0); - signal Cr_reg_1 : signed(23 downto 0); - signal Cr_reg_2 : signed(23 downto 0); - signal Cr_reg_3 : signed(23 downto 0); - signal Y_reg : signed(23 downto 0); - signal Cb_reg : signed(23 downto 0); - signal Cr_reg : signed(23 downto 0); - signal R_s : signed(8 downto 0); - signal G_s : signed(8 downto 0); - signal B_s : signed(8 downto 0); - signal Y_8bit : unsigned(7 downto 0); - signal Cb_8bit : unsigned(7 downto 0); - signal Cr_8bit : unsigned(7 downto 0); - signal cmp_idx : unsigned(1 downto 0); - signal cur_cmp_idx : unsigned(1 downto 0); - signal cur_cmp_idx_d1 : unsigned(1 downto 0); - signal cur_cmp_idx_d2 : unsigned(1 downto 0); - signal cur_cmp_idx_d3 : unsigned(1 downto 0); - signal cur_cmp_idx_d4 : unsigned(1 downto 0); - signal cur_cmp_idx_d5 : unsigned(1 downto 0); - signal cur_cmp_idx_d6 : unsigned(1 downto 0); - signal cur_cmp_idx_d7 : unsigned(1 downto 0); - signal cur_cmp_idx_d8 : unsigned(1 downto 0); - signal cur_cmp_idx_d9 : unsigned(1 downto 0); - signal fifo1_rd : std_logic; - signal fifo1_wr : std_logic; - signal fifo1_q : std_logic_vector(11 downto 0); - signal fifo1_full : std_logic; - signal fifo1_empty : std_logic; - signal fifo1_count : std_logic_vector(8 downto 0); - signal fifo1_rd_cnt : unsigned(5 downto 0); - signal fifo1_q_dval : std_logic; - signal fifo_data_in : std_logic_vector(11 downto 0); - signal fifo_rd_arm : std_logic; - - signal eoi_fdct : std_logic; - signal bf_fifo_rd_s : std_logic; - signal start_int : std_logic; - - signal fram1_data : std_logic_vector(23 downto 0); - signal fram1_q : std_logic_vector(23 downto 0); - signal fram1_we : std_logic; - signal fram1_waddr : std_logic_vector(5 downto 0); - signal fram1_raddr : std_logic_vector(5 downto 0); - signal fram1_rd_d : std_logic_vector(7 downto 0); - signal fram1_rd : std_logic; - signal bf_fifo_empty_d1 : std_logic; - signal rd_started : std_logic; - signal writing_en : std_logic; - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - zz_data <= dbuf_q; - - bf_fifo_rd <= bf_fifo_rd_s; - bf_block_cnt <= std_logic_vector(x_block_cnt_cur(15 downto 3)); - - ------------------------------------------------------------------- - -- FRAM1 - ------------------------------------------------------------------- - U_FRAM1 : entity work.RAMZ - generic map - ( - RAMADDR_W => 6, - RAMDATA_W => 24 - ) - port map - ( - d => fram1_data, - waddr => fram1_waddr, - raddr => fram1_raddr, - we => fram1_we, - clk => CLK, - - q => fram1_q - ); - - fram1_we <= bf_dval(bf_dval'high); - fram1_data <= bf_fifo_q; - - ------------------------------------------------------------------- - -- FRAM1 process - ------------------------------------------------------------------- - p_fram1_acc : process(CLK, RST) - begin - if RST = '1' then - fram1_waddr <= (others => '0'); - elsif CLK'event and CLK = '1' then - if fram1_we = '1' then - fram1_waddr <= std_logic_vector(unsigned(fram1_waddr) + 1); - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- IRAM read process - ------------------------------------------------------------------- - p_counter1 : process(CLK, RST) - begin - if RST = '1' then - rd_en <= '0'; - rd_en_d1 <= '0'; - x_block_cnt <= (others => '0'); - y_block_cnt <= (others => '0'); - input_rd_cnt <= (others => '0'); - cmp_idx <= (others => '0'); - cur_cmp_idx <= (others => '0'); - cur_cmp_idx_d1 <= (others => '0'); - cur_cmp_idx_d2 <= (others => '0'); - cur_cmp_idx_d3 <= (others => '0'); - cur_cmp_idx_d4 <= (others => '0'); - cur_cmp_idx_d5 <= (others => '0'); - cur_cmp_idx_d6 <= (others => '0'); - cur_cmp_idx_d7 <= (others => '0'); - cur_cmp_idx_d8 <= (others => '0'); - cur_cmp_idx_d9 <= (others => '0'); - eoi_fdct <= '0'; - x_block_cnt_cur <= (others => '0'); - y_block_cnt_cur <= (others => '0'); - start_int <= '0'; - bf_fifo_rd_s <= '0'; - bf_dval <= (others => '0'); - fram1_rd <= '0'; - fram1_rd_d <= (others => '0'); - fram1_raddr <= (others => '0'); - elsif CLK'event and CLK = '1' then - rd_en_d1 <= rd_en; - cur_cmp_idx_d1 <= cur_cmp_idx; - cur_cmp_idx_d2 <= cur_cmp_idx_d1; - cur_cmp_idx_d3 <= cur_cmp_idx_d2; - cur_cmp_idx_d4 <= cur_cmp_idx_d3; - cur_cmp_idx_d5 <= cur_cmp_idx_d4; - cur_cmp_idx_d6 <= cur_cmp_idx_d5; - cur_cmp_idx_d7 <= cur_cmp_idx_d6; - cur_cmp_idx_d8 <= cur_cmp_idx_d7; - cur_cmp_idx_d9 <= cur_cmp_idx_d8; - start_int <= '0'; - - bf_dval <= bf_dval(bf_dval'length-2 downto 0) & bf_fifo_rd_s; - fram1_rd_d <= fram1_rd_d(fram1_rd_d'length-2 downto 0) & fram1_rd; - - -- SOF or internal self-start - if (sof = '1' or start_int = '1') then - input_rd_cnt <= (others => '0'); - -- enable BUF_FIFO/FRAM1 reading - rd_started <= '1'; - - -- component index - if cmp_idx = 3-1 then - cmp_idx <= (others => '0'); - -- horizontal block counter - if x_block_cnt = unsigned(img_size_x)-8 then - x_block_cnt <= (others => '0'); - -- vertical block counter - if y_block_cnt = unsigned(img_size_y)-8 then - y_block_cnt <= (others => '0'); - eoi_fdct <= '1'; - else - y_block_cnt <= y_block_cnt + 8; - end if; - else - x_block_cnt <= x_block_cnt + 8; - end if; - else - cmp_idx <=cmp_idx + 1; - end if; - - x_block_cnt_cur <= x_block_cnt; - y_block_cnt_cur <= y_block_cnt; - cur_cmp_idx <= cmp_idx; - end if; - - -- wait until FIFO becomes half full - if rd_started = '1' and (bf_fifo_hf_full = '1' or cur_cmp_idx /= 0) then - rd_en <= '1'; - rd_started <= '0'; - end if; - - bf_fifo_rd_s <= '0'; - fram1_rd <= '0'; - -- stall reading from input FIFO and writing to output FIFO - -- when output FIFO is almost full - if rd_en = '1' and unsigned(fifo1_count) < 256-64 then - -- read request goes to BUF_FIFO only for component 0. - if cur_cmp_idx = 0 then - bf_fifo_rd_s <= '1'; - end if; - - -- count number of samples read from input in one run - if input_rd_cnt = 64-1 then - rd_en <= '0'; - start_int <= '1' and not eoi_fdct; - eoi_fdct <= '0'; - else - input_rd_cnt <= input_rd_cnt + 1; - end if; - -- FRAM read enable - fram1_rd <= '1'; - end if; - - -- increment FRAM1 read address - if fram1_rd_d(3) = '1' then - fram1_raddr <= std_logic_vector(unsigned(fram1_raddr) + 1); - end if; - - end if; - end process; - - ------------------------------------------------------------------- - -- FDCT with input level shift - ------------------------------------------------------------------- - U_MDCT : entity work.MDCT - port map - ( - clk => CLK, - rst => RST, - dcti => mdct_data_in, - idv => mdct_idval, - odv => mdct_odval, - dcto => mdct_data_out, - odv1 => odv1, - dcto1 => dcto1 - ); - - mdct_idval <= fram1_rd_d(7); - - R_s <= signed('0' & fram1_q(7 downto 0)); - G_s <= signed('0' & fram1_q(15 downto 8)); - B_s <= signed('0' & fram1_q(23 downto 16)); - - ------------------------------------------------------------------- - -- Mux1 - ------------------------------------------------------------------- - p_mux1 : process(CLK, RST) - begin - if RST = '1' then - mdct_data_in <= (others => '0'); - elsif CLK'event and CLK = '1' then - case cur_cmp_idx_d9 is - when "00" => - mdct_data_in <= std_logic_vector(Y_8bit); - when "01" => - mdct_data_in <= std_logic_vector(Cb_8bit); - when "10" => - mdct_data_in <= std_logic_vector(Cr_8bit); - when others => - null; - end case; - end if; - end process; - - - ------------------------------------------------------------------- - -- FIFO1 - ------------------------------------------------------------------- - U_FIFO1 : entity work.FIFO - generic map - ( - DATA_WIDTH => 12, - ADDR_WIDTH => 8 - ) - port map - ( - rst => RST, - clk => CLK, - rinc => fifo1_rd, - winc => fifo1_wr, - datai => fifo_data_in, - - datao => fifo1_q, - fullo => fifo1_full, - emptyo => fifo1_empty, - count => fifo1_count - ); - - fifo1_wr <= mdct_odval; - fifo_data_in <= mdct_data_out; - - - - ------------------------------------------------------------------- - -- FIFO rd controller - ------------------------------------------------------------------- - p_fifo_rd_ctrl : process(CLK, RST) - begin - if RST = '1' then - fifo1_rd <= '0'; - fifo_rd_arm <= '0'; - fifo1_rd_cnt <= (others => '0'); - fifo1_q_dval <= '0'; - elsif CLK'event and CLK = '1' then - fifo1_rd <= '0'; - - fifo1_q_dval <= fifo1_rd; - - if start_pb = '1' then - fifo_rd_arm <= '1'; - fifo1_rd_cnt <= (others => '0'); - end if; - - if fifo_rd_arm = '1' then - - if fifo1_rd_cnt = 64-1 then - fifo_rd_arm <= '0'; - fifo1_rd <= '1'; - elsif fifo1_empty = '0' then - fifo1_rd <= '1'; - fifo1_rd_cnt <= fifo1_rd_cnt + 1; - end if; - - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- write counter - ------------------------------------------------------------------- - p_wr_cnt : process(CLK, RST) - begin - if RST = '1' then - wr_cnt <= (others => '0'); - ready_pb <= '0'; - xw_cnt <= (others => '0'); - yw_cnt <= (others => '0'); - writing_en <= '0'; - elsif CLK'event and CLK = '1' then - ready_pb <= '0'; - - if start_pb = '1' then - wr_cnt <= (others => '0'); - xw_cnt <= (others => '0'); - yw_cnt <= (others => '0'); - writing_en <= '1'; - end if; - - if writing_en = '1' then - if fifo1_q_dval = '1' then - if wr_cnt = 64-1 then - wr_cnt <= (others => '0'); - ready_pb <= '1'; - writing_en <= '0'; - else - wr_cnt <= wr_cnt + 1; - end if; - - if yw_cnt = 8-1 then - yw_cnt <= (others => '0'); - xw_cnt <= xw_cnt+1; - else - yw_cnt <= yw_cnt+1; - end if; - end if; - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- RGB to YCbCr conversion - ------------------------------------------------------------------- - p_rgb2ycbcr : process(CLK, RST) - begin - if RST = '1' then - Y_Reg_1 <= (others => '0'); - Y_Reg_2 <= (others => '0'); - Y_Reg_3 <= (others => '0'); - Cb_Reg_1 <= (others => '0'); - Cb_Reg_2 <= (others => '0'); - Cb_Reg_3 <= (others => '0'); - Cr_Reg_1 <= (others => '0'); - Cr_Reg_2 <= (others => '0'); - Cr_Reg_3 <= (others => '0'); - Y_Reg <= (others => '0'); - Cb_Reg <= (others => '0'); - Cr_Reg <= (others => '0'); - elsif CLK'event and CLK = '1' then - Y_Reg_1 <= R_s*C_Y_1; - Y_Reg_2 <= G_s*C_Y_2; - Y_Reg_3 <= B_s*C_Y_3; - - Cb_Reg_1 <= R_s*C_Cb_1; - Cb_Reg_2 <= G_s*C_Cb_2; - Cb_Reg_3 <= B_s*C_Cb_3; - - Cr_Reg_1 <= R_s*C_Cr_1; - Cr_Reg_2 <= G_s*C_Cr_2; - Cr_Reg_3 <= B_s*C_Cr_3; - - Y_Reg <= Y_Reg_1 + Y_Reg_2 + Y_Reg_3; - Cb_Reg <= Cb_Reg_1 + Cb_Reg_2 + Cb_Reg_3 + to_signed(128*16384,Cb_Reg'length); - Cr_Reg <= Cr_Reg_1 + Cr_Reg_2 + Cr_Reg_3 + to_signed(128*16384,Cr_Reg'length); - - end if; - end process; - - Y_8bit <= unsigned(Y_Reg(21 downto 14)); - Cb_8bit <= unsigned(Cb_Reg(21 downto 14)); - Cr_8bit <= unsigned(Cr_Reg(21 downto 14)); - - - ------------------------------------------------------------------- - -- DBUF - ------------------------------------------------------------------- - U_RAMZ : entity work.RAMZ - generic map - ( - RAMADDR_W => 7, - RAMDATA_W => 12 - ) - port map - ( - d => dbuf_data, - waddr => dbuf_waddr, - raddr => dbuf_raddr, - we => dbuf_we, - clk => CLK, - - q => dbuf_q - ); - - dbuf_data <= fifo1_q; - dbuf_we <= fifo1_q_dval; - dbuf_waddr <= (not zz_buf_sel) & std_logic_vector(yw_cnt & xw_cnt); - dbuf_raddr <= zz_buf_sel & zz_rd_addr; - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/mdct/ROME.VHD =================================================================== --- main/design/mdct/ROME.VHD (revision 23) +++ main/design/mdct/ROME.VHD (nonexistent) @@ -1,133 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- --- Title : DCT --- Design : MDCT Core --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : ROME.VHD --- Created : Sat Mar 5 7:37 2006 --- --------------------------------------------------------------------------------- --- --- Description : ROM for DCT matrix constant cosine coefficients (even part) --- --------------------------------------------------------------------------------- - --- 5:0 --- 5:4 = select matrix row (1 out of 4) --- 3:0 = select precomputed MAC ( 1 out of 16) - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use IEEE.STD_LOGIC_arith.all; - use WORK.MDCT_PKG.all; - -entity ROME is - port( - addr : in STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); - clk : in STD_LOGIC; - - datao : out STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0) - ); - -end ROME; - -architecture RTL of ROME is - - type ROM_TYPE is array (0 to (2**ROMADDR_W)-1) - of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0); - constant rom : ROM_TYPE := - ( - (others => '0'), - conv_std_logic_vector( AP,ROMDATA_W ), - conv_std_logic_vector( AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP,ROMDATA_W ), - conv_std_logic_vector( AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP+AP,ROMDATA_W ), - conv_std_logic_vector( AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP+AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP+AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP+AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP+AP+AP,ROMDATA_W ), - - - (others => '0'), - conv_std_logic_vector( BM,ROMDATA_W ), - conv_std_logic_vector( CM,ROMDATA_W ), - conv_std_logic_vector( CM+BM,ROMDATA_W ), - conv_std_logic_vector( CP,ROMDATA_W ), - conv_std_logic_vector( CP+BM,ROMDATA_W ), - (others => '0'), - conv_std_logic_vector( BM,ROMDATA_W ), - conv_std_logic_vector( BP,ROMDATA_W ), - (others => '0'), - conv_std_logic_vector( BP+CM,ROMDATA_W ), - conv_std_logic_vector( CM,ROMDATA_W ), - conv_std_logic_vector( BP+CP,ROMDATA_W ), - conv_std_logic_vector( CP,ROMDATA_W ), - conv_std_logic_vector( BP,ROMDATA_W ), - (others => '0'), - - - (others => '0'), - conv_std_logic_vector( AP,ROMDATA_W ), - conv_std_logic_vector( AM,ROMDATA_W ), - (others => '0'), - conv_std_logic_vector( AM,ROMDATA_W ), - (others => '0'), - conv_std_logic_vector( AM+AM,ROMDATA_W ), - conv_std_logic_vector( AM,ROMDATA_W ), - conv_std_logic_vector( AP,ROMDATA_W ), - conv_std_logic_vector( AP+AP,ROMDATA_W ), - (others => '0'), - conv_std_logic_vector( AP,ROMDATA_W ), - (others => '0'), - conv_std_logic_vector( AP,ROMDATA_W ), - conv_std_logic_vector( AM,ROMDATA_W ), - (others => '0'), - - - (others => '0'), - conv_std_logic_vector( CM,ROMDATA_W ), - conv_std_logic_vector( BP,ROMDATA_W ), - conv_std_logic_vector( BP+CM,ROMDATA_W ), - conv_std_logic_vector( BM,ROMDATA_W ), - conv_std_logic_vector( BM+CM,ROMDATA_W ), - (others => '0'), - conv_std_logic_vector( CM,ROMDATA_W ), - conv_std_logic_vector( CP,ROMDATA_W ), - (others => '0'), - conv_std_logic_vector( CP+BP,ROMDATA_W ), - conv_std_logic_vector( BP,ROMDATA_W ), - conv_std_logic_vector( CP+BM,ROMDATA_W ), - conv_std_logic_vector( BM,ROMDATA_W ), - conv_std_logic_vector( CP,ROMDATA_W ), - (others => '0') - ); - -begin - - - process(clk) - begin - if clk = '1' and clk'event then - datao <= rom(CONV_INTEGER(UNSIGNED(addr)) ); - end if; - end process; - -end RTL; - - - Index: main/design/mdct/DBUFCTL.VHD =================================================================== --- main/design/mdct/DBUFCTL.VHD (revision 23) +++ main/design/mdct/DBUFCTL.VHD (nonexistent) @@ -1,73 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- --- Title : DBUFCTL --- Design : MDCT Core --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : DBUFCTL.VHD --- Created : Thu Mar 30 22:19 2006 --- --------------------------------------------------------------------------------- --- --- Description : Double buffer memory controller --- --------------------------------------------------------------------------------- -library IEEE; - use IEEE.STD_LOGIC_1164.all; - -library WORK; - use WORK.MDCT_PKG.all; - -entity DBUFCTL is - port( - clk : in STD_LOGIC; - rst : in STD_LOGIC; - wmemsel : in STD_LOGIC; - rmemsel : in STD_LOGIC; - datareadyack : in STD_LOGIC; - - memswitchwr : out STD_LOGIC; - memswitchrd : out STD_LOGIC; - dataready : out STD_LOGIC - ); -end DBUFCTL; - -architecture RTL of DBUFCTL is - - signal memswitchwr_reg : STD_LOGIC; - signal memswitchrd_reg : STD_LOGIC; - -begin - - memswitchwr <= memswitchwr_reg; - memswitchrd <= memswitchrd_reg; - - memswitchrd_reg <= rmemsel; - - MEM_SWITCH : process(clk,rst) - begin - if rst = '1' then - memswitchwr_reg <= '0'; -- initially mem 1 is selected - dataready <= '0'; - elsif clk = '1' and clk'event then - memswitchwr_reg <= wmemsel; - - if wmemsel /= memswitchwr_reg then - dataready <= '1'; - end if; - - if datareadyack = '1' then - dataready <= '0'; - end if; - end if; - end process; - -end RTL; --------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/mdct/MDCT.VHD =================================================================== --- main/design/mdct/MDCT.VHD (revision 23) +++ main/design/mdct/MDCT.VHD (nonexistent) @@ -1,219 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006-2009 -- --- -- --------------------------------------------------------------------------------- --- --- Title : DCT --- Design : MDCT Core --- Author : Michal Krepa --- Company : None --- --------------------------------------------------------------------------------- --- --- File : MDCT.VHD --- Created : Sat Feb 25 16:12 2006 --- --------------------------------------------------------------------------------- --- --- Description : Discrete Cosine Transform - chip top level (w/ memories) --- --------------------------------------------------------------------------------- - - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - -library WORK; - use WORK.MDCT_PKG.all; - - -entity MDCT is - port( - clk : in STD_LOGIC; - rst : in std_logic; - dcti : in std_logic_vector(IP_W-1 downto 0); - idv : in STD_LOGIC; - - odv : out STD_LOGIC; - dcto : out std_logic_vector(COE_W-1 downto 0); - -- debug - odv1 : out STD_LOGIC; - dcto1 : out std_logic_vector(OP_W-1 downto 0) - - ); -end MDCT; - -architecture RTL of MDCT is - - signal ramdatao_s : STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - signal ramraddro_s : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - signal ramwaddro_s : STD_LOGIC_VECTOR(RAMADRR_W-1 downto 0); - signal ramdatai_s : STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - signal ramwe_s : STD_LOGIC; - - signal romedatao_s : T_ROM1DATAO; - signal romodatao_s : T_ROM1DATAO; - signal romeaddro_s : T_ROM1ADDRO; - signal romoaddro_s : T_ROM1ADDRO; - - signal rome2datao_s : T_ROM2DATAO; - signal romo2datao_s : T_ROM2DATAO; - signal rome2addro_s : T_ROM2ADDRO; - signal romo2addro_s : T_ROM2ADDRO; - - signal odv2_s : STD_LOGIC; - signal dcto2_s : STD_LOGIC_VECTOR(OP_W-1 downto 0); - signal trigger2_s : STD_LOGIC; - signal trigger1_s : STD_LOGIC; - signal ramdatao1_s : STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - signal ramdatao2_s : STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - signal ramwe1_s : STD_LOGIC; - signal ramwe2_s : STD_LOGIC; - signal memswitchrd_s : STD_LOGIC; - signal memswitchwr_s : STD_LOGIC; - signal wmemsel_s : STD_LOGIC; - signal rmemsel_s : STD_LOGIC; - signal dataready_s : STD_LOGIC; - signal datareadyack_s : STD_LOGIC; - -begin - ------------------------------- --- 1D DCT port map ------------------------------- -U_DCT1D : entity work.DCT1D - port map( - clk => clk, - rst => rst, - dcti => dcti, - idv => idv, - romedatao => romedatao_s, - romodatao => romodatao_s, - - odv => odv1, - dcto => dcto1, - romeaddro => romeaddro_s, - romoaddro => romoaddro_s, - ramwaddro => ramwaddro_s, - ramdatai => ramdatai_s, - ramwe => ramwe_s, - wmemsel => wmemsel_s - ); - ------------------------------- --- 1D DCT port map ------------------------------- -U_DCT2D : entity work.DCT2D - port map( - clk => clk, - rst => rst, - romedatao => rome2datao_s, - romodatao => romo2datao_s, - ramdatao => ramdatao_s, - dataready => dataready_s, - - odv => odv, - dcto => dcto, - romeaddro => rome2addro_s, - romoaddro => romo2addro_s, - ramraddro => ramraddro_s, - rmemsel => rmemsel_s, - datareadyack => datareadyack_s - ); - ------------------------------- --- RAM1 port map ------------------------------- -U1_RAM : entity work.RAM - port map ( - d => ramdatai_s, - waddr => ramwaddro_s, - raddr => ramraddro_s, - we => ramwe1_s, - clk => clk, - - q => ramdatao1_s - ); - ------------------------------- --- RAM2 port map ------------------------------- -U2_RAM : entity work.RAM - port map ( - d => ramdatai_s, - waddr => ramwaddro_s, - raddr => ramraddro_s, - we => ramwe2_s, - clk => clk, - - q => ramdatao2_s - ); - --- double buffer switch -ramwe1_s <= ramwe_s when memswitchwr_s = '0' else '0'; -ramwe2_s <= ramwe_s when memswitchwr_s = '1' else '0'; -ramdatao_s <= ramdatao1_s when memswitchrd_s = '0' else ramdatao2_s; - ------------------------------- --- DBUFCTL ------------------------------- -U_DBUFCTL : entity work.DBUFCTL - port map( - clk => clk, - rst => rst, - wmemsel => wmemsel_s, - rmemsel => rmemsel_s, - datareadyack => datareadyack_s, - - memswitchwr => memswitchwr_s, - memswitchrd => memswitchrd_s, - dataready => dataready_s - ); - ------------------------------- --- 1st stage ROMs ------------------------------- - -G_ROM_ST1 : for i in 0 to 8 generate - U1_ROME : entity work.ROME - port map( - addr => romeaddro_s(i), - clk => clk, - - datao => romedatao_s(i) - ); - - U1_ROMO : entity work.ROMO - port map( - addr => romoaddro_s(i), - clk => clk, - - datao => romodatao_s(i) - ); -end generate G_ROM_ST1; - ------------------------------- --- 2nd stage ROMs ------------------------------- -G_ROM_ST2 : for i in 0 to 10 generate - U2_ROME : entity work.ROME - port map( - addr => rome2addro_s(i), - clk => clk, - - datao => rome2datao_s(i) - ); - - U2_ROMO : entity work.ROMO - port map( - addr => romo2addro_s(i), - clk => clk, - - datao => romo2datao_s(i) - ); - -end generate G_ROM_ST2; - -end RTL; Index: main/design/mdct/MDCT_PKG.vhd =================================================================== --- main/design/mdct/MDCT_PKG.vhd (revision 23) +++ main/design/mdct/MDCT_PKG.vhd (nonexistent) @@ -1,66 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- --- Title : MDCT_PKG --- Design : MDCT Core --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : MDCT_PKG.VHD --- Created : Sat Mar 5 2006 --- --------------------------------------------------------------------------------- --- --- Description : Package for MDCT core --- --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use ieee.numeric_std.all; - -package MDCT_PKG is - - constant IP_W : INTEGER := 8; - constant OP_W : INTEGER := 12; - constant N : INTEGER := 8; - constant COE_W : INTEGER := 12; - constant ROMDATA_W : INTEGER := COE_W+2; - constant ROMADDR_W : INTEGER := 6; - constant RAMDATA_W : INTEGER := 10; - constant RAMADRR_W : INTEGER := 6; - constant COL_MAX : INTEGER := N-1; - constant ROW_MAX : INTEGER := N-1; - constant LEVEL_SHIFT : INTEGER := 128; - constant DA_W : INTEGER := ROMDATA_W+IP_W; - constant DA2_W : INTEGER := DA_W+2; - -- 2's complement numbers - - constant AP : INTEGER := 1448; - constant BP : INTEGER := 1892; - constant CP : INTEGER := 784; - constant DP : INTEGER := 2009; - constant EP : INTEGER := 1703; - constant FP : INTEGER := 1138; - constant GP : INTEGER := 400; - constant AM : INTEGER := -1448; - constant BM : INTEGER := -1892; - constant CM : INTEGER := -784; - constant DM : INTEGER := -2009; - constant EM : INTEGER := -1703; - constant FM : INTEGER := -1138; - constant GM : INTEGER := -400; - - type T_ROM1DATAO is array(0 to 8) of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0); - type T_ROM1ADDRO is array(0 to 8) of STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); - - type T_ROM2DATAO is array(0 to 10) of STD_LOGIC_VECTOR(ROMDATA_W-1 downto 0); - type T_ROM2ADDRO is array(0 to 10) of STD_LOGIC_VECTOR(ROMADDR_W-1 downto 0); - - -end MDCT_PKG; \ No newline at end of file Index: main/design/common/JPEG_PKG.vhd =================================================================== --- main/design/common/JPEG_PKG.vhd (revision 23) +++ main/design/common/JPEG_PKG.vhd (nonexistent) @@ -1,73 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2009 -- --- -- --------------------------------------------------------------------------------- --- --- Title : JPEG_PKG --- Design : JPEG_ENC --- Author : Michal Krepa --- --------------------------------------------------------------------------------- --- --- File : JPEG_PKG.VHD --- Created : Sat Mar 7 2009 --- --------------------------------------------------------------------------------- --- --- Description : Package for JPEG core --- --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use ieee.numeric_std.all; - -package JPEG_PKG is - - -- do not change, constant - constant C_HDR_SIZE : integer := 338; - - -- warning! this parameter heavily affects memory size required - -- if expected image width is known change this parameter to match this - -- otherwise some onchip RAM will be wasted and never used - constant C_MAX_LINE_WIDTH : integer := 640; - - -- 0=highest clock per pixel performance - -- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18% - constant C_MEMORY_OPTIMIZED : integer := 0; - - type T_SM_SETTINGS is record - x_cnt : unsigned(15 downto 0); - y_cnt : unsigned(15 downto 0); - cmp_idx : unsigned(1 downto 0); - end record; - - constant C_SM_SETTINGS : T_SM_SETTINGS := - ( - (others => '0'), - (others => '0'), - (others => '0') - ); - - function log2(n : natural) return natural; - -end package JPEG_PKG; - -package body JPEG_PKG is - - ----------------------------------------------------------------------------- - function log2(n : natural) - return natural is - begin - for i in 0 to 31 loop - if (2**i) >= n then - return i; - end if; - end loop; - return 32; - end log2; - ----------------------------------------------------------------------------- - -end package body JPEG_PKG; \ No newline at end of file Index: main/design/common/RAMZ.VHD =================================================================== --- main/design/common/RAMZ.VHD (revision 23) +++ main/design/common/RAMZ.VHD (nonexistent) @@ -1,78 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- -- --- Title : RAMZ -- --- Design : MDCT -- --- Author : Michal Krepa -- -- -- --- -- --------------------------------------------------------------------------------- --- --- File : RAMZ.VHD --- Created : Sat Mar 5 7:37 2006 --- --------------------------------------------------------------------------------- --- --- Description : RAM memory simulation model --- --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use IEEE.NUMERIC_STD.all; - -entity RAMZ is - generic - ( - RAMADDR_W : INTEGER := 6; - RAMDATA_W : INTEGER := 12 - ); - port ( - d : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - waddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); - raddr : in STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); - we : in STD_LOGIC; - clk : in STD_LOGIC; - - q : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0) - ); -end RAMZ; - -architecture RTL of RAMZ is - type mem_type is array ((2**RAMADDR_W)-1 downto 0) of - STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - signal mem : mem_type; - signal read_addr : STD_LOGIC_VECTOR(RAMADDR_W-1 downto 0); - -begin - - ------------------------------------------------------------------------------- - q_sg: - ------------------------------------------------------------------------------- - q <= mem(TO_INTEGER(UNSIGNED(read_addr))); - - ------------------------------------------------------------------------------- - read_proc: -- register read address - ------------------------------------------------------------------------------- - process (clk) - begin - if clk = '1' and clk'event then - read_addr <= raddr; - end if; - end process; - - ------------------------------------------------------------------------------- - write_proc: --write access - ------------------------------------------------------------------------------- - process (clk) begin - if clk = '1' and clk'event then - if we = '1' then - mem(TO_INTEGER(UNSIGNED(waddr))) <= d; - end if; - end if; - end process; - -end RTL; \ No newline at end of file Index: main/design/common/SingleSM.vhd =================================================================== --- main/design/common/SingleSM.vhd (revision 23) +++ main/design/common/SingleSM.vhd (nonexistent) @@ -1,125 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : SingleSM.vhd --- --- Project : --- --- Module : --- --- Content : --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa -------------------------------------------------------------------------------- --- History : --- 20080301: (MK): Initial Creation. -------------------------------------------------------------------------------- - -library ieee; - use ieee.std_logic_1164.all; - -entity SingleSM is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- from/to SM(m) - start_i : in std_logic; - idle_o : out std_logic; - -- from/to SM(m+1) - idle_i : in std_logic; - start_o : out std_logic; - -- from/to processing block - pb_rdy_i : in std_logic; - pb_start_o : out std_logic; - -- state debug - fsm_o : out std_logic_vector(1 downto 0) - ); -end entity SingleSM; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture SingleSM_rtl of SingleSM is - - -------------------------------------------------------------------------------- --- Architecture: Signal definition. -------------------------------------------------------------------------------- - type T_STATE is (IDLE, WAIT_FOR_BLK_RDY, WAIT_FOR_BLK_IDLE); - - signal state : T_STATE; - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - fsm_o <= "00" when state = IDLE else - "01" when state = WAIT_FOR_BLK_RDY else - "10" when state = WAIT_FOR_BLK_IDLE else - "11"; - - ------------------------------------------------------------------------------ - -- FSM - ------------------------------------------------------------------------------ - p_fsm : process(CLK, RST) - begin - if RST = '1' then - idle_o <= '0'; - start_o <= '0'; - pb_start_o <= '0'; - state <= IDLE; - elsif CLK'event and CLK = '1' then - idle_o <= '0'; - start_o <= '0'; - pb_start_o <= '0'; - - case state is - when IDLE => - idle_o <= '1'; - -- this fsm is started - if start_i = '1' then - state <= WAIT_FOR_BLK_RDY; - -- start processing block associated with this FSM - pb_start_o <= '1'; - idle_o <= '0'; - end if; - - when WAIT_FOR_BLK_RDY => - -- wait until processing block completes - if pb_rdy_i = '1' then - -- wait until next FSM is idle before starting it - if idle_i = '1' then - state <= IDLE; - start_o <= '1'; - else - state <= WAIT_FOR_BLK_IDLE; - end if; - end if; - - when WAIT_FOR_BLK_IDLE => - if idle_i = '1' then - state <= IDLE; - start_o <= '1'; - end if; - - when others => - idle_o <= '0'; - start_o <= '0'; - pb_start_o <= '0'; - state <= IDLE; - - end case; - - end if; - end process; - -end architecture SingleSM_rtl; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- Index: main/design/common/FIFO.vhd =================================================================== --- main/design/common/FIFO.vhd (revision 23) +++ main/design/common/FIFO.vhd (nonexistent) @@ -1,230 +0,0 @@ -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use IEEE.NUMERIC_STD.all; - -entity RAMF is - generic ( - RAMD_W : INTEGER := 12; - RAMA_W : INTEGER := 6 - ); - port ( - d : in STD_LOGIC_VECTOR(RAMD_W-1 downto 0); - waddr : in STD_LOGIC_VECTOR(RAMA_W-1 downto 0); - raddr : in STD_LOGIC_VECTOR(RAMA_W-1 downto 0); - we : in STD_LOGIC; - clk : in STD_LOGIC; - - q : out STD_LOGIC_VECTOR(RAMD_W-1 downto 0) - ); -end RAMF; - -architecture RTL of RAMF is - type mem_type is array ((2**RAMA_W)-1 downto 0) of - STD_LOGIC_VECTOR(RAMD_W-1 downto 0); - signal mem : mem_type; - signal read_addr : STD_LOGIC_VECTOR(RAMA_W-1 downto 0); - -begin - - ------------------------------------------------------------------------------- - q_sg: - ------------------------------------------------------------------------------- - q <= mem(TO_INTEGER(UNSIGNED(read_addr))); - - ------------------------------------------------------------------------------- - read_proc: -- register read address - ------------------------------------------------------------------------------- - process (clk) - begin - if clk = '1' and clk'event then - read_addr <= raddr; - end if; - end process; - - ------------------------------------------------------------------------------- - write_proc: --write access - ------------------------------------------------------------------------------- - process (clk) begin - if clk = '1' and clk'event then - if we = '1' then - mem(TO_INTEGER(UNSIGNED(waddr))) <= d; - end if; - end if; - end process; - -end RTL; ----------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.all; - use IEEE.STD_LOGIC_UNSIGNED.all; -library WORK; - -entity FIFO is - generic ( - DATA_WIDTH : INTEGER := 12; - ADDR_WIDTH : INTEGER := 2 - ); - port ( - rst : in STD_LOGIC; - clk : in STD_LOGIC; - rinc : in STD_LOGIC; - winc : in STD_LOGIC; - datai : in STD_LOGIC_VECTOR(DATA_WIDTH-1 downto 0); - - datao : out STD_LOGIC_VECTOR(DATA_WIDTH-1 downto 0); - fullo : out STD_LOGIC; - emptyo : out STD_LOGIC; - count : out STD_LOGIC_VECTOR (ADDR_WIDTH downto 0) - ); -end FIFO; - -architecture RTL of FIFO is - - signal raddr_reg : STD_LOGIC_VECTOR(ADDR_WIDTH-1 downto 0); - signal waddr_reg : STD_LOGIC_VECTOR(ADDR_WIDTH-1 downto 0); - signal count_reg : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0); - signal rd_en_reg : STD_LOGIC; - signal wr_en_reg : STD_LOGIC; - signal empty_reg : STD_LOGIC; - signal full_reg : STD_LOGIC; - signal ramq : STD_LOGIC_VECTOR(DATA_WIDTH-1 downto 0); - signal ramd : STD_LOGIC_VECTOR (DATA_WIDTH-1 downto 0); - signal ramwaddr : STD_LOGIC_VECTOR (ADDR_WIDTH-1 downto 0); - signal ramenw : STD_LOGIC; - signal ramraddr : STD_LOGIC_VECTOR (ADDR_WIDTH-1 downto 0); - signal ramenr : STD_LOGIC; - - constant ZEROS_C : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '0'); - constant ONES_C : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); - - component RAMF - generic ( - RAMD_W : INTEGER := 12; - RAMA_W : INTEGER := 6 - ); - port ( - d : in STD_LOGIC_VECTOR(RAMD_W-1 downto 0); - waddr : in STD_LOGIC_VECTOR(RAMA_W-1 downto 0); - raddr : in STD_LOGIC_VECTOR(RAMA_W-1 downto 0); - we : in STD_LOGIC; - clk : in STD_LOGIC; - - q : out STD_LOGIC_VECTOR(RAMD_W-1 downto 0) - ); - end component; -begin - - U_RAMF : RAMF - generic map ( - RAMD_W => DATA_WIDTH, - RAMA_W => ADDR_WIDTH - ) - port map ( - d => ramd, - waddr => ramwaddr, - raddr => ramraddr, - we => ramenw, - clk => clk, - - q => ramq - ); - - ramd <= datai; - - ramwaddr <= waddr_reg; - - ramenw <= wr_en_reg; - - ramraddr <= raddr_reg; - - ramenr <= '1'; - - datao <= ramq; - - emptyo <= empty_reg; - - fullo <= full_reg; - - rd_en_reg <= (rinc and not empty_reg); - - wr_en_reg <= (winc and not full_reg); - - count <= count_reg; - - process(clk) - begin - if clk = '1' and clk'event then - if rst = '1' then - empty_reg <= '1'; - else - if count_reg = ZEROS_C or - (count_reg = 1 and rd_en_reg = '1' and wr_en_reg = '0') then - empty_reg <= '1'; - else - empty_reg <= '0'; - end if; - end if; - end if; - end process; - - process(clk) - begin - if clk = '1' and clk'event then - if rst = '1' then - full_reg <= '0'; - else - if count_reg = 2**ADDR_WIDTH or - (count_reg = 2**ADDR_WIDTH-1 and wr_en_reg = '1' and rd_en_reg = '0') then - full_reg <= '1'; - else - full_reg <= '0'; - end if; - end if; - end if; - end process; - - process(clk) - begin - if clk = '1' and clk'event then - if rst = '1' then - raddr_reg <= (others => '0'); - else - if rd_en_reg = '1' then - raddr_reg <= raddr_reg + '1'; - end if; - end if; - end if; - end process; - - process(clk) - begin - if clk = '1' and clk'event then - if rst = '1' then - waddr_reg <= (others => '0'); - else - if wr_en_reg = '1' then - waddr_reg <= waddr_reg + '1'; - end if; - end if; - end if; - end process; - - process(clk) - begin - if clk = '1' and clk'event then - if rst = '1' then - count_reg <= (others => '0'); - else - if (rd_en_reg = '1' and wr_en_reg = '0') or (rd_en_reg = '0' and wr_en_reg = '1') then - if rd_en_reg = '1' then - count_reg <= count_reg - '1'; - else - count_reg <= count_reg + '1'; - end if; - end if; - end if; - end if; - end process; - -end RTL; Index: main/design/JFIFGen/JFIFGen.vhd =================================================================== --- main/design/JFIFGen/JFIFGen.vhd (revision 23) +++ main/design/JFIFGen/JFIFGen.vhd (nonexistent) @@ -1,265 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : JFIFGen.vhd --- --- Project : JPEG_ENC --- --- Module : JFIFGen --- --- Content : JFIF Header Generator --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090309: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -library work; - use work.JPEG_PKG.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity JFIFGen is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- CTRL - start : in std_logic; - ready : out std_logic; - eoi : in std_logic; - - -- ByteStuffer - num_enc_bytes : in std_logic_vector(23 downto 0); - - -- HOST IF - qwren : in std_logic; - qwaddr : in std_logic_vector(5 downto 0); - qwdata : in std_logic_vector(7 downto 0); - image_size_reg : in std_logic_vector(31 downto 0); - image_size_reg_wr : in std_logic; - - -- OUT RAM - ram_byte : out std_logic_vector(7 downto 0); - ram_wren : out std_logic; - ram_wraddr : out std_logic_vector(23 downto 0) - ); -end entity JFIFGen; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of JFIFGen is - - constant C_SIZE_Y_H : integer := 25; - constant C_SIZE_Y_L : integer := 26; - constant C_SIZE_X_H : integer := 27; - constant C_SIZE_X_L : integer := 28; - - constant C_EOI : std_logic_vector(15 downto 0) := X"FFD9"; - - - signal hr_data : std_logic_vector(7 downto 0); - signal hr_waddr : std_logic_vector(8 downto 0); - signal hr_raddr : std_logic_vector(8 downto 0); - signal hr_we : std_logic; - signal hr_q : std_logic_vector(7 downto 0); - signal size_wr_cnt : unsigned(2 downto 0); - signal size_wr : std_logic; - signal rd_cnt : unsigned(8 downto 0); - signal rd_en : std_logic; - signal rd_en_d1 : std_logic; - signal rd_cnt_d1 : unsigned(8 downto 0); - signal rd_cnt_d2 : unsigned(8 downto 0); - signal eoi_cnt : unsigned(1 downto 0); - signal eoi_wr : std_logic; - signal eoi_wr_d1 : std_logic; - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - ------------------------------------------------------------------- - -- Header RAM - ------------------------------------------------------------------- - U_Header_RAM : entity work.RAMZ - generic map - ( - RAMADDR_W => 9, - RAMDATA_W => 8 - ) - port map - ( - d => hr_data, - waddr => hr_waddr, - raddr => hr_raddr, - we => hr_we, - clk => CLK, - - q => hr_q - ); - - hr_raddr <= std_logic_vector(rd_cnt); - - ------------------------------------------------------------------- - -- Host programming - ------------------------------------------------------------------- - p_host_wr : process(CLK, RST) - begin - if RST = '1' then - size_wr_cnt <= (others => '0'); - size_wr <= '0'; - hr_we <= '0'; - hr_data <= (others => '0'); - hr_waddr <= (others => '0'); - elsif CLK'event and CLK = '1' then - hr_we <= '0'; - - if image_size_reg_wr = '1' then - size_wr_cnt <= (others => '0'); - size_wr <= '1'; - end if; - - -- write image size - if size_wr = '1' then - if size_wr_cnt = 4 then - size_wr_cnt <= (others => '0'); - size_wr <= '0'; - else - size_wr_cnt <= size_wr_cnt + 1; - hr_we <= '1'; - case size_wr_cnt is - -- height H byte - when "000" => - hr_data <= image_size_reg(15 downto 8); - hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_Y_H,hr_waddr'length)); - -- height L byte - when "001" => - hr_data <= image_size_reg(7 downto 0); - hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_Y_L,hr_waddr'length)); - -- width H byte - when "010" => - hr_data <= image_size_reg(31 downto 24); - hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_X_H,hr_waddr'length)); - -- width L byte - when "011" => - hr_data <= image_size_reg(23 downto 16); - hr_waddr <= std_logic_vector(to_unsigned(C_SIZE_X_L,hr_waddr'length)); - when others => - null; - end case; - end if; - -- write Quantization table - elsif qwren = '1' then - hr_waddr <= std_logic_vector( resize(unsigned(qwaddr),hr_waddr'length) + - to_unsigned(44,hr_waddr'length)); - hr_we <= '1'; - hr_data <= qwdata; - end if; - - end if; - end process; - - ------------------------------------------------------------------- - -- CTRL - ------------------------------------------------------------------- - p_ctrl : process(CLK, RST) - begin - if RST = '1' then - ready <= '0'; - rd_en <= '0'; - rd_cnt <= (others => '0'); - rd_cnt_d1 <= (others => '0'); - rd_cnt_d2 <= (others => '0'); - rd_cnt_d1 <= (others => '0'); - rd_en_d1 <= '0'; - eoi_wr_d1 <= '0'; - eoi_wr <= '0'; - eoi_cnt <= (others => '0'); - ram_wren <= '0'; - ram_byte <= (others => '0'); - ram_wraddr <= (others => '0'); - elsif CLK'event and CLK = '1' then - ready <= '0'; - rd_cnt_d1 <= rd_cnt; - rd_cnt_d2 <= rd_cnt_d1; - rd_en_d1 <= rd_en; - eoi_wr_d1 <= eoi_wr; - - -- defaults: encoded data write - ram_wren <= rd_en_d1; - ram_wraddr <= std_logic_vector(resize(rd_cnt_d1,ram_wraddr'length)); - ram_byte <= hr_q; - - -- start JFIF - if start = '1' and eoi = '0' then - rd_cnt <= (others => '0'); - rd_en <= '1'; - elsif start = '1' and eoi = '1' then - eoi_wr <= '1'; - eoi_cnt <= (others => '0'); - end if; - - -- read JFIF Header - if rd_en = '1' then - if rd_cnt = C_HDR_SIZE-1 then - rd_en <= '0'; - ready <= '1'; - else - rd_cnt <= rd_cnt + 1; - end if; - end if; - - -- EOI MARKER write - if eoi_wr = '1' then - if eoi_cnt = 2 then - eoi_cnt <= (others => '0'); - eoi_wr <= '0'; - ready <= '1'; - else - eoi_cnt <= eoi_cnt + 1; - ram_wren <= '1'; - if eoi_cnt = 0 then - ram_byte <= C_EOI(15 downto 8); - ram_wraddr <= num_enc_bytes; - elsif eoi_cnt = 1 then - ram_byte <= C_EOI(7 downto 0); - ram_wraddr <= std_logic_vector(unsigned(num_enc_bytes) + - to_unsigned(1,ram_wraddr'length)); - end if; - end if; - end if; - end if; - end process; - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/zigzag/ZIGZAG.VHD =================================================================== --- main/design/zigzag/ZIGZAG.VHD (revision 23) +++ main/design/zigzag/ZIGZAG.VHD (nonexistent) @@ -1,123 +0,0 @@ --------------------------------------------------------------------------------- --- -- --- V H D L F I L E -- --- COPYRIGHT (C) 2006 -- --- -- --------------------------------------------------------------------------------- --- -- --- Title : ZIGZAG -- --- Design : MDCT CORE -- --- Author : Michal Krepa -- --- -- --------------------------------------------------------------------------------- --- -- --- File : ZIGZAG.VHD -- --- Created : Sun Sep 3 2006 -- --- -- --------------------------------------------------------------------------------- --- -- --- Description : Zig-Zag scan -- --- -- --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- - -library IEEE; - use IEEE.STD_LOGIC_1164.All; - use IEEE.NUMERIC_STD.all; - -entity zigzag is - generic - ( - RAMADDR_W : INTEGER := 6; - RAMDATA_W : INTEGER := 12 - ); - port - ( - rst : in STD_LOGIC; - clk : in STD_LOGIC; - di : in STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - divalid : in STD_LOGIC; - rd_addr : in unsigned(5 downto 0); - fifo_rden : in std_logic; - - fifo_empty : out std_logic; - dout : out STD_LOGIC_VECTOR(RAMDATA_W-1 downto 0); - dovalid : out std_logic; - zz_rd_addr : out STD_LOGIC_VECTOR(5 downto 0) - ); -end zigzag; - -architecture rtl of zigzag is - - type ZIGZAG_TYPE is array (0 to 2**RAMADDR_W-1) of INTEGER range 0 to 2**RAMADDR_W-1; - constant ZIGZAG_ARRAY : ZIGZAG_TYPE := - ( - 0,1,8,16,9,2,3,10, - 17,24,32,25,18,11,4,5, - 12,19,26,33,40,48,41,34, - 27,20,13,6,7,14,21,28, - 35,42,49,56,57,50,43,36, - 29,22,15,23,30,37,44,51, - 58,59,52,45,38,31,39,46, - 53,60,61,54,47,55,62,63 - ); - - signal fifo_wr : std_logic; - signal fifo_q : std_logic_vector(11 downto 0); - signal fifo_full : std_logic; - signal fifo_count : std_logic_vector(6 downto 0); - signal fifo_data_in : std_logic_vector(11 downto 0); - signal fifo_empty_s : std_logic; - - -begin - - dout <= fifo_q; - fifo_empty <= fifo_empty_s; - - ------------------------------------------------------------------- - -- FIFO (show ahead) - ------------------------------------------------------------------- - U_FIFO : entity work.FIFO - generic map - ( - DATA_WIDTH => 12, - ADDR_WIDTH => 6 - ) - port map - ( - rst => RST, - clk => CLK, - rinc => fifo_rden, - winc => fifo_wr, - datai => fifo_data_in, - - datao => fifo_q, - fullo => fifo_full, - emptyo => fifo_empty_s, - count => fifo_count - ); - - fifo_wr <= divalid; - fifo_data_in <= di; - - - process(clk) - begin - if clk = '1' and clk'event then - if rst = '1' then - zz_rd_addr <= (others => '0'); - dovalid <= '0'; - else - zz_rd_addr <= std_logic_vector( - to_unsigned((ZIGZAG_ARRAY(to_integer(rd_addr))),6)); - - dovalid <= fifo_rden and not fifo_empty_s; - end if; - end if; - end process; - - -end rtl; --------------------------------------------------------------------------------- Index: main/design/zigzag/ZZ_TOP.VHD =================================================================== --- main/design/zigzag/ZZ_TOP.VHD (revision 23) +++ main/design/zigzag/ZZ_TOP.VHD (nonexistent) @@ -1,271 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : ZZ_TOP.vhd --- --- Project : JPEG_ENC --- --- Module : ZZ_TOP --- --- Content : ZigZag Top level --- --- Description : Zig Zag scan and Quantizer --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090301: (MK): Initial Creation. -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ LIBRARY/PACKAGE --------------------------- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- --- generic packages/libraries: -------------------------------------------------------------------------------- -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -------------------------------------------------------------------------------- --- user packages/libraries: -------------------------------------------------------------------------------- - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ENTITY ------------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -entity ZZ_TOP is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- CTRL - start_pb : in std_logic; - ready_pb : out std_logic; - - -- RLE - rle_buf_sel : in std_logic; - rle_rdaddr : in std_logic_vector(5 downto 0); - rle_data : out std_logic_vector(11 downto 0); - - -- FDCT - fdct_buf_sel : out std_logic; - fdct_rd_addr : out std_logic_vector(5 downto 0); - fdct_data : in std_logic_vector(11 downto 0); - fdct_rden : out std_logic; - - -- HOST - qdata : in std_logic_vector(7 downto 0); - qaddr : in std_logic_vector(5 downto 0); - qwren : in std_logic - ); -end entity ZZ_TOP; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of ZZ_TOP is - - signal dbuf_data : std_logic_vector(11 downto 0); - signal dbuf_q : std_logic_vector(11 downto 0); - signal dbuf_we : std_logic; - signal dbuf_waddr : std_logic_vector(6 downto 0); - signal dbuf_raddr : std_logic_vector(6 downto 0); - signal zigzag_di : std_logic_vector(11 downto 0); - signal zigzag_divalid : std_logic; - signal zigzag_dout : std_logic_vector(11 downto 0); - signal zigzag_dovalid : std_logic; - signal quant_dout : std_logic_vector(11 downto 0); - signal quant_dovalid : std_logic; - signal wr_cnt : unsigned(5 downto 0); - signal rd_cnt : unsigned(5 downto 0); - signal rd_en_d : std_logic_vector(5 downto 0); - signal rd_en : std_logic; - signal fdct_buf_sel_s : std_logic; - signal zz_rd_addr : std_logic_vector(5 downto 0); - signal fifo_empty : std_logic; - signal fifo_rden : std_logic; - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - fdct_rd_addr <= std_logic_vector(zz_rd_addr); - rle_data <= dbuf_q; - fdct_buf_sel <= fdct_buf_sel_s; - fdct_rden <= rd_en; - - ------------------------------------------------------------------- - -- ZigZag Core - ------------------------------------------------------------------- - U_zigzag : entity work.zigzag - generic map - ( - RAMADDR_W => 6, - RAMDATA_W => 12 - ) - port map - ( - rst => RST, - clk => CLK, - di => zigzag_di, - divalid => zigzag_divalid, - rd_addr => rd_cnt, - fifo_rden => fifo_rden, - - fifo_empty => fifo_empty, - dout => zigzag_dout, - dovalid => zigzag_dovalid, - zz_rd_addr => zz_rd_addr - ); - - zigzag_di <= fdct_data; - zigzag_divalid <= rd_en_d(1); - - ------------------------------------------------------------------- - -- Quantizer - ------------------------------------------------------------------- - U_quantizer : entity work.quantizer - generic map - ( - SIZE_C => 12, - RAMQADDR_W => 6, - RAMQDATA_W => 8 - ) - port map - ( - rst => RST, - clk => CLK, - di => zigzag_dout, - divalid => zigzag_dovalid, - qdata => qdata, - qwaddr => qaddr, - qwren => qwren, - - do => quant_dout, - dovalid => quant_dovalid - ); - - ------------------------------------------------------------------- - -- DBUF - ------------------------------------------------------------------- - U_RAMZ : entity work.RAMZ - generic map - ( - RAMADDR_W => 7, - RAMDATA_W => 12 - ) - port map - ( - d => dbuf_data, - waddr => dbuf_waddr, - raddr => dbuf_raddr, - we => dbuf_we, - clk => CLK, - - q => dbuf_q - ); - - dbuf_data <= quant_dout; - dbuf_waddr <= (not rle_buf_sel) & std_logic_vector(wr_cnt); - dbuf_we <= quant_dovalid; - dbuf_raddr <= rle_buf_sel & rle_rdaddr; - - ------------------------------------------------------------------- - -- FIFO Ctrl - ------------------------------------------------------------------- - p_fifo_ctrl : process(CLK, RST) - begin - if RST = '1' then - fifo_rden <= '0'; - elsif CLK'event and CLK = '1' then - if fifo_empty = '0' then - fifo_rden <= '1'; - else - fifo_rden <= '0'; - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- Counter1 - ------------------------------------------------------------------- - p_counter1 : process(CLK, RST) - begin - if RST = '1' then - rd_en <= '0'; - rd_en_d <= (others => '0'); - rd_cnt <= (others => '0'); - elsif CLK'event and CLK = '1' then - rd_en_d <= rd_en_d(rd_en_d'length-2 downto 0) & rd_en; - - if start_pb = '1' then - rd_cnt <= (others => '0'); - rd_en <= '1'; - end if; - - if rd_en = '1' then - if rd_cnt = 64-1 then - rd_cnt <= (others => '0'); - rd_en <= '0'; - else - rd_cnt <= rd_cnt + 1; - end if; - end if; - - end if; - end process; - - ------------------------------------------------------------------- - -- wr_cnt - ------------------------------------------------------------------- - p_wr_cnt : process(CLK, RST) - begin - if RST = '1' then - wr_cnt <= (others => '0'); - ready_pb <= '0'; - elsif CLK'event and CLK = '1' then - ready_pb <= '0'; - - if start_pb = '1' then - wr_cnt <= (others => '0'); - end if; - - if quant_dovalid = '1' then - if wr_cnt = 64-1 then - wr_cnt <= (others => '0'); - ready_pb <= '1'; - else - wr_cnt <=wr_cnt + 1; - end if; - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- fdct_buf_sel - ------------------------------------------------------------------- - p_buf_sel : process(CLK, RST) - begin - if RST = '1' then - fdct_buf_sel_s <= '0'; - elsif CLK'event and CLK = '1' then - if start_pb = '1' then - fdct_buf_sel_s <= not fdct_buf_sel_s; - end if; - end if; - end process; - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file Index: main/design/hostif/HostIF.vhd =================================================================== --- main/design/hostif/HostIF.vhd (revision 23) +++ main/design/hostif/HostIF.vhd (nonexistent) @@ -1,270 +0,0 @@ -------------------------------------------------------------------------------- --- File Name : HostIF.vhd --- --- Project : JPEG_ENC --- --- Module : HostIF --- --- Content : Host Interface (Xilinx OPB v2.1) --- --- Description : --- --- Spec. : --- --- Author : Michal Krepa --- -------------------------------------------------------------------------------- --- History : --- 20090301: (MK): Initial Creation. -------------------------------------------------------------------------------- - -library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; - -entity HostIF is - port - ( - CLK : in std_logic; - RST : in std_logic; - -- OPB - OPB_ABus : in std_logic_vector(31 downto 0); - OPB_BE : in std_logic_vector(3 downto 0); - OPB_DBus_in : in std_logic_vector(31 downto 0); - OPB_RNW : in std_logic; - OPB_select : in std_logic; - OPB_DBus_out : out std_logic_vector(31 downto 0); - OPB_XferAck : out std_logic; - OPB_retry : out std_logic; - OPB_toutSup : out std_logic; - OPB_errAck : out std_logic; - - -- Quantizer RAM - qdata : out std_logic_vector(7 downto 0); - qaddr : out std_logic_vector(5 downto 0); - qwren : out std_logic; - - -- CTRL - jpeg_ready : in std_logic; - jpeg_busy : in std_logic; - - -- ByteStuffer - outram_base_addr : out std_logic_vector(9 downto 0); - num_enc_bytes : in std_logic_vector(23 downto 0); - - -- others - img_size_x : out std_logic_vector(15 downto 0); - img_size_y : out std_logic_vector(15 downto 0); - img_size_wr : out std_logic; - sof : out std_logic; - cmp_max : out std_logic_vector(1 downto 0) - - ); -end entity HostIF; - -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- ------------------------------------ ARCHITECTURE ------------------------------ -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -architecture RTL of HostIF is - - constant C_ENC_START_REG : std_logic_vector(31 downto 0) := X"0000_0000"; - constant C_IMAGE_SIZE_REG : std_logic_vector(31 downto 0) := X"0000_0004"; - constant C_IMAGE_RAM_ACCESS_REG : std_logic_vector(31 downto 0) := X"0000_0008"; - constant C_ENC_STS_REG : std_logic_vector(31 downto 0) := X"0000_000C"; - constant C_COD_DATA_ADDR_REG : std_logic_vector(31 downto 0) := X"0000_0010"; - constant C_ENC_LENGTH_REG : std_logic_vector(31 downto 0) := X"0000_0014"; - constant C_QUANTIZER_RAM : std_logic_vector(31 downto 0) := - X"0000_01" & "------00"; - constant C_IMAGE_RAM : std_logic_vector(31 downto 0) := - X"001" & "------------------00"; - - constant C_IMAGE_RAM_BASE : unsigned(31 downto 0) := X"0010_0000"; - - signal enc_start_reg : std_logic_vector(31 downto 0); - signal image_size_reg : std_logic_vector(31 downto 0); - signal image_ram_access_reg : std_logic_vector(31 downto 0); - signal enc_sts_reg : std_logic_vector(31 downto 0); - signal cod_data_addr_reg : std_logic_vector(31 downto 0); - signal enc_length_reg : std_logic_vector(31 downto 0); - - signal rd_dval : std_logic; - signal data_read : std_logic_vector(31 downto 0); - signal quantizer_ram_q : std_logic_vector(31 downto 0); - signal image_ram_q : std_logic_vector(31 downto 0); - signal write_done : std_logic; - signal OPB_select_d : std_logic; - -------------------------------------------------------------------------------- --- Architecture: begin -------------------------------------------------------------------------------- -begin - - OPB_retry <= '0'; - OPB_toutSup <= '0'; - OPB_errAck <= '0'; - - -- temporary!! - quantizer_ram_q <= (others => '0'); - image_ram_q <= (others => '0'); - - img_size_x <= image_size_reg(31 downto 16); - img_size_y <= image_size_reg(15 downto 0); - - outram_base_addr <= cod_data_addr_reg(outram_base_addr'range); - - cmp_max <= enc_start_reg(2 downto 1); - - ------------------------------------------------------------------- - -- OPB read - ------------------------------------------------------------------- - p_read : process(CLK, RST) - begin - if RST = '1' then - OPB_DBus_out <= (others => '0'); - rd_dval <= '0'; - data_read <= (others => '0'); - elsif CLK'event and CLK = '1' then - rd_dval <= '0'; - - OPB_DBus_out <= data_read; - - if OPB_select = '1' and OPB_select_d = '0' then - -- only double word transactions are be supported - if OPB_RNW = '1' and OPB_BE = X"F" then - case OPB_ABus is - when C_ENC_START_REG => - data_read <= enc_start_reg; - rd_dval <= '1'; - - when C_IMAGE_SIZE_REG => - data_read <= image_size_reg; - rd_dval <= '1'; - - when C_IMAGE_RAM_ACCESS_REG => - data_read <= image_ram_access_reg; - rd_dval <= '1'; - - when C_ENC_STS_REG => - data_read <= enc_sts_reg; - rd_dval <= '1'; - - when C_COD_DATA_ADDR_REG => - data_read <= cod_data_addr_reg; - rd_dval <= '1'; - - when C_ENC_LENGTH_REG => - data_read <= enc_length_reg; - rd_dval <= '1'; - - when others => - data_read <= (others => '0'); - end case; - - end if; - end if; - end if; - end process; - - ------------------------------------------------------------------- - -- OPB write - ------------------------------------------------------------------- - p_write : process(CLK, RST) - begin - if RST = '1' then - qwren <= '0'; - write_done <= '0'; - enc_start_reg <= (others => '0'); - image_size_reg <= (others => '0'); - image_ram_access_reg <= (others => '0'); - enc_sts_reg <= (others => '0'); - cod_data_addr_reg <= (others => '0'); - enc_length_reg <= (others => '0'); - qdata <= (others => '0'); - qaddr <= (others => '0'); - OPB_select_d <= '0'; - sof <= '0'; - img_size_wr <= '0'; - elsif CLK'event and CLK = '1' then - qwren <= '0'; - write_done <= '0'; - sof <= '0'; - img_size_wr <= '0'; - OPB_select_d <= OPB_select; - - if OPB_select = '1' and OPB_select_d = '0' then - -- only double word transactions are be supported - if OPB_RNW = '0' and OPB_BE = X"F" then - case OPB_ABus is - when C_ENC_START_REG => - enc_start_reg <= OPB_DBus_in; - write_done <= '1'; - if OPB_DBus_in(0) = '1' then - sof <= '1'; - end if; - - when C_IMAGE_SIZE_REG => - image_size_reg <= OPB_DBus_in; - img_size_wr <= '1'; - write_done <= '1'; - - when C_IMAGE_RAM_ACCESS_REG => - image_ram_access_reg <= OPB_DBus_in; - write_done <= '1'; - - when C_ENC_STS_REG => - enc_sts_reg <= (others => '0'); - write_done <= '1'; - - when C_COD_DATA_ADDR_REG => - cod_data_addr_reg <= OPB_DBus_in; - write_done <= '1'; - - when C_ENC_LENGTH_REG => - --enc_length_reg <= OPB_DBus_in; - write_done <= '1'; - - when others => - null; - end case; - - if std_match(OPB_ABus, C_QUANTIZER_RAM) then - qdata <= OPB_DBus_in(qdata'range); - qaddr <= OPB_ABus(qaddr'high+2 downto 2); - qwren <= '1'; - write_done <= '1'; - end if; - end if; - end if; - - -- special handling of status reg - if jpeg_ready = '1' then - -- set jpeg done flag - enc_sts_reg(1) <= '1'; - end if; - enc_sts_reg(0) <= jpeg_busy; - - enc_length_reg <= (others => '0'); - enc_length_reg(num_enc_bytes'range) <= num_enc_bytes; - - end if; - end process; - - ------------------------------------------------------------------- - -- transfer ACK - ------------------------------------------------------------------- - p_ack : process(CLK, RST) - begin - if RST = '1' then - OPB_XferAck <= '0'; - elsif CLK'event and CLK = '1' then - OPB_XferAck <= rd_dval or write_done; - end if; - end process; - - -end architecture RTL; -------------------------------------------------------------------------------- --- Architecture: end -------------------------------------------------------------------------------- \ No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.