OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/design/BufFifo
    from Rev 30 to Rev 31
    Reverse comparison

Rev 30 → Rev 31

/BUF_FIFO.vhd
197,11 → 197,7
fifo_almost_full <= '0';
end if;
else
if unsigned(fifo_count(to_integer(last_idx))) = to_unsigned(64,8) then
fifo_almost_full <= '1';
else
fifo_almost_full <= '0';
end if;
fifo_almost_full <= fifo_full(to_integer(last_idx));
end if;
end if;
end if;
242,10 → 238,14
end loop;
elsif CLK'event and CLK = '1' then
for i in 0 to C_NUM_SUBF-1 loop
if unsigned(fifo_count(i)) >= 64 then
fifo_half_full(i) <= '1';
if C_MEMORY_OPTIMIZED = 0 then
if unsigned(fifo_count(i)) >= 64 then
fifo_half_full(i) <= '1';
else
fifo_half_full(i) <= '0';
end if;
else
fifo_half_full(i) <= '0';
fifo_half_full(i) <= fifo_full(i);
end if;
end loop;
end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.