OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/design/BufFifo
    from Rev 40 to Rev 49
    Reverse comparison

Rev 40 → Rev 49

/BUF_FIFO.vhd
53,7 → 53,7
-- HOST DATA
iram_wren : in std_logic;
iram_wdata : in std_logic_vector(23 downto 0);
iram_wdata : in std_logic_vector(C_PIXEL_BITS-1 downto 0);
fifo_almost_full : out std_logic;
-- FDCT
73,7 → 73,7
architecture RTL of BUF_FIFO is
 
constant C_NUM_SUBF : integer := C_MAX_LINE_WIDTH/8;
constant C_PIXEL_BITS : integer := 24;
constant C_SUBF_ADDRW : integer := 7-C_MEMORY_OPTIMIZED;
--constant C_LOG2_NUM_SUBF : integer := integer(log2(real(C_NUM_SUBF)));
86,8 → 86,8
 
signal fifo_rd : std_logic_vector(C_NUM_SUBF-1 downto 0);
signal fifo_wr : std_logic_vector(C_NUM_SUBF-1 downto 0);
signal fifo_data : std_logic_vector(23 downto 0);
signal fifo_data_d1 : std_logic_vector(23 downto 0);
signal fifo_data : std_logic_vector(C_PIXEL_BITS-1 downto 0);
signal fifo_data_d1 : std_logic_vector(C_PIXEL_BITS-1 downto 0);
signal fifo_full : std_logic_vector(C_NUM_SUBF-1 downto 0);
signal fifo_empty : std_logic_vector(C_NUM_SUBF-1 downto 0);
signal fifo_half_full : std_logic_vector(C_NUM_SUBF-1 downto 0);
320,7 → 320,9
end if;
end process;
fdct_fifo_q <= ramq;
fdct_fifo_q <= (ramq(15 downto 11) & "000" &
ramq(10 downto 5) & "00" &
ramq(4 downto 0) & "000") when C_PIXEL_BITS = 16 else ramq;
-------------------------------------------------------------------
-- Mux3

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.