OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/design/common
    from Rev 49 to Rev 52
    Reverse comparison

Rev 49 → Rev 52

/JPEG_PKG.vhd
33,14 → 33,10
-- if expected image width is known change this parameter to match this
-- otherwise some onchip RAM will be wasted and never used
constant C_MAX_LINE_WIDTH : integer := 1280;
 
-- 0=highest clock per pixel performance
-- 1=memory used by BUF_FIFO halved, speed performance reduced by circa 18%
constant C_MEMORY_OPTIMIZED : integer := 0;
-- 24 bit format RGB 888 bits
-- 16 bit format RGB 565 bits
constant C_PIXEL_BITS : integer := 16;
constant C_PIXEL_BITS : integer := 24;
type T_SM_SETTINGS is record
x_cnt : unsigned(15 downto 0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.