OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/tb/vhdl
    from Rev 25 to Rev 28
    Reverse comparison

Rev 25 → Rev 28

/HostBFM.vhd
258,11 → 258,10
wait until rising_edge(clk);
end loop;
--for i in 0 to 20 loop
--for i in 0 to 10 loop
-- wait until rising_edge(clk);
--end loop;
--iram_addr <= std_logic_vector(to_unsigned(addr_inc,20));
iram_wren <= '1';
iram_wdata <= std_logic_vector(data_word2(23 downto 0));
wait until rising_edge(clk);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.