OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg/trunk/tb/vhdl
    from Rev 51 to Rev 52
    Reverse comparison

Rev 51 → Rev 52

/HostBFM.vhd
271,7 → 271,7
wait until rising_edge(clk);
end loop;
--for i in 0 to 10 loop
--for i in 0 to 9 loop
-- wait until rising_edge(clk);
--end loop;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.