OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg
    from Rev 57 to Rev 58
    Reverse comparison

Rev 57 → Rev 58

/trunk/design/BufFifo/BUF_FIFO.vhd
213,10 → 213,19
fdct_fifo_hf_full <= '0';
end if;
if wr_line_idx > rd_line_idx + C_NUM_LINES-1 then
fifo_almost_full <= '1';
fifo_almost_full <= '0';
if C_EXTRA_LINES = 0 then
if wr_line_idx = rd_line_idx + C_NUM_LINES-1 then
if pixel_cnt >= unsigned(img_size_x)-1-1 then
fifo_almost_full <= '1';
end if;
elsif wr_line_idx > rd_line_idx + C_NUM_LINES-1 then
fifo_almost_full <= '1';
end if;
else
fifo_almost_full <= '0';
if wr_line_idx > rd_line_idx + C_NUM_LINES-1 then
fifo_almost_full <= '1';
end if;
end if;
end if;
245,11 → 254,6
if pix_inblk_cnt = 8-1 then
pix_inblk_cnt <= (others => '0');
 
-- last block in line
--if read_block_cnt = unsigned(img_size_x(15 downto 3))-1 then
-- rd_line_idx <= rd_line_idx + 1;
--end if;
 
-- last line in 8
if line_inblk_cnt = 8-1 then
line_inblk_cnt <= (others => '0');
/trunk/design/common/JPEG_PKG.vhd
32,7 → 32,7
-- warning! this parameter heavily affects memory size required
-- if expected image width is known change this parameter to match this
-- otherwise some onchip RAM will be wasted and never used
constant C_MAX_LINE_WIDTH : integer := 640;
constant C_MAX_LINE_WIDTH : integer := 1280;
-- memory/performance tradeoff
-- 8 extra lines highest performance

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.