OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mkjpeg
    from Rev 65 to Rev 66
    Reverse comparison

Rev 65 → Rev 66

/trunk/design/JFIFGen/header.mif
0,0 → 1,1034
-- JFIF Header Memory Initialization File
 
WIDTH=8;
DEPTH=1024;
 
ADDRESS_RADIX=UNS;
DATA_RADIX=HEX;
 
CONTENT BEGIN
0 : FF;
1 : D8;
2 : FF;
3 : E0;
4 : 00;
5 : 10;
6 : 4A;
7 : 46;
8 : 49;
9 : 46;
10 : 00;
11 : 01;
12 : 01;
13 : 00;
14 : 00;
15 : 01;
16 : 00;
17 : 01;
18 : 00;
19 : 00;
20 : FF;
21 : C0;
22 : 00;
23 : 11;
24 : 08;
25 : 01;
26 : 20;
27 : 01;
28 : 60;
29 : 03;
30 : 01;
31 : 21;
32 : 00;
33 : 02;
34 : 11;
35 : 01;
36 : 03;
37 : 11;
38 : 01;
39 : FF;
40 : DB;
41 : 00;
42 : 43;
43 : 00;
44 : 01;
45 : 01;
46 : 01;
47 : 01;
48 : 01;
49 : 01;
50 : 01;
51 : 01;
52 : 01;
53 : 01;
54 : 01;
55 : 01;
56 : 01;
57 : 01;
58 : 01;
59 : 01;
60 : 01;
61 : 01;
62 : 01;
63 : 01;
64 : 01;
65 : 01;
66 : 01;
67 : 01;
68 : 01;
69 : 01;
70 : 01;
71 : 01;
72 : 01;
73 : 01;
74 : 01;
75 : 01;
76 : 01;
77 : 01;
78 : 01;
79 : 01;
80 : 01;
81 : 01;
82 : 01;
83 : 01;
84 : 01;
85 : 01;
86 : 01;
87 : 01;
88 : 01;
89 : 01;
90 : 01;
91 : 01;
92 : 01;
93 : 01;
94 : 01;
95 : 01;
96 : 01;
97 : 01;
98 : 01;
99 : 01;
100 : 01;
101 : 01;
102 : 01;
103 : 01;
104 : 01;
105 : 01;
106 : 01;
107 : 01;
108 : FF;
109 : DB;
110 : 00;
111 : 43;
112 : 01;
113 : 01;
114 : 01;
115 : 01;
116 : 01;
117 : 01;
118 : 01;
119 : 01;
120 : 01;
121 : 01;
122 : 01;
123 : 01;
124 : 01;
125 : 01;
126 : 01;
127 : 01;
128 : 01;
129 : 01;
130 : 01;
131 : 01;
132 : 01;
133 : 01;
134 : 01;
135 : 01;
136 : 01;
137 : 01;
138 : 01;
139 : 01;
140 : 01;
141 : 01;
142 : 01;
143 : 01;
144 : 01;
145 : 01;
146 : 01;
147 : 01;
148 : 01;
149 : 01;
150 : 01;
151 : 01;
152 : 01;
153 : 01;
154 : 01;
155 : 01;
156 : 01;
157 : 01;
158 : 01;
159 : 01;
160 : 01;
161 : 01;
162 : 01;
163 : 01;
164 : 01;
165 : 01;
166 : 01;
167 : 01;
168 : 01;
169 : 01;
170 : 01;
171 : 01;
172 : 01;
173 : 01;
174 : 01;
175 : 01;
176 : 01;
177 : FF;
178 : C4;
179 : 00;
180 : 1F;
181 : 00;
182 : 00;
183 : 01;
184 : 05;
185 : 01;
186 : 01;
187 : 01;
188 : 01;
189 : 01;
190 : 01;
191 : 00;
192 : 00;
193 : 00;
194 : 00;
195 : 00;
196 : 00;
197 : 00;
198 : 00;
199 : 01;
200 : 02;
201 : 03;
202 : 04;
203 : 05;
204 : 06;
205 : 07;
206 : 08;
207 : 09;
208 : 0A;
209 : 0B;
210 : FF;
211 : C4;
212 : 00;
213 : 1F;
214 : 01;
215 : 00;
216 : 03;
217 : 01;
218 : 01;
219 : 01;
220 : 01;
221 : 01;
222 : 01;
223 : 01;
224 : 01;
225 : 01;
226 : 00;
227 : 00;
228 : 00;
229 : 00;
230 : 00;
231 : 00;
232 : 01;
233 : 02;
234 : 03;
235 : 04;
236 : 05;
237 : 06;
238 : 07;
239 : 08;
240 : 09;
241 : 0A;
242 : 0B;
243 : FF;
244 : C4;
245 : 00;
246 : B5;
247 : 10;
248 : 00;
249 : 02;
250 : 01;
251 : 03;
252 : 03;
253 : 02;
254 : 04;
255 : 03;
256 : 05;
257 : 05;
258 : 04;
259 : 04;
260 : 00;
261 : 00;
262 : 01;
263 : 7D;
264 : 01;
265 : 02;
266 : 03;
267 : 00;
268 : 04;
269 : 11;
270 : 05;
271 : 12;
272 : 21;
273 : 31;
274 : 41;
275 : 06;
276 : 13;
277 : 51;
278 : 61;
279 : 07;
280 : 22;
281 : 71;
282 : 14;
283 : 32;
284 : 81;
285 : 91;
286 : A1;
287 : 08;
288 : 23;
289 : 42;
290 : B1;
291 : C1;
292 : 15;
293 : 52;
294 : D1;
295 : F0;
296 : 24;
297 : 33;
298 : 62;
299 : 72;
300 : 82;
301 : 09;
302 : 0A;
303 : 16;
304 : 17;
305 : 18;
306 : 19;
307 : 1A;
308 : 25;
309 : 26;
310 : 27;
311 : 28;
312 : 29;
313 : 2A;
314 : 34;
315 : 35;
316 : 36;
317 : 37;
318 : 38;
319 : 39;
320 : 3A;
321 : 43;
322 : 44;
323 : 45;
324 : 46;
325 : 47;
326 : 48;
327 : 49;
328 : 4A;
329 : 53;
330 : 54;
331 : 55;
332 : 56;
333 : 57;
334 : 58;
335 : 59;
336 : 5A;
337 : 63;
338 : 64;
339 : 65;
340 : 66;
341 : 67;
342 : 68;
343 : 69;
344 : 6A;
345 : 73;
346 : 74;
347 : 75;
348 : 76;
349 : 77;
350 : 78;
351 : 79;
352 : 7A;
353 : 83;
354 : 84;
355 : 85;
356 : 86;
357 : 87;
358 : 88;
359 : 89;
360 : 8A;
361 : 92;
362 : 93;
363 : 94;
364 : 95;
365 : 96;
366 : 97;
367 : 98;
368 : 99;
369 : 9A;
370 : A2;
371 : A3;
372 : A4;
373 : A5;
374 : A6;
375 : A7;
376 : A8;
377 : A9;
378 : AA;
379 : B2;
380 : B3;
381 : B4;
382 : B5;
383 : B6;
384 : B7;
385 : B8;
386 : B9;
387 : BA;
388 : C2;
389 : C3;
390 : C4;
391 : C5;
392 : C6;
393 : C7;
394 : C8;
395 : C9;
396 : CA;
397 : D2;
398 : D3;
399 : D4;
400 : D5;
401 : D6;
402 : D7;
403 : D8;
404 : D9;
405 : DA;
406 : E1;
407 : E2;
408 : E3;
409 : E4;
410 : E5;
411 : E6;
412 : E7;
413 : E8;
414 : E9;
415 : EA;
416 : F1;
417 : F2;
418 : F3;
419 : F4;
420 : F5;
421 : F6;
422 : F7;
423 : F8;
424 : F9;
425 : FA;
426 : FF;
427 : C4;
428 : 00;
429 : B5;
430 : 11;
431 : 00;
432 : 02;
433 : 01;
434 : 02;
435 : 04;
436 : 04;
437 : 03;
438 : 04;
439 : 07;
440 : 05;
441 : 04;
442 : 04;
443 : 00;
444 : 01;
445 : 02;
446 : 77;
447 : 00;
448 : 01;
449 : 02;
450 : 03;
451 : 11;
452 : 04;
453 : 05;
454 : 21;
455 : 31;
456 : 06;
457 : 12;
458 : 41;
459 : 51;
460 : 07;
461 : 61;
462 : 71;
463 : 13;
464 : 22;
465 : 32;
466 : 81;
467 : 08;
468 : 14;
469 : 42;
470 : 91;
471 : A1;
472 : B1;
473 : C1;
474 : 09;
475 : 23;
476 : 33;
477 : 52;
478 : F0;
479 : 15;
480 : 62;
481 : 72;
482 : D1;
483 : 0A;
484 : 16;
485 : 24;
486 : 34;
487 : E1;
488 : 25;
489 : F1;
490 : 17;
491 : 18;
492 : 19;
493 : 1A;
494 : 26;
495 : 27;
496 : 28;
497 : 29;
498 : 2A;
499 : 35;
500 : 36;
501 : 37;
502 : 38;
503 : 39;
504 : 3A;
505 : 43;
506 : 44;
507 : 45;
508 : 46;
509 : 47;
510 : 48;
511 : 49;
512 : 4A;
513 : 53;
514 : 54;
515 : 55;
516 : 56;
517 : 57;
518 : 58;
519 : 59;
520 : 5A;
521 : 63;
522 : 64;
523 : 65;
524 : 66;
525 : 67;
526 : 68;
527 : 69;
528 : 6A;
529 : 73;
530 : 74;
531 : 75;
532 : 76;
533 : 77;
534 : 78;
535 : 79;
536 : 7A;
537 : 82;
538 : 83;
539 : 84;
540 : 85;
541 : 86;
542 : 87;
543 : 88;
544 : 89;
545 : 8A;
546 : 92;
547 : 93;
548 : 94;
549 : 95;
550 : 96;
551 : 97;
552 : 98;
553 : 99;
554 : 9A;
555 : A2;
556 : A3;
557 : A4;
558 : A5;
559 : A6;
560 : A7;
561 : A8;
562 : A9;
563 : AA;
564 : B2;
565 : B3;
566 : B4;
567 : B5;
568 : B6;
569 : B7;
570 : B8;
571 : B9;
572 : BA;
573 : C2;
574 : C3;
575 : C4;
576 : C5;
577 : C6;
578 : C7;
579 : C8;
580 : C9;
581 : CA;
582 : D2;
583 : D3;
584 : D4;
585 : D5;
586 : D6;
587 : D7;
588 : D8;
589 : D9;
590 : DA;
591 : E2;
592 : E3;
593 : E4;
594 : E5;
595 : E6;
596 : E7;
597 : E8;
598 : E9;
599 : EA;
600 : F2;
601 : F3;
602 : F4;
603 : F5;
604 : F6;
605 : F7;
606 : F8;
607 : F9;
608 : FA;
609 : FF;
610 : DA;
611 : 00;
612 : 0C;
613 : 03;
614 : 01;
615 : 00;
616 : 02;
617 : 11;
618 : 03;
619 : 11;
620 : 00;
621 : 3F;
622 : 00;
623 : 00;
624 : 00;
625 : 00;
626 : 00;
627 : 00;
628 : 00;
629 : 00;
630 : 00;
631 : 00;
632 : 00;
633 : 00;
634 : 00;
635 : 00;
636 : 00;
637 : 00;
638 : 00;
639 : 00;
640 : 00;
641 : 00;
642 : 00;
643 : 00;
644 : 00;
645 : 00;
646 : 00;
647 : 00;
648 : 00;
649 : 00;
650 : 00;
651 : 00;
652 : 00;
653 : 00;
654 : 00;
655 : 00;
656 : 00;
657 : 00;
658 : 00;
659 : 00;
660 : 00;
661 : 00;
662 : 00;
663 : 00;
664 : 00;
665 : 00;
666 : 00;
667 : 00;
668 : 00;
669 : 00;
670 : 00;
671 : 00;
672 : 00;
673 : 00;
674 : 00;
675 : 00;
676 : 00;
677 : 00;
678 : 00;
679 : 00;
680 : 00;
681 : 00;
682 : 00;
683 : 00;
684 : 00;
685 : 00;
686 : 00;
687 : 00;
688 : 00;
689 : 00;
690 : 00;
691 : 00;
692 : 00;
693 : 00;
694 : 00;
695 : 00;
696 : 00;
697 : 00;
698 : 00;
699 : 00;
700 : 00;
701 : 00;
702 : 00;
703 : 00;
704 : 00;
705 : 00;
706 : 00;
707 : 00;
708 : 00;
709 : 00;
710 : 00;
711 : 00;
712 : 00;
713 : 00;
714 : 00;
715 : 00;
716 : 00;
717 : 00;
718 : 00;
719 : 00;
720 : 00;
721 : 00;
722 : 00;
723 : 00;
724 : 00;
725 : 00;
726 : 00;
727 : 00;
728 : 00;
729 : 00;
730 : 00;
731 : 00;
732 : 00;
733 : 00;
734 : 00;
735 : 00;
736 : 00;
737 : 00;
738 : 00;
739 : 00;
740 : 00;
741 : 00;
742 : 00;
743 : 00;
744 : 00;
745 : 00;
746 : 00;
747 : 00;
748 : 00;
749 : 00;
750 : 00;
751 : 00;
752 : 00;
753 : 00;
754 : 00;
755 : 00;
756 : 00;
757 : 00;
758 : 00;
759 : 00;
760 : 00;
761 : 00;
762 : 00;
763 : 00;
764 : 00;
765 : 00;
766 : 00;
767 : 00;
768 : 00;
769 : 00;
770 : 00;
771 : 00;
772 : 00;
773 : 00;
774 : 00;
775 : 00;
776 : 00;
777 : 00;
778 : 00;
779 : 00;
780 : 00;
781 : 00;
782 : 00;
783 : 00;
784 : 00;
785 : 00;
786 : 00;
787 : 00;
788 : 00;
789 : 00;
790 : 00;
791 : 00;
792 : 00;
793 : 00;
794 : 00;
795 : 00;
796 : 00;
797 : 00;
798 : 00;
799 : 00;
800 : 00;
801 : 00;
802 : 00;
803 : 00;
804 : 00;
805 : 00;
806 : 00;
807 : 00;
808 : 00;
809 : 00;
810 : 00;
811 : 00;
812 : 00;
813 : 00;
814 : 00;
815 : 00;
816 : 00;
817 : 00;
818 : 00;
819 : 00;
820 : 00;
821 : 00;
822 : 00;
823 : 00;
824 : 00;
825 : 00;
826 : 00;
827 : 00;
828 : 00;
829 : 00;
830 : 00;
831 : 00;
832 : 00;
833 : 00;
834 : 00;
835 : 00;
836 : 00;
837 : 00;
838 : 00;
839 : 00;
840 : 00;
841 : 00;
842 : 00;
843 : 00;
844 : 00;
845 : 00;
846 : 00;
847 : 00;
848 : 00;
849 : 00;
850 : 00;
851 : 00;
852 : 00;
853 : 00;
854 : 00;
855 : 00;
856 : 00;
857 : 00;
858 : 00;
859 : 00;
860 : 00;
861 : 00;
862 : 00;
863 : 00;
864 : 00;
865 : 00;
866 : 00;
867 : 00;
868 : 00;
869 : 00;
870 : 00;
871 : 00;
872 : 00;
873 : 00;
874 : 00;
875 : 00;
876 : 00;
877 : 00;
878 : 00;
879 : 00;
880 : 00;
881 : 00;
882 : 00;
883 : 00;
884 : 00;
885 : 00;
886 : 00;
887 : 00;
888 : 00;
889 : 00;
890 : 00;
891 : 00;
892 : 00;
893 : 00;
894 : 00;
895 : 00;
896 : 00;
897 : 00;
898 : 00;
899 : 00;
900 : 00;
901 : 00;
902 : 00;
903 : 00;
904 : 00;
905 : 00;
906 : 00;
907 : 00;
908 : 00;
909 : 00;
910 : 00;
911 : 00;
912 : 00;
913 : 00;
914 : 00;
915 : 00;
916 : 00;
917 : 00;
918 : 00;
919 : 00;
920 : 00;
921 : 00;
922 : 00;
923 : 00;
924 : 00;
925 : 00;
926 : 00;
927 : 00;
928 : 00;
929 : 00;
930 : 00;
931 : 00;
932 : 00;
933 : 00;
934 : 00;
935 : 00;
936 : 00;
937 : 00;
938 : 00;
939 : 00;
940 : 00;
941 : 00;
942 : 00;
943 : 00;
944 : 00;
945 : 00;
946 : 00;
947 : 00;
948 : 00;
949 : 00;
950 : 00;
951 : 00;
952 : 00;
953 : 00;
954 : 00;
955 : 00;
956 : 00;
957 : 00;
958 : 00;
959 : 00;
960 : 00;
961 : 00;
962 : 00;
963 : 00;
964 : 00;
965 : 00;
966 : 00;
967 : 00;
968 : 00;
969 : 00;
970 : 00;
971 : 00;
972 : 00;
973 : 00;
974 : 00;
975 : 00;
976 : 00;
977 : 00;
978 : 00;
979 : 00;
980 : 00;
981 : 00;
982 : 00;
983 : 00;
984 : 00;
985 : 00;
986 : 00;
987 : 00;
988 : 00;
989 : 00;
990 : 00;
991 : 00;
992 : 00;
993 : 00;
994 : 00;
995 : 00;
996 : 00;
997 : 00;
998 : 00;
999 : 00;
1000 : 00;
1001 : 00;
1002 : 00;
1003 : 00;
1004 : 00;
1005 : 00;
1006 : 00;
1007 : 00;
1008 : 00;
1009 : 00;
1010 : 00;
1011 : 00;
1012 : 00;
1013 : 00;
1014 : 00;
1015 : 00;
1016 : 00;
1017 : 00;
1018 : 00;
1019 : 00;
1020 : 00;
1021 : 00;
1022 : 00;
1023 : 00;
END;
/trunk/design/JFIFGen/HeaderRAM.vhd
1,35 → 1,56
-- HeaderRam.vhd Khaleghian 8 Nov 2010
LIBRARY ieee, std;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
use ieee.std_logic_textio.all;
use std.textio.all;
 
library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.all;
entity HeaderRam is
port (
d : in STD_LOGIC_VECTOR(7 downto 0);
waddr : in STD_LOGIC_VECTOR(9 downto 0);
raddr : in STD_LOGIC_VECTOR(9 downto 0);
we : in STD_LOGIC;
clk : in STD_LOGIC;
q : out STD_LOGIC_VECTOR(7 downto 0)
);
end HeaderRam;
ENTITY HeaderRam IS
GENERIC
(
ADDRESS_WIDTH : integer := 10;
DATA_WIDTH : integer := 8
);
PORT
(
clk : IN std_logic;
d : IN std_logic_vector(DATA_WIDTH - 1 DOWNTO 0);
waddr : IN std_logic_vector(ADDRESS_WIDTH - 1 DOWNTO 0);
raddr : IN std_logic_vector(ADDRESS_WIDTH - 1 DOWNTO 0);
we : IN std_logic;
q : OUT std_logic_vector(DATA_WIDTH - 1 DOWNTO 0)
);
END HeaderRam;
 
architecture syn of HeaderRam is
type ram_type is array (1023 downto 0) of std_logic_vector (7 downto 0);
signal RAM : ram_type;
signal read_addr: STD_LOGIC_VECTOR(9 downto 0);
ARCHITECTURE rtl OF HeaderRam IS
 
TYPE RamType IS ARRAY(0 TO 2 ** ADDRESS_WIDTH - 1) OF std_logic_vector(DATA_WIDTH - 1 DOWNTO 0);
 
impure function InitRamFromFile(RamFileName : in string) return RamType is
FILE RamFile : text is in RamFileName;
variable RamFileLine : line;
variable RAM : RamType;
begin
q <= RAM(conv_integer(read_addr)) ;
process (clk)
begin
if clk'event and clk = '1'
then
if we='1' then
RAM(conv_integer(waddr)) <= d;
end if;
read_addr <= raddr;
end if;
end process;
end syn;
for l in RamType'range loop
readline(RamFile, RamFileLine);
hread(RamFileLine, RAM(l));
end loop;
return RAM;
end function;
 
--SIGNAL ram_block : RamType := InitRamFromFile("../design/jfifgen/header.hex");
SIGNAL ram_block : RamType;
attribute ram_init_file : string;
attribute ram_init_file of ram_block :
signal is "./src/jpg/JFIFGen/header.mif";
BEGIN
PROCESS (clk)
BEGIN
IF (clk'event AND clk = '1') THEN
IF (we = '1') THEN
ram_block(to_integer(unsigned(waddr))) <= d;
END IF;
 
q <= ram_block(to_integer(unsigned(raddr)));
END IF;
END PROCESS;
END rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.