OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /mod_sim_exp/trunk/sim
    from Rev 90 to Rev 84
    Reverse comparison

Rev 90 → Rev 84

/Makefile
4,7 → 4,7
HDL_DIR = ../rtl/vhdl/
 
##
# hdl files
# avs_aes hdl files
##
CORE_SRC =$(HDL_DIR)core/std_functions.vhd \
$(HDL_DIR)core/mod_sim_exp_pkg.vhd \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.