OpenCores
URL https://opencores.org/ocsvn/nand_controller/nand_controller/trunk

Subversion Repositories nand_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /nand_controller/trunk
    from Rev 17 to Rev 18
    Reverse comparison

Rev 17 → Rev 18

/VHDL/nand_master.vhd
254,7 → 254,7
(state = M_NAND_PAGE_PROGRAM and substate = MS_BEGIN) or -- initiate write mode for PAGE PROGRAM command
(state = M_NAND_PAGE_PROGRAM and substate = MS_SUBMIT_COMMAND1) or -- initiate submission for PAGE PROGRAM command
(state = M_NAND_READ_ID and substate = MS_BEGIN) or -- initiate submission of READ ID command
(state = MI_BYPASS_COMMAND and substate = MS_BEGIN) else -- direct command byte submission
(state = MI_BYPASS_COMMAND and substate = MS_SUBMIT_COMMAND) else -- direct command byte submission
'0';
-- Activation of address latch unit
263,7 → 263,7
(state = M_NAND_READ and substate = MS_SUBMIT_COMMAND) or -- initiate address submission for READ command
(state = M_NAND_PAGE_PROGRAM and substate = MS_SUBMIT_ADDRESS) or -- initiate address submission for PAGE PROGRAM command
(state = M_NAND_READ_ID and substate = MS_SUBMIT_COMMAND) or -- initiate address submission for READ ID command
(state = MI_BYPASS_ADDRESS and substate = MS_BEGIN) else -- direct address byte submission
(state = MI_BYPASS_ADDRESS and substate = MS_SUBMIT_ADDRESS) else -- direct address byte submission
'0';
-- Activation of read byte mechanism

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.