OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 185 to Rev 184
    Reverse comparison

Rev 185 → Rev 184

/neo430/trunk/neo430/README.md
1,12 → 1,3
# The NEO430 Processor
 
[![Build Status](https://travis-ci.com/stnolting/neo430.svg?branch=master)](https://travis-ci.com/stnolting/neo430)
[![GitHub release](https://img.shields.io/github/v/release/stnolting/neo430?include_prereleases)](https://GitHub.com/stnolting/neo430/releases/)
[![size](https://img.shields.io/github/repo-size/stnolting/neo430)](https://github.com/stnolting/neo430/archive/master.zip)
[![last commit](https://img.shields.io/github/last-commit/stnolting/neo430)](https://github.com/stnolting/neo430/commits/master)
[![issues](https://img.shields.io/github/issues/stnolting/neo430)](https://github.com/stnolting/neo430/issues)
[![license](https://img.shields.io/github/license/stnolting/neo430)](https://github.com/stnolting/neo430/blob/master/LICENSE)
 
## Table of Content
 
* [Introduction](#Introduction)
148,23 → 139,22
| Bootloader Memory (Boot ROM, 2kB) | 2 | 1 | 16384 | 0 |
| Central Processing Unit (CPU) | 506 | 171 | 256 | 0 |
| Checksum Unit (CRC) | 110 | 94 | 0 | 0 |
| Custom Functions Unit (CFU)* | - | - | - | - |
| Custom Functions Unit (CFU) | - | - | - | - |
| Data Memory (DMEM, 2kB) | 6 | 1 | 16384 | 0 |
| External Interrupts Controller (EXIRQ) | 72 | 54 | 0 | 0 |
| High-Precision Timer (TIMER) | 70 | 55 | 0 | 0 |
| IO Port Unit (GPIO) | 49 | 45 | 0 | 0 |
| Instruction Memory (IMEM, 4kB) | 4 | 1 | 32768 | 0 |
| IO Port Unit (GPIO) | 49 | 45 | 0 | 0 |
| Multiplier & Divider (MULDIV) | 184 | 131 | 0 | 0 |
| Pulse-Width Modulation Unit (PWM) | 80 | 66 | 0 | 0 |
| Serial Peripheral Interface (SPI) | 57 | 43 | 0 | 0 |
| System Info Memory (SYSCONFIG) | 15 | 13 | 0 | 0 |
| High-Precision Timer (TIMER) | 70 | 55 | 0 | 0 |
| True Random Number Generator (TRNG) | 44 | 36 | 0 | 0 |
| Two Wire Interface (TWI) | 80 | 41 | 0 | 0 |
| Universal Asynchronous Rx/Tx (UART) | 129 | 89 | 0 | 0 |
| Wishbone Interface (WB32) | 128 | 117 | 0 | 0 |
| External Interrupts Controller (EXIRQ) | 72 | 54 | 0 | 0 |
| Watchdog TImer (WDT) | 49 | 36 | 0 | 0 |
| Wishbone Interface (WB32) | 128 | 117 | 0 | 0 |
 
*) Hardware requirements defined by user application
 
 
## Performance
252,9 → 242,8
 
## Contact
 
If you have any questions, bug reports, ideas or if you are facing problems with the NEO430, open a
[new issue](https://github.com/stnolting/neo430/issues) or directly drop me a line. Also, I'm always happy to
hear what cool projects people are realizing with this core :smiley:
If you have any questions, bug reports, ideas or if you are facing problems with the NEO430, feel free to drop me a line.
Also, I'm always happy to hear what cool projects you are realizing with this core :smiley:
 
stnolting@gmail.com
 
/neo430/trunk/neo430/rtl/fpga_specific/README.md File deleted \ No newline at end of file
/neo430/trunk/neo430/rtl/top_templates/neo430_top_avm.vhd
76,7 → 76,7
twi_scl_io : inout std_logic; -- twi serial clock line
-- external interrupts --
ext_irq_i : in std_logic_vector(07 downto 0); -- external interrupt request lines
ext_ack_o : out std_logic_vector(07 downto 0); -- external interrupt request acknowledges
ext_ack_o : out std_logic_vector(07 downto 0) -- external interrupt request acknowledges
-- Avalon master interface --
avm_address : out std_logic_vector(31 downto 0);
avm_readdata : in std_logic_vector(31 downto 0);
193,8 → 193,8
wb_cyc_o => wb_core.cyc, -- valid cycle
wb_ack_i => wb_core.ack, -- transfer acknowledge
-- interrupts --
ext_irq_i => irq_i_int, -- external interrupt request line
ext_ack_o => irq_ack_o_int -- external interrupt request acknowledge
irq_i => irq_i_int, -- external interrupt request line
irq_ack_o => irq_ack_o_int -- external interrupt request acknowledge
);
 
 
205,7 → 205,7
gpio_i_int <= std_ulogic_vector(gpio_i);
uart_rxd_i_int <= std_ulogic(uart_rxd_i);
spi_miso_i_int <= std_ulogic(spi_miso_i);
irq_i_int <= std_ulogic_vector(ext_irq_i);
irq_i_int <= std_ulogic_vector(irq_i);
 
gpio_o <= std_logic_vector(gpio_o_int);
pwm_o <= std_logic_vector(pwm_o_int);
213,7 → 213,7
spi_sclk_o <= std_logic(spi_sclk_o_int);
spi_mosi_o <= std_logic(spi_mosi_o_int);
spi_cs_o <= std_logic_vector(spi_cs_o_int);
ext_ack_o <= std_logic_vector(irq_ack_o_int);
irq_ack_o <= std_logic_vector(irq_ack_o_int);
 
 
-- Wishbone-to-Avalon Bridge ------------------------------------------------
/neo430/trunk/neo430/rtl/top_templates/neo430_top_axi4lite.vhd
19,7 → 19,7
-- # You should have received a copy of the GNU Lesser General Public License along with this #
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
-- # ********************************************************************************************* #
-- # Stephan Nolting, Hannover, Germany 14.02.2020 #
-- # Stephan Nolting, Hannover, Germany 10.01.2020 #
-- #################################################################################################
 
library ieee;
73,7 → 73,7
twi_scl_io : inout std_logic; -- twi serial clock line
-- external interrupts --
ext_irq_i : in std_logic_vector(07 downto 0); -- external interrupt request lines
ext_ack_o : out std_logic_vector(07 downto 0); -- external interrupt request acknowledges
ext_ack_o : out std_logic_vector(07 downto 0) -- external interrupt request acknowledges
-- AXI Lite-Compatible Master Interface --
-- Clock and Reset
m_axi_aclk : in std_logic;
200,8 → 200,8
wb_cyc_o => wb_core.cyc, -- valid cycle
wb_ack_i => wb_core.ack, -- transfer acknowledge
-- interrupts --
ext_irq_i => irq_i_int, -- external interrupt request line
ext_ack_o => irq_ack_o_int -- external interrupt request acknowledge
irq_i => irq_i_int, -- external interrupt request line
irq_ack_o => irq_ack_o_int -- external interrupt request acknowledge
);
 
 
210,7 → 210,7
gpio_i_int <= std_ulogic_vector(gpio_i);
uart_rxd_i_int <= std_ulogic(uart_rxd_i);
spi_miso_i_int <= std_ulogic(spi_miso_i);
irq_i_int <= std_ulogic_vector(ext_irq_i);
irq_i_int <= std_ulogic_vector(irq_i);
 
gpio_o <= std_logic_vector(gpio_o_int);
pwm_o <= std_logic_vector(pwm_o_int);
218,7 → 218,7
spi_sclk_o <= std_logic(spi_sclk_o_int);
spi_mosi_o <= std_logic(spi_mosi_o_int);
spi_cs_o <= std_logic_vector(spi_cs_o_int);
ext_ack_o <= std_logic_vector(irq_ack_o_int);
irq_ack_o <= std_logic_vector(irq_ack_o_int);
 
 
-- Wishbone-to-AXI4-Lite-compatible Bridge ----------------------------------
/neo430/trunk/neo430/rtl/top_templates/neo430_top_std_logic.vhd
19,7 → 19,7
-- # You should have received a copy of the GNU Lesser General Public License along with this #
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
-- # ********************************************************************************************* #
-- # Stephan Nolting, Hannover, Germany 14.02.2020 #
-- # Stephan Nolting, Hannover, Germany 10.01.2020 #
-- #################################################################################################
 
library ieee;
83,8 → 83,8
wb_cyc_o : out std_logic; -- valid cycle
wb_ack_i : in std_logic; -- transfer acknowledge
-- external interrupts --
ext_irq_i : in std_logic_vector(07 downto 0); -- external interrupt request lines
ext_ack_o : out std_logic_vector(07 downto 0) -- external interrupt request acknowledges
ext_irq_i : in std_logic_vector(07 downto 0); -- external interrupt request lines
ext_ack_o : out std_logic_vector(07 downto 0) -- external interrupt request acknowledges
);
end neo430_top_std_logic;
 
172,8 → 172,8
wb_cyc_o => wb_cyc_o_int, -- valid cycle
wb_ack_i => wb_ack_i_int, -- transfer acknowledge
-- interrupts --
ext_irq_i => irq_i_int, -- external interrupt request line
ext_ack_o => irq_ack_o_int -- external interrupt request acknowledge
irq_i => irq_i_int, -- external interrupt request line
irq_ack_o => irq_ack_o_int -- external interrupt request acknowledge
);
 
 
186,7 → 186,7
spi_miso_i_int <= std_ulogic(spi_miso_i);
wb_dat_i_int <= std_ulogic_vector(wb_dat_i);
wb_ack_i_int <= std_ulogic(wb_ack_i);
irq_i_int <= std_ulogic_vector(ext_irq_i);
irq_i_int <= std_logic_vector(irq_i);
 
gpio_o <= std_logic_vector(gpio_o_int);
pwm_o <= std_logic_vector(pwm_o_int);
200,7 → 200,7
wb_sel_o <= std_logic_vector(wb_sel_o_int);
wb_stb_o <= std_logic(wb_stb_o_int);
wb_cyc_o <= std_logic(wb_cyc_o_int);
ext_ack_o <= std_logic_vector(irq_ack_o_int);
irq_ack_o <= std_logic_vector(irq_ack_o_int);
 
 
end neo430_top_std_logic_rtl;
/neo430/trunk/neo430/doc/NEO430.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/neo430/trunk/neo430/sw/example/blink_led/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/cfu_test/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/coremark/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
27,7 → 27,7
# USER CONFIGURATION
#*******************************************************************************
# Compiler effort (-Os = optimize for size)
EFFORT = -Os
EFFORT = -O3
 
# User's application sources (add additional files here)
APP_SRC = core_main.c core_list_join.c core_matrix.c core_portme.c core_state.c core_util.c ee_printf.c
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/coremark/core_main.c
92,14 → 92,6
#else
MAIN_RETURN_TYPE main(int argc, char *argv[]) {
#endif
 
// -----------------------------------------------
#ifndef RUN_COREMARK
#pragma message ("COREMARK HAS NOT BEEN COMPILED! Use >>make clean compile CC_USER_FLAGS+=-DRUN_COREMARK<< to compile it.")
while(1) {}
#endif
// -----------------------------------------------
 
ee_u16 i,j=0,num_algorithms=0;
ee_s16 known_id=-1,total_errors=0;
ee_u16 seedcrc=0;
/neo430/trunk/neo430/sw/example/crc_test/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/exirq_test/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/game_of_life/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/gpio_interrupt/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/gpio_pwm_demo/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/hw_analysis/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/morse_translator/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/muldiv_test/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/nested_irqs/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/prime_numbers/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/pwm_demo/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/timer_simple/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/trng_test/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/twi_test/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/uart_irq/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/wb_terminal/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sw/example/wdt_test/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
# Stephan Nolting, Hannover, Germany 12.02.2020 #
#################################################################################################
 
 
100,8 → 100,6
# Compiler flags
CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks
CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections
# Add user flags if available
CC_OPTS += ${CC_USER_FLAGS}
 
# Linker flags
LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles
/neo430/trunk/neo430/sim/neo430_tb.vhd
22,7 → 22,7
-- # You should have received a copy of the GNU Lesser General Public License along with this #
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
-- # ********************************************************************************************* #
-- # Stephan Nolting, Hannover, Germany 14.02.2020 #
-- # Stephan Nolting, Hannover, Germany 10.01.2020 #
-- #################################################################################################
 
library ieee;
46,9 → 46,6
constant baud_rate_c : real := 19200.0; -- standard UART baudrate
-- -------------------------------------------------------------------------------------------
 
-- textio --
file file_uart_tx_out : text open write_mode is "neo430.uart_tx.txt";
 
-- internal configuration --
constant baud_val_c : real := f_clock_c / baud_rate_c;
constant f_clk_c : natural := natural(f_clock_c);
170,10 → 167,9
-- -----------------------------------------------------------------------------
uart_rx_unit: process(clk_gen)
variable i, j : integer;
file uart_rx_file : text open write_mode is "uart_rx_dump.txt";
variable line_tmp : line;
begin
 
-- "UART" --
if rising_edge(clk_gen) then
-- synchronizer --
uart_rx_sync <= uart_rx_sync(3 downto 0) & uart_txd;
208,7 → 204,7
end if;
 
if (i = 10) then -- Linux line break
writeline(file_uart_tx_out, line_tmp);
writeline(uart_rx_file, line_tmp);
elsif (i /= 13) then -- Remove additional carriage return
write(line_tmp, ascii_lut(j));
end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.