OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 187 to Rev 186
    Reverse comparison

Rev 187 → Rev 186

/neo430/trunk/neo430/rtl/top_templates/README.txt
0,0 → 1,47
***************************************************************************************************
* Alternative Top Entities for the NEO430 Processor *
* By Stephan Nolting *
***************************************************************************************************
 
This folder provides several *alternative* TOP ENTITIES of the NEO430 processor.
 
 
Default Top Entity:
---------------------------------------------------------------
The default entity of the processor is rtl\core\neo430_top.vhd. That entity propagates ALL signals
to the outer world and features a Wishbone bus interface. The type of all entity ports is
*std_ulogic* / *std_ulogic_vector*.
 
 
Test Setup Top Entity:
---------------------------------------------------------------
If you want to have a quick setup (that is also used for the implementation tutorial in the
project's documentary) you can use the *neo430_test.vhd* as top entity. This entity only propagates
a minimal set of signals to the outer world (8 GPIO output signals and the UART lines). The test
setup is intended to be some kind of "hello world" demo.
 
 
Top Entity with Avalon Memory Mapped Master:
---------------------------------------------------------------
*still experimental*
 
If you want to use the Avalon bus protocol instead of the default Wishbone bus connectivity, you
can use the *neo430_top_avm.vhd* as top entity. This unit provides the same ports as the default top
entity, but it implements an Avalon-compatible master interface instead of a Wishbone master interface.
 
From a software point of view, the Avalon bus interface is used by calling the default Wishbone transfer
functions, since the native Wishbone interface is internally transformed to Avalon by a simple
combinatorial bridging logic.
 
Note: This setup also uses std_logic and std_logic_vector as port signal types to be compatible with
Quartus QSYS.
 
 
Top Entity with AXI4-Lite Memory Mapped Master:
---------------------------------------------------------------
 
The *neo430_top_axi4lite.vhd* top entity converts the processor's Wishbone bus to an AXI4-lite master compatible
interface. From a software point of view, the AXI4-lite interface is used by calling the default Wishbone
transfer functions.
 
Note: This setup also uses std_logic and std_logic_vector as port signal types.
/neo430/trunk/neo430/doc/NEO430.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
/neo430/trunk/neo430/sw/example/README.md File deleted \ No newline at end of file
/neo430/trunk/neo430/sw/example/blink_led/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/cfu_test/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/coremark/core_main.c
95,7 → 95,7
 
// -----------------------------------------------
#ifndef RUN_COREMARK
#pragma warning ("COREMARK HAS NOT BEEN COMPILED! Use >>make clean compile CC_USER_FLAGS+=-DRUN_COREMARK<< to compile it.")
#pragma message ("COREMARK HAS NOT BEEN COMPILED! Use >>make clean compile CC_USER_FLAGS+=-DRUN_COREMARK<< to compile it.")
while(1) {}
#endif
// -----------------------------------------------
/neo430/trunk/neo430/sw/example/coremark/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
30,13 → 30,13
EFFORT = -Os
 
# User's application sources (add additional files here)
APP_SRC = core_list_join.c core_main.c core_matrix.c core_portme.c core_state.c core_util.c ee_printf.c
APP_SRC = core_main.c core_list_join.c core_matrix.c core_portme.c core_state.c core_util.c ee_printf.c
 
# User's application include folders (don't forget the '-I' before each entry)
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/crc_test/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/exirq_test/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/game_of_life/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/gpio_interrupt/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/gpio_pwm_demo/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/hw_analysis/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/morse_translator/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/muldiv_test/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/nested_irqs/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/prime_numbers/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/pwm_demo/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/timer_simple/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/trng_test/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/twi_test/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/uart_irq/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/wb_terminal/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/wdt_test/Makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 14.02.2020 #
#################################################################################################
 
 
36,7 → 36,7
APP_INC = -I .
 
# Relative or absolute path to the NEO430 home folder
NEO430_HOME = ../../..
NEO430_HOME = ../..
#*******************************************************************************
 
 
45,15 → 45,15
# NEO430 framework
#-------------------------------------------------------------------------------
# Path to NEO430 linker script and startup file
NEO430_COM_PATH=$(NEO430_HOME)/sw/common
NEO430_COM_PATH=$(NEO430_HOME)/common
# Path to main NEO430 library include files
NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include
NEO430_INC_PATH=$(NEO430_HOME)/lib/neo430/include
# Path to main NEO430 library source files
NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source
NEO430_SRC_PATH=$(NEO430_HOME)/lib/neo430/source
# Path to NEO430 executable generator
NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen
NEO430_EXE_PATH=$(NEO430_HOME)/tools/image_gen
# Path to NEO430 core rtl folder
NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core
NEO430_RTL_PATH=$(NEO430_HOME)/../rtl/core
 
 
#-------------------------------------------------------------------------------
154,7 → 154,6
 
# Generate NEO430 executable image for bootloader update
$(APP_BIN): image.dat $(IMAGE_GEN)
@set -e
@$(IMAGE_GEN) -app_bin $< $@
 
# Generate NEO430 executable VHDL boot image
/neo430/trunk/neo430/sw/example/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this #
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
# Stephan Nolting, Hannover, Germany 13.11.2019 #
#################################################################################################
 
 
38,7 → 38,6
 
$(TOPTARGETS): $(SUBDIRS)
$(SUBDIRS):
@set -e
@$(MAKE) -C $@ $(MAKECMDGOALS)
 
.PHONY: $(TOPTARGETS) $(SUBDIRS)
/neo430/trunk/neo430/LICENSE
1,9 → 1,3
#################################################################################################
# < NEO430 License File > #
# ********************************************************************************************* #
# Stephan Nolting, Hannover, Germany 15.02.2020 #
#################################################################################################
 
GNU LESSER GENERAL PUBLIC LICENSE
Version 3, 29 June 2007
 
/neo430/trunk/neo430/issue_template.md
0,0 → 1,18
### Expected behavior
- What were you trying to do?
 
### Actual behavior
- How did you notice that something was going wrong?
- Does the problem occurs in a reproducible way?
- Are other modules/functions/programs working correctly?
 
### Hardware configuration
- Are you doing a simulation or an actual hardware implementation?
- Which HW version of the core are you using?
- Which optional processor units are implemented?
- Give some information about your actual implementation. What other design units are directly coupled with the processor?
 
### Additional information
- Toolchain and target platform
- A snipped of the software or hardware code which revealed the problem can be very helpful ;)
- Where there any warnings during synthesis/simulation?

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.