URL
https://opencores.org/ocsvn/neo430/neo430/trunk
Subversion Repositories neo430
Compare Revisions
- This comparison shows the changes necessary to convert path
/neo430/trunk
- from Rev 164 to Rev 165
- ↔ Reverse comparison
Rev 164 → Rev 165
/doc/NEO430.pdf
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
/rtl/core/neo430_package.vhd
19,7 → 19,7
-- # You should have received a copy of the GNU Lesser General Public License along with this # |
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
-- # ********************************************************************************************* # |
-- # Stephan Nolting, Hannover, Germany 29.04.2019 # |
-- # Stephan Nolting, Hannover, Germany 23.09.2019 # |
-- ################################################################################################# |
|
library ieee; |
182,8 → 182,8
constant twi_rtx_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(twi_base_c) + x"0002"); |
|
-- IO: RESERVED -- |
--constant ???_base_c : std_ulogic_vector(15 downto 0) := x"FFFC"; |
--constant ???_size_c : natural := 2; -- bytes |
--constant ???_base_c : std_ulogic_vector(15 downto 0) := x"FFEC"; |
--constant ???_size_c : natural := 4; -- bytes |
|
--constant ???_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(???_base_c) + x"0000"); |
--constant ???_addr_c : std_ulogic_vector(15 downto 0) := std_ulogic_vector(unsigned(???_base_c) + x"0002"); |
/rtl/core/neo430_sysconfig.vhd
22,7 → 22,7
-- # You should have received a copy of the GNU Lesser General Public License along with this # |
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
-- # ********************************************************************************************* # |
-- # Stephan Nolting, Hannover, Germany 17.11.2018 # |
-- # Stephan Nolting, Hannover, Germany 23.09.2019 # |
-- ################################################################################################# |
|
library ieee; |
117,7 → 117,8
sysinfo_mem(1)(11) <= bool_to_ulogic_f(PWM_USE); -- PWM present? |
sysinfo_mem(1)(12) <= bool_to_ulogic_f(TWI_USE); -- TWI present? |
sysinfo_mem(1)(13) <= bool_to_ulogic_f(SPI_USE); -- SPI present? |
sysinfo_mem(1)(15 downto 14) <= (others => '0'); -- reserved |
sysinfo_mem(1)(14) <= '0'; -- reserved |
sysinfo_mem(1)(15) <= '0'; -- reserved |
|
-- CPUID2: User code -- |
sysinfo_mem(2) <= USER_CODE; |
/rtl/core/neo430_top.vhd
1,9 → 1,9
-- ################################################################################################# |
-- # << NEO430 - Processor Top Entity >> # |
-- # ********************************************************************************************* # |
-- # This is the top entity of the NEO430 processor. Instantiate this unit in your own project # |
-- # and define all the configuration generics according to your needs. # |
-- # Alternatively, you can use one of the other top entities provided in rtl\top_templates # |
-- # This is the top entity of the NEO430 processor. Instantiate this unit in your own project and # |
-- # define all the configuration generics according to your needs. Alternatively, you can use one # |
-- # of the other top entities provided in rtl\top_templates # |
-- # ********************************************************************************************* # |
-- # The NEO430 processor: # |
-- # - Reset and clock generators # |
43,7 → 43,7
-- # You should have received a copy of the GNU Lesser General Public License along with this # |
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
-- # ********************************************************************************************* # |
-- # Stephan Nolting, Hannover, Germany 18.09.2019 # |
-- # Stephan Nolting, Hannover, Germany 23.09.2019 # |
-- ################################################################################################# |
|
library ieee; |
261,9 → 261,10
); |
|
-- final CPU read data -- |
cpu_bus.rdata <= rom_rdata or ram_rdata or boot_rdata or muldiv_rdata or wb_rdata or |
uart_rdata or spi_rdata or gpio_rdata or timer_rdata or wdt_rdata or |
sysconfig_rdata or crc_rdata or cfu_rdata or pwm_rdata or twi_rdata; |
cpu_bus.rdata <= rom_rdata or ram_rdata or boot_rdata or muldiv_rdata or |
wb_rdata or uart_rdata or spi_rdata or gpio_rdata or |
timer_rdata or wdt_rdata or sysconfig_rdata or crc_rdata or |
cfu_rdata or pwm_rdata or twi_rdata; |
|
-- sync for external IRQ -- |
external_irq_sync: process(clk_i) |
/rtl/top_templates/neo430_test.vhd
23,7 → 23,7
-- # You should have received a copy of the GNU Lesser General Public License along with this # |
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
-- # ********************************************************************************************* # |
-- # Stephan Nolting, Hannover, Germany 17.11.2018 # |
-- # Stephan Nolting, Hannover, Germany 23.09.2019 # |
-- ################################################################################################# |
|
library ieee; |
/rtl/top_templates/neo430_top_avm.vhd
19,7 → 19,7
-- # You should have received a copy of the GNU Lesser General Public License along with this # |
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
-- # ********************************************************************************************* # |
-- # Stephan Nolting, Hannover, Germany 17.11.2018 # |
-- # Stephan Nolting, Hannover, Germany 23.09.2019 # |
-- ################################################################################################# |
|
library ieee; |
/rtl/top_templates/neo430_top_axi4lite.vhd
19,7 → 19,7
-- # You should have received a copy of the GNU Lesser General Public License along with this # |
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
-- # ********************************************************************************************* # |
-- # Stephan Nolting, Hannover, Germany 17.11.2018 # |
-- # Stephan Nolting, Hannover, Germany 23.09.2019 # |
-- ################################################################################################# |
|
library ieee; |
/sim/ISIM/neo430_tb.wcfg
6,7 → 6,6
<db_ref path="neo430_tb_behav.wdb" id="1"> |
<top_modules> |
<top_module name="neo430_application_image" /> |
<top_module name="neo430_bootloader_image" /> |
<top_module name="neo430_package" /> |
<top_module name="neo430_tb" /> |
</top_modules> |
13,15 → 12,15
</db_ref> |
</db_ref_list> |
<zoom_setting> |
<ZoomStartTime time="207345000000fs"></ZoomStartTime> |
<ZoomEndTime time="742345000001fs"></ZoomEndTime> |
<Cursor1Time time="470345000000fs"></Cursor1Time> |
<ZoomStartTime time="295779200000fs"></ZoomStartTime> |
<ZoomEndTime time="295823400001fs"></ZoomEndTime> |
<Cursor1Time time="295822400000fs"></Cursor1Time> |
</zoom_setting> |
<column_width_setting> |
<NameColumnWidth column_width="167"></NameColumnWidth> |
<ValueColumnWidth column_width="85"></ValueColumnWidth> |
<ValueColumnWidth column_width="81"></ValueColumnWidth> |
</column_width_setting> |
<WVObjectSize size="124" /> |
<WVObjectSize size="128" /> |
<wvobject type="divider" fp_name="divider6"> |
<obj_property name="label">Global</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
43,11 → 42,6
<obj_property name="ObjectShortName">rst_gen[3:0]</obj_property> |
<obj_property name="Radix">BINARYRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/neo430_tb/neo430_top_inst/rst_gen_sync" type="array"> |
<obj_property name="ElementShortName">rst_gen_sync[1:0]</obj_property> |
<obj_property name="ObjectShortName">rst_gen_sync[1:0]</obj_property> |
<obj_property name="Radix">BINARYRADIX</obj_property> |
</wvobject> |
<wvobject fp_name="/neo430_tb/neo430_top_inst/ext_rst" type="logic"> |
<obj_property name="ElementShortName">ext_rst</obj_property> |
<obj_property name="ObjectShortName">ext_rst</obj_property> |
461,17 → 455,13
<obj_property name="DisplayName">label</obj_property> |
</wvobject> |
<wvobject fp_name="/neo430_tb/neo430_top_inst/neo430_pwm_inst_true/neo430_pwm_inst/pwm_o" type="array"> |
<obj_property name="ElementShortName">pwm_o[2:0]</obj_property> |
<obj_property name="ObjectShortName">pwm_o[2:0]</obj_property> |
<obj_property name="ElementShortName">pwm_o[3:0]</obj_property> |
<obj_property name="ObjectShortName">pwm_o[3:0]</obj_property> |
</wvobject> |
<wvobject fp_name="/neo430_tb/neo430_top_inst/neo430_pwm_inst_true/neo430_pwm_inst/pwm_ch" type="array"> |
<obj_property name="ElementShortName">pwm_ch[0:2][7:0]</obj_property> |
<obj_property name="ObjectShortName">pwm_ch[0:2][7:0]</obj_property> |
<obj_property name="ElementShortName">pwm_ch[0:3][7:0]</obj_property> |
<obj_property name="ObjectShortName">pwm_ch[0:3][7:0]</obj_property> |
</wvobject> |
<wvobject fp_name="/neo430_tb/neo430_top_inst/neo430_pwm_inst_true/neo430_pwm_inst/fmode" type="logic"> |
<obj_property name="ElementShortName">fmode</obj_property> |
<obj_property name="ObjectShortName">fmode</obj_property> |
</wvobject> |
<wvobject type="divider" fp_name="divider22"> |
<obj_property name="label">IO: TWI</obj_property> |
<obj_property name="DisplayName">label</obj_property> |
/sim/modelsim/simulate.do
25,10 → 25,11
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_reg_file.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_sysconfig.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_timer.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_trng.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_usart.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_uart.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_spi.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_wb_interface.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_wdt.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_twi.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_top.vhd |
vcom -work neo430 -2002 -explicit -nocasestaticerror ${sim_src}/neo430_tb.vhd |
|
/sim/modelsim/wave.do
53,24 → 53,26
add wave -noupdate -divider Bootloader_ROM |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_boot_rom_inst_true/neo430_boot_rom_inst/boot_img |
|
add wave -noupdate -divider IO_USART |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/baud |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/ctrl |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/spi_busy |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/spi_sclk_o |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/spi_mosi_o |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/spi_miso_i |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/spi_cs_o |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/spi_rtx_sreg |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/uart_tx_sreg |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/uart_tx_busy |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/uart_txd_o |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/uart_rx_busy |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/uart_rx_reg |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/uart_rx_avail |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/uart_rxd_i |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_usart_inst/usart_irq_o |
add wave -noupdate -divider IO_UART |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/baud |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/ctrl |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_tx_sreg |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_tx_busy |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_txd_o |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_rx_busy |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_rx_reg |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_rx_avail |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_rxd_i |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/usart_irq_o |
|
add wave -noupdate -divider IO_SPI |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_busy |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_sclk_o |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_mosi_o |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_miso_i |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_cs_o |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_rtx_sreg |
|
add wave -noupdate -divider IO_Timer |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_timer_inst_true/neo430_timer_inst/tctrl |
add wave -noupdate /neo430_tb/neo430_top_inst/neo430_timer_inst_true/neo430_timer_inst/thres |
/sim/neo430_tb.vhd
22,7 → 22,7
-- # You should have received a copy of the GNU Lesser General Public License along with this # |
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
-- # ********************************************************************************************* # |
-- # Stephan Nolting, Hanover, Germany 17.11.2018 # |
-- # Stephan Nolting, Hanover, Germany 23.09.2019 # |
-- ################################################################################################# |
|
library ieee; |
/sw/bootloader/boot_linker_script.x
1,5 → 1,5
/* ################################################################################################# */ |
/* # < NEO430 MSPGCC bootloader linker script > # */ |
/* # < NEO430 MSP430GCC bootloader linker script > # */ |
/* # ********************************************************************************************* # */ |
/* # This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # */ |
/* # Copyright by Stephan Nolting: stnolting@gmail.com # */ |
/sw/bootloader/makefile
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
33,14 → 33,7
APP_SRC = bootloader.c |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
85,13 → 78,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
/sw/common/neo430_linker_script.x
1,5 → 1,5
/* ################################################################################################# */ |
/* # < NEO430 MSPGCC linker script > # */ |
/* # < NEO430 MSP430GCC linker script > # */ |
/* # ********************************************************************************************* # */ |
/* # This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # */ |
/* # Copyright by Stephan Nolting: stnolting@gmail.com # */ |
19,7 → 19,7
/* # You should have received a copy of the GNU Lesser General Public License along with this # */ |
/* # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # */ |
/* # ********************************************************************************************* # */ |
/* # Stephan Nolting, Hannover, Germany 01.03.2019 # */ |
/* # Stephan Nolting, Hannover, Germany 01.03.2019 # */ |
/* ################################################################################################# */ |
|
OUTPUT_ARCH(msp430) |
/sw/example/README.txt
File deleted
/sw/example/blink_led/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/cfu_test/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/crc_test/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/game_of_life/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/gpio_interrupt/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/hw_analysis/main.c
21,7 → 21,7
// # You should have received a copy of the GNU Lesser General Public License along with this # |
// # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
// # ********************************************************************************************* # |
// # Stephan Nolting, Hannover, Germany 17.11.2018 # |
// # Stephan Nolting, Hannover, Germany 23.09.2019 # |
// ################################################################################################# |
|
|
/sw/example/hw_analysis/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux/Cygwin/WinBash version > # |
# < NEO430 Application Compile Script - Linux/Cygwin/WinBash version > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 06.04.2019 # |
# Stephan Nolting, Hannover, Germany 01.01.2019 # |
################################################################################################# |
|
|
32,7 → 32,7
TOPTARGETS := all clean_all |
|
SUBDIRS := $(wildcard */.) |
# remove dummy folders (starting with '~') |
# ignore dummy folders (starting with '~') |
DUMMYDIRS := $(wildcard ~*/.) |
SUBDIRS := $(filter-out $(DUMMYDIRS), $(SUBDIRS)) |
|
49,9 → 49,9
help: |
@echo "Compile / clean up ALL project folders in this directory" |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile all projects" |
@echo " clean_ll - clean up everything" |
@echo " help - show this text" |
@echo " all - compile and install all projects" |
@echo " clean_all - clean up everything" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/morse_translator/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/muldiv_test/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/nested_irqs/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/prime_numbers/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/pwm_demo/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/timer_simple/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/twi_test/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/uart_irq/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/wb_terminal/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/example/wdt_test/makefile
1,5 → 1,5
################################################################################################# |
# < NEO430 Application Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # |
# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # |
# ********************************************************************************************* # |
# This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
# Copyright by Stephan Nolting: stnolting@gmail.com # |
19,7 → 19,7
# You should have received a copy of the GNU Lesser General Public License along with this # |
# source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
# ********************************************************************************************* # |
# Stephan Nolting, Hannover, Germany 23.09.2019 # |
# Stephan Nolting, Hannover, Germany 01.10.2019 # |
################################################################################################# |
|
|
29,21 → 29,15
# Compiler effort (-Os = optimize for size) |
EFFORT = -Os |
|
# User's application sources (append all additional files here) |
# User's application sources (add additional files here) |
APP_SRC = main.c |
|
# User's application include folders (don't forget the '-I# before each entry) |
# User's application include folders (don't forget the '-I' before each entry) |
APP_INC = -I . |
#------------------------------------------------------------------------------- |
|
|
#------------------------------------------------------------------------------- |
# Path of compiler binaries - SET THIS VIA YOUR ENV VARIABLES |
#------------------------------------------------------------------------------- |
ifndef MSP430GCC_BIN_PATH |
$(error Environment variable MSP430GCC_BIN_PATH pointing to the MSP430GCC compiler's bin folder is not set) |
endif |
|
|
#------------------------------------------------------------------------------- |
# NEO430 framework |
#------------------------------------------------------------------------------- |
79,7 → 73,9
APP_BIN = main.bin |
APP_ASM = main.s |
|
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
compile: $(APP_ASM) $(APP_BIN) |
install: $(APP_ASM) neo430_application_image.vhd |
all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd |
|
# define all object files |
OBJ = $(APP_SRC:.c=.o) |
89,13 → 85,13
# Tools |
#------------------------------------------------------------------------------- |
#C ompiler tools |
AS = $(MSP430GCC_BIN_PATH)/msp430-elf-as |
CC = $(MSP430GCC_BIN_PATH)/msp430-elf-gcc |
LD = $(MSP430GCC_BIN_PATH)/msp430-elf-ld |
STRIP = $(MSP430GCC_BIN_PATH)/msp430-elf-strip |
OBJDUMP = $(MSP430GCC_BIN_PATH)/msp430-elf-objdump |
OBJCOPY = $(MSP430GCC_BIN_PATH)/msp430-elf-objcopy |
SIZE = $(MSP430GCC_BIN_PATH)/msp430-elf-size |
AS = msp430-elf-as |
CC = msp430-elf-gcc |
LD = msp430-elf-ld |
STRIP = msp430-elf-strip |
OBJDUMP = msp430-elf-objdump |
OBJCOPY = msp430-elf-objcopy |
SIZE = msp430-elf-size |
IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen |
|
# Compiler flags |
168,11 → 164,14
#------------------------------------------------------------------------------- |
help: |
@echo "NEO430 Application Compilation Script" |
@echo "Make sure to add the absolute path of the msp430-gcc bin folder to your PATH variable." |
@echo "Targets:" |
@echo " help - show this text" |
@echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" |
@echo " clean - clean up this project only" |
@echo " clean_all - clean up everything" |
@echo " compile - compile and generate *.bin executable for upload via bootloader" |
@echo " install - compile, generate and install VHDL boot image" |
@echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" |
@echo " clean - clean up project" |
@echo " clean_all - clean up project, core libraries and helper tools" |
|
|
#------------------------------------------------------------------------------- |
/sw/lib/neo430/include/neo430.h
23,7 → 23,7
// # You should have received a copy of the GNU Lesser General Public License along with this # |
// # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html # |
// # ********************************************************************************************* # |
// # Stephan Nolting, Hannover, Germany 19.09.2019 # |
// # Stephan Nolting, Hannover, Germany 23.09.2019 # |
// ################################################################################################# |
|
#ifndef neo430_h |
331,8 → 331,8
// ---------------------------------------------------------------------------- |
// Reserved |
// ---------------------------------------------------------------------------- |
//#define ? (*(REG16 0xFFEC)) |
//#define ? (*(REG16 0xFFEE)) |
//#define TRNG_DATA (*(REG16 0xFFEC)) |
//#define TRNG_DATA (*(REG16 0xFFEE)) |
|
|
// ---------------------------------------------------------------------------- |
/sw/lib/neo430/source/neo430_twi.c
1,5 → 1,5
// ################################################################################################# |
// # < neo430_twi.h - Internal Two Wire Serial interface functions > # |
// # < neo430_twi.c - Internal Two Wire Serial interface functions > # |
// # ********************************************************************************************* # |
// # This file is part of the NEO430 Processor project: https://github.com/stnolting/neo430 # |
// # Copyright by Stephan Nolting: stnolting@gmail.com # |