OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /neo430/trunk
    from Rev 196 to Rev 197
    Reverse comparison

Rev 196 → Rev 197

/neo430/rtl/fpga_specific/README.md File deleted \ No newline at end of file
/neo430/LICENSE File deleted
/neo430/doc/NEO430.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
neo430/doc/NEO430.pdf Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: neo430/doc/neo430_control_fsm.ods =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: neo430/doc/neo430_control_fsm.ods =================================================================== --- neo430/doc/neo430_control_fsm.ods (revision 196) +++ neo430/doc/neo430_control_fsm.ods (nonexistent)
neo430/doc/neo430_control_fsm.ods Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: neo430/doc/figures/oshw_logo.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: neo430/doc/figures/oshw_logo.png =================================================================== --- neo430/doc/figures/oshw_logo.png (revision 196) +++ neo430/doc/figures/oshw_logo.png (nonexistent)
neo430/doc/figures/oshw_logo.png Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: neo430/doc/figures/neo430_arch.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: neo430/doc/figures/neo430_arch.png =================================================================== --- neo430/doc/figures/neo430_arch.png (revision 196) +++ neo430/doc/figures/neo430_arch.png (nonexistent)
neo430/doc/figures/neo430_arch.png Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: neo430/doc/figures/test_setup.jpg =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: neo430/doc/figures/test_setup.jpg =================================================================== --- neo430/doc/figures/test_setup.jpg (revision 196) +++ neo430/doc/figures/test_setup.jpg (nonexistent)
neo430/doc/figures/test_setup.jpg Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: neo430/doc/figures/PDF_32.png =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: neo430/doc/figures/PDF_32.png =================================================================== --- neo430/doc/figures/PDF_32.png (revision 196) +++ neo430/doc/figures/PDF_32.png (nonexistent)
neo430/doc/figures/PDF_32.png Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: neo430/doc/instruction_set.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: neo430/doc/instruction_set.pdf =================================================================== --- neo430/doc/instruction_set.pdf (revision 196) +++ neo430/doc/instruction_set.pdf (nonexistent)
neo430/doc/instruction_set.pdf Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: neo430/.travis.yml =================================================================== --- neo430/.travis.yml (revision 196) +++ neo430/.travis.yml (nonexistent) @@ -1,27 +0,0 @@ -services: - - docker - -script: - - # Get images - - docker pull ghdl/ghdl:buster-gcc-8.3.0 - - docker pull cdelledonne/msp430-gcc - - # Testing installations - - docker run -t ghdl/ghdl:buster-gcc-8.3.0 ghdl --version - - docker run -t cdelledonne/msp430-gcc msp430-elf-gcc -v - - # Run SW check (check if all example projects + bootloader are compiling) - - > - docker run -t -v `pwd`:/mnt/data cdelledonne/msp430-gcc - /bin/bash -c "chmod u+x /mnt/data/travis_ci/sw_check.sh; /mnt/data/travis_ci/sw_check.sh" - - # Run HW check - - > - docker run -t -v `pwd`:/mnt/data ghdl/ghdl:buster-gcc-8.3.0 - /bin/bash -c "chmod u+x /mnt/data/travis_ci/hw_check.sh; /mnt/data/travis_ci/hw_check.sh" - -notifications: - email: - on_success: never - on_failure: always Index: neo430/.gitignore =================================================================== --- neo430/.gitignore (revision 196) +++ neo430/.gitignore (nonexistent) @@ -1,13 +0,0 @@ -# no generated project files -*.bin -*.o -*.elf -*.dat -*.s - -# no executables -sw/tools/image_gen/image_gen -*.exe - -# no temp/legacy files/folders -~* Index: neo430/sim/neo430_tb.vhd =================================================================== --- neo430/sim/neo430_tb.vhd (revision 196) +++ neo430/sim/neo430_tb.vhd (nonexistent) @@ -1,240 +0,0 @@ --- ################################################################################################# --- # << NEO430 - Simple testbench >> # --- # ********************************************************************************************* # --- # This simple testbench instantiates the top entity of the NEO430 processors, generates clock # --- # and reset signals and outputs data send via the processor's UART to the simulator console. # --- # ********************************************************************************************* # --- # BSD 3-Clause License # --- # # --- # Copyright (c) 2020, Stephan Nolting. All rights reserved. # --- # # --- # Redistribution and use in source and binary forms, with or without modification, are # --- # permitted provided that the following conditions are met: # --- # # --- # 1. Redistributions of source code must retain the above copyright notice, this list of # --- # conditions and the following disclaimer. # --- # # --- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # --- # conditions and the following disclaimer in the documentation and/or other materials # --- # provided with the distribution. # --- # # --- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # --- # endorse or promote products derived from this software without specific prior written # --- # permission. # --- # # --- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # --- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # --- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # --- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # --- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # --- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # --- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # --- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # --- # OF THE POSSIBILITY OF SUCH DAMAGE. # --- # ********************************************************************************************* # --- # The NEO430 Processor - https://github.com/stnolting/neo430 # --- ################################################################################################# - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; -use ieee.math_real.all; - -library neo430; -use neo430.neo430_package.all; -use std.textio.all; - -entity neo430_tb is -end neo430_tb; - -architecture neo430_tb_rtl of neo430_tb is - - -- User Configuration --------------------------------------------------------------------- - -- ------------------------------------------------------------------------------------------- - constant t_clock_c : time := 10 ns; -- main clock period - constant f_clock_c : real := 100000000.0; -- main clock in Hz - constant baud_rate_c : real := 19200.0; -- standard UART baudrate - -- ------------------------------------------------------------------------------------------- - - -- textio -- - file file_uart_tx_out : text open write_mode is "neo430.uart_tx.txt"; - - -- internal configuration -- - constant baud_val_c : real := f_clock_c / baud_rate_c; - constant f_clk_c : natural := natural(f_clock_c); - - -- reduced ASCII table -- - type ascii_t is array (0 to 94) of character; - constant ascii_lut : ascii_t := (' ', '!', '"', '#', '$', '%', '&', ''', '(', ')', '*', '+', ',', '-', - '.', '/', '0', '1', '2', '3', '4', '5', '6', '7', '8', '9', ':', ';', '<', '=', '>', '?', '@', 'A', - 'B', 'C', 'D', 'E', 'F', 'G', 'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O', 'P', 'Q', 'R', 'S', 'T', 'U', - 'V', 'W', 'X', 'Y', 'Z', '[', '\', ']', '^', '_', '`', 'a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', - 'j', 'k', 'l', 'm', 'n', 'o', 'p', 'q', 'r', 's', 't', 'u', 'v', 'w', 'x', 'y', 'z', '{', '|', '}', '~'); - - -- generators -- - signal clk_gen, rst_gen : std_ulogic := '0'; - signal irq, irq_ack : std_ulogic_vector(7 downto 0); - - -- local signals -- - signal uart_txd : std_ulogic; - signal spi_data : std_ulogic; - - -- simulation uart receiver -- - signal uart_rx_sync : std_ulogic_vector(04 downto 0) := (others => '1'); - signal uart_rx_busy : std_ulogic := '0'; - signal uart_rx_sreg : std_ulogic_vector(08 downto 0) := (others => '0'); - signal uart_rx_baud_cnt : real; - signal uart_rx_bitcnt : natural; - - -- twi -- - signal twi_sda : std_logic; - signal twi_scl : std_logic; - -begin - - -- Clock/Reset Generator ---------------------------------------------------- - -- ----------------------------------------------------------------------------- - clk_gen <= not clk_gen after (t_clock_c/2); - rst_gen <= '0', '1' after 60*(t_clock_c/2); - - - -- CPU Core ----------------------------------------------------------------- - -- ----------------------------------------------------------------------------- - neo430_top_inst: neo430_top - generic map ( - -- general configuration -- - CLOCK_SPEED => f_clk_c, -- main clock in Hz - IMEM_SIZE => 4*1024, -- internal IMEM size in bytes, max 48kB (default=4kB) - DMEM_SIZE => 2*1024, -- internal DMEM size in bytes, max 12kB (default=2kB) - -- additional configuration -- - USER_CODE => x"4788", -- custom user code - -- module configuration -- - MULDIV_USE => true, -- implement multiplier/divider unit? (default=true) - WB32_USE => true, -- implement WB32 unit? (default=true) - WDT_USE => true, -- implement WBT? (default=true) - GPIO_USE => true, -- implement GPIO unit? (default=true) - TIMER_USE => true, -- implement timer? (default=true) - UART_USE => true, -- implement UART? (default=true) - CRC_USE => true, -- implement CRC unit? (default=true) - CFU_USE => false, -- implement custom functions unit? (default=false) - PWM_USE => true, -- implement PWM controller? (default=true) - TWI_USE => true, -- implement two wire serial interface? (default=true) - SPI_USE => true, -- implement SPI? (default=true) - TRNG_USE => false, -- implement TRNG? (default=false) - CANNOT BE SIMULATED! - EXIRQ_USE => true, -- implement EXIRQ? (default=true) - FREQ_GEN_USE => true, -- implement FREQ_GEN? (default=true) - -- boot configuration -- - BOOTLD_USE => false, -- implement and use bootloader? (default=true) - IMEM_AS_ROM => false -- implement IMEM as read-only memory? (default=false) - ) - port map ( - -- global control -- - clk_i => clk_gen, -- global clock, rising edge - rst_i => rst_gen, -- global reset, async, low-active - -- gpio -- - gpio_o => open, -- parallel output - gpio_i => x"0000", -- parallel input - -- pwm channels -- - pwm_o => open, -- pwm channels - -- arbitrary frequency generator -- - freq_gen_o => open, -- programmable frequency output - -- serial com -- - uart_txd_o => uart_txd, -- UART send data - uart_rxd_i => uart_txd, -- UART receive data - spi_sclk_o => open, -- serial clock line - spi_mosi_o => spi_data, -- serial data line out - spi_miso_i => spi_data, -- serial data line in - spi_cs_o => open, -- SPI CS 0..5 - twi_sda_io => twi_sda, -- twi serial data line - twi_scl_io => twi_scl, -- twi serial clock line - -- 32-bit wishbone interface -- - wb_adr_o => open, -- address - wb_dat_i => x"00000000", -- read data - wb_dat_o => open, -- write data - wb_we_o => open, -- read/write - wb_sel_o => open, -- byte enable - wb_stb_o => open, -- strobe - wb_cyc_o => open, -- valid cycle - wb_ack_i => '0', -- transfer acknowledge - -- external interrupts -- - ext_irq_i => irq, -- external interrupt request lines - ext_ack_o => irq_ack -- external interrupt request acknowledges - ); - - -- twi pull-ups -- - twi_sda <= 'H'; - twi_scl <= 'H'; - - - -- Interrupt Generator ------------------------------------------------------ - -- ----------------------------------------------------------------------------- - interrupt_gen: process - begin - irq <= (others => '0'); - wait for 20 ms; - wait until rising_edge(clk_gen); - irq <= "00000111"; - wait for t_clock_c; - wait; - end process interrupt_gen; - - - -- Console UART Receiver ---------------------------------------------------- - -- ----------------------------------------------------------------------------- - uart_rx_unit: process(clk_gen) - variable i, j : integer; - variable line_tmp : line; - begin - - -- "UART" -- - if rising_edge(clk_gen) then - -- synchronizer -- - uart_rx_sync <= uart_rx_sync(3 downto 0) & uart_txd; - -- arbiter -- - if (uart_rx_busy = '0') then -- idle - uart_rx_busy <= '0'; - uart_rx_baud_cnt <= round(0.5 * baud_val_c); - uart_rx_bitcnt <= 9; - if (uart_rx_sync(4 downto 1) = "1100") then -- start bit? (falling edge) - uart_rx_busy <= '1'; - end if; - else - if (uart_rx_baud_cnt = 0.0) then - -- adapt to the inter-frame pause - which is not implemented in the neo430 uart ;) - if (uart_rx_bitcnt = 1) then - uart_rx_baud_cnt <= round(0.5 * baud_val_c); - else - uart_rx_baud_cnt <= round(baud_val_c); - end if; - if (uart_rx_bitcnt = 0) then - uart_rx_busy <= '0'; -- done - i := to_integer(unsigned(uart_rx_sreg(8 downto 1))); - j := i - 32; - if (j < 0) or (j > 95) then - j := 0; -- undefined = SPACE - end if; - - if (i < 32) or (j > 32+95) then - report "UART TX: (" & integer'image(i) & ")"; -- print code - else - report "UART TX: " & ascii_lut(j); -- print ASCII - end if; - - if (i = 10) then -- Linux line break - writeline(file_uart_tx_out, line_tmp); - elsif (i /= 13) then -- Remove additional carriage return - write(line_tmp, ascii_lut(j)); - end if; - else - uart_rx_sreg <= uart_rx_sync(4) & uart_rx_sreg(8 downto 1); - uart_rx_bitcnt <= uart_rx_bitcnt - 1; - end if; - else - uart_rx_baud_cnt <= uart_rx_baud_cnt - 1.0; - end if; - end if; - end if; - end process uart_rx_unit; - - -end neo430_tb_rtl; Index: neo430/sim/ghdl/ghdl_run.sh =================================================================== --- neo430/sim/ghdl/ghdl_run.sh (revision 196) +++ neo430/sim/ghdl/ghdl_run.sh (nonexistent) @@ -1,52 +0,0 @@ -#!/bin/bash - -# Project home foler -homedir=../.. - -# The directories of the hw source files -srcdir_core=$homedir/rtl/core -srcdir_top_templates=$homedir/rtl/top_templates -srcdir_sim=$homedir/sim - -# List files -ls -al $srcdir_core -ls -al $srcdir_top_templates -ls -al $srcdir_sim - -# Analyse sources -ghdl -a --work=neo430 $srcdir_core/neo430_package.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_application_image.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_bootloader_image.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_addr_gen.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_alu.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_boot_rom.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_cfu.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_control.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_cpu.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_crc.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_dmem.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_exirq.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_freq_gen.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_gpio.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_imem.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_muldiv.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_pwm.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_reg_file.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_spi.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_sysconfig.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_timer.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_top.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_trng.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_twi.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_uart.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_wb_interface.vhd -ghdl -a --work=neo430 $srcdir_core/neo430_wdt.vhd - -ghdl -a --work=neo430 $srcdir_top_templates/*.vhd $srcdir_sim/*.vhd - -# Elaborate top entity -ghdl -e --work=neo430 neo430_top - -# Run simulation -ghdl -e --work=neo430 neo430_tb -ghdl -r --work=neo430 neo430_tb --stop-time=20ms --ieee-asserts=disable-at-0 --assert-level=error Index: neo430/sim/ISIM/neo430_tb.wcfg =================================================================== --- neo430/sim/ISIM/neo430_tb.wcfg (revision 196) +++ neo430/sim/ISIM/neo430_tb.wcfg (nonexistent) @@ -1,647 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - Global - label - - - clk_i - clk_i - - - clk_gen[7:0] - clk_gen[7:0] - - - rst_i - rst_i - - - rst_gen[3:0] - rst_gen[3:0] - BINARYRADIX - - - ext_rst - ext_rst - - - sys_rst - sys_rst - - - CPU - Control - label - - - irq_i[3:0] - irq_i[3:0] - - - irq_buf[3:0] - irq_buf[3:0] - - - irq_ack - irq_ack - - - irq_start - irq_start - - - am[3:0] - am[3:0] - BINARYRADIX - - - ir[15:0] - ir[15:0] - - - state - state - - - ctrl_o[28:0] - ctrl_o[28:0] - - - CPU - RF - label - - - sreg[15:0] - sreg[15:0] - - - reg_file[15:0][15:0] - reg_file[15:0][15:0] - - - CPU - ALU - label - - - op_a_ff[15:0] - op_a_ff[15:0] - HEXRADIX - - - op_b_ff[15:0] - op_b_ff[15:0] - HEXRADIX - - - alu_res[15:0] - alu_res[15:0] - HEXRADIX - - - CPU - Address Generator - label - - - mem_addr_reg[15:0] - mem_addr_reg[15:0] - - - mem_addr_o[15:0] - mem_addr_o[15:0] - HEXRADIX - - - irq_sel_i[1:0] - irq_sel_i[1:0] - - - imm_i[15:0] - imm_i[15:0] - - - CPU - BUS Interface - label - - - dio_swap - dio_swap - - - io_acc - io_acc - - - mem_rd_o - mem_rd_o - - - mem_wr_o[1:0] - mem_wr_o[1:0] - - - mem_addr_o[15:0] - mem_addr_o[15:0] - HEXRADIX - - - mem_data_o[15:0] - mem_data_o[15:0] - - - mem_data_i[15:0] - mem_data_i[15:0] - - - Main Memory - label - - - imem_up_en - imem_up_en - - - IO: UART - label - - - uart_txd_o - uart_txd_o - - - uart_rxd_i - uart_rxd_i - - - uart_irq_o - uart_irq_o - - - ctrl[15:0] - ctrl[15:0] - - - uart_tx_busy - uart_tx_busy - - - uart_tx_done - uart_tx_done - - - uart_rx_busy - uart_rx_busy - - - uart_rx_busy_ff - uart_rx_busy_ff - - - uart_rx_avail[1:0] - uart_rx_avail[1:0] - - - IO: SPI - label - - - data_i[15:0] - data_i[15:0] - - - data_o[15:0] - data_o[15:0] - - - spi_sclk_o - spi_sclk_o - - - spi_mosi_o - spi_mosi_o - - - spi_miso_i - spi_miso_i - - - spi_cs_o[5:0] - spi_cs_o[5:0] - - - spi_irq_o - spi_irq_o - - - wr_en - wr_en - - - rd_en - rd_en - - - ctrl[15:0] - ctrl[15:0] - - - spi_busy - spi_busy - - - spi_state0 - spi_state0 - - - spi_state1 - spi_state1 - - - spi_rtx_sreg[15:0] - spi_rtx_sreg[15:0] - - - spi_bitcnt[4:0] - spi_bitcnt[4:0] - - - IO: Timer - label - - - ctrl[10:0] - ctrl[10:0] - - - thres[15:0] - thres[15:0] - HEXRADIX - - - prsc_tick - prsc_tick - - - cnt[15:0] - cnt[15:0] - - - irq_o - irq_o - - - nco_prsc_tick - nco_prsc_tick - - - nco_tuning_word[15:0] - nco_tuning_word[15:0] - - - nco_phase_accu[16:0] - nco_phase_accu[16:0] - - - timer_fg_o - timer_fg_o - - - IO: GPIO - label - - - gpio_o[15:0] - gpio_o[15:0] - - - gpio_i[15:0] - gpio_i[15:0] - - - irq_o - irq_o - - - IO: Watchdog - label - - - enable - enable - - - cnt[16:0] - cnt[16:0] - - - IO: Wishbone Bus Interface - label - - - enable - enable - - - pending - pending - - - wb_adr_o[31:0] - wb_adr_o[31:0] - - - wb_dat_i[31:0] - wb_dat_i[31:0] - - - wb_dat_o[31:0] - wb_dat_o[31:0] - - - wb_we_o - wb_we_o - - - wb_sel_o[3:0] - wb_sel_o[3:0] - BINARYRADIX - - - wb_stb_o - wb_stb_o - - - wb_cyc_o - wb_cyc_o - - - wb_ack_i - wb_ack_i - - - Sysconfig - label - - - info_addr[2:0] - info_addr[2:0] - - - rden - rden - - - acc_en - acc_en - - - sysinfo_mem[0:7][15:0] - sysinfo_mem[0:7][15:0] - - - IO: MulDiv - label - - - rden_i - rden_i - - - wr_en - wr_en - - - opa[15:0] - opa[15:0] - SIGNEDDECRADIX - - - opb[15:0] - opb[15:0] - SIGNEDDECRADIX - - - resx[15:0] - resx[15:0] - - - resy[15:0] - resy[15:0] - - - func_rst - func_rst - - - ctrl_state - ctrl_state - - - operation - operation - - - start - start - - - run - run - - - remainder[15:0] - remainder[15:0] - SIGNEDDECRADIX - - - quotient[15:0] - quotient[15:0] - SIGNEDDECRADIX - - - product[31:0] - product[31:0] - SIGNEDDECRADIX - - - IO: CRC - label - - - wren - wren - - - idata[7:0] - idata[7:0] - - - start - start - - - mode - mode - - - cnt[2:0] - cnt[2:0] - - - run - run - - - crc_bit - crc_bit - - - poly[31:0] - poly[31:0] - - - crc_sr[31:0] - crc_sr[31:0] - - - IO: PWM - label - - - pwm_o[3:0] - pwm_o[3:0] - - - pwm_ch[0:3][7:0] - pwm_ch[0:3][7:0] - - - IO: TWI - label - - - wr_en - wr_en - - - rd_en - rd_en - - - twi_clk - twi_clk - - - arbiter[2:0] - arbiter[2:0] - BINARYRADIX - - - ctrl[8:0] - ctrl[8:0] - - - twi_bitcnt[3:0] - twi_bitcnt[3:0] - - - twi_rtx_sreg[8:0] - twi_rtx_sreg[8:0] - - - twi_sda_io - twi_sda_io - - - twi_scl_io - twi_scl_io - - - IO: EXIRQ - label - - - ext_irq_i[7:0] - ext_irq_i[7:0] - - - ext_ack_o[7:0] - ext_ack_o[7:0] - - - acc_en - acc_en - - - wren - wren - - - rden - rden - - - enable - enable - - - state - state - - - irq_raw[7:0] - irq_raw[7:0] - - - irq_enable[7:0] - irq_enable[7:0] - - - ack_mask[7:0] - ack_mask[7:0] - - - irq_valid[7:0] - irq_valid[7:0] - - - irq_buf[7:0] - irq_buf[7:0] - - - irq_src_reg[2:0] - irq_src_reg[2:0] - - - sw_trig - sw_trig - - - sw_irq[7:0] - sw_irq[7:0] - - - cpu_irq_o - cpu_irq_o - - Index: neo430/sim/modelsim/wave.do =================================================================== --- neo430/sim/modelsim/wave.do (revision 196) +++ neo430/sim/modelsim/wave.do (nonexistent) @@ -1,134 +0,0 @@ -onerror {resume} -quietly WaveActivateNextPane {} 0 - -add wave -noupdate -divider Global -add wave -noupdate /neo430_tb/neo430_top_inst/clk_i -add wave -noupdate /neo430_tb/neo430_top_inst/clk_gen -add wave -noupdate /neo430_tb/neo430_top_inst/rst_i -add wave -noupdate /neo430_tb/neo430_top_inst/rst_gen -add wave -noupdate /neo430_tb/neo430_top_inst/rst_gen_sync -add wave -noupdate /neo430_tb/neo430_top_inst/ext_rst -add wave -noupdate /neo430_tb/neo430_top_inst/sys_rst - -add wave -noupdate -divider CPUControl -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_control_inst/ir -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_control_inst/irq_i -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_control_inst/irq_buf -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_control_inst/irq_ack -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_control_inst/irq_start -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_control_inst/irq_run -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_control_inst/irq_ret -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_control_inst/am -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_control_inst/state -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_control_inst/ctrl_o - -add wave -noupdate -divider CPU_Regfile -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_reg_file_inst/sreg -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_reg_file_inst/reg_file - -add wave -noupdate -divider CPU_ALU -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_alu_inst/op_a_ff -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_alu_inst/op_b_ff -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_alu_inst/alu_res -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_addr_gen_inst/mem_addr_reg -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_addr_gen_inst/mem_addr_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_addr_gen_inst/irq_sel_i -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/neo430_addr_gen_inst/imm_i - -add wave -noupdate -divider Bus_Interface -add wave -noupdate /neo430_tb/neo430_top_inst/io_acc -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/mem_rd_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/mem_wr_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/mem_addr_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/mem_data_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_cpu_inst/mem_data_i - -add wave -noupdate -divider Main_Memory -add wave -noupdate /neo430_tb/neo430_top_inst/imem_up_en -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_imem_inst/imem_init_file -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_imem_inst/imem_file_h -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_imem_inst/imem_file_l -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_dmem_inst/dmem_file - -add wave -noupdate -divider Bootloader_ROM -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_boot_rom_inst_true/neo430_boot_rom_inst/boot_img - -add wave -noupdate -divider IO_UART -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/baud -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/ctrl -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_tx_sreg -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_tx_busy -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_txd_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_rx_busy -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_rx_reg -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_rx_avail -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/uart_rxd_i -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_uart_inst/usart_irq_o - -add wave -noupdate -divider IO_SPI -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_busy -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_sclk_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_mosi_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_miso_i -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_cs_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_usart_inst_true/neo430_spi_inst/spi_rtx_sreg - -add wave -noupdate -divider IO_Timer -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_timer_inst_true/neo430_timer_inst/tctrl -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_timer_inst_true/neo430_timer_inst/thres -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_timer_inst_true/neo430_timer_inst/tcnt -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_timer_inst_true/neo430_timer_inst/irq_o - -add wave -noupdate -divider IO_GPIO -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_gpio_inst_true/neo430_gpio_inst/trigger -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_gpio_inst_true/neo430_gpio_inst/gpio_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_gpio_inst_true/neo430_gpio_inst/gpio_i -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_gpio_inst_true/neo430_gpio_inst/irq_o - -add wave -noupdate IO_Watchdog -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wdt_inst_true/neo430_wdt_inst/wren -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wdt_inst_true/neo430_wdt_inst/enable -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wdt_inst_true/neo430_wdt_inst/clk_sel -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wdt_inst_true/neo430_wdt_inst/cnt -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wdt_inst_true/neo430_wdt_inst/rst_gen -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wdt_inst_true/neo430_wdt_inst/rst_sync -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wdt_inst_true/neo430_wdt_inst/rst_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wdt_inst_true/neo430_wdt_inst/source - -add wave -noupdate -divider IO_Wishbone -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/enable -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/pending -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/pipelined -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/terr -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/wb_adr_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/wb_dat_i -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/wb_dat_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/wb_we_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/wb_sel_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/wb_stb_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/wb_cyc_o -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_wb32_if_inst_true/neo430_wb32_inst/wb_ack_i - -add wave -noupdate -divider IO_Sysconfig -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_sysconfig_inst/sysinfo_mem -add wave -noupdate /neo430_tb/neo430_top_inst/neo430_sysconfig_inst/sysconfig_mem - - -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {92 ps} 0} -quietly wave cursor active 1 -configure wave -namecolwidth 150 -configure wave -valuecolwidth 100 -configure wave -justifyvalue left -configure wave -signalnamewidth 1 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ps -update -WaveRestoreZoom {0 ps} {1 ns} Index: neo430/sim/modelsim/simulate.do =================================================================== --- neo430/sim/modelsim/simulate.do (revision 196) +++ neo430/sim/modelsim/simulate.do (nonexistent) @@ -1,44 +0,0 @@ -puts {NEO430 Modelsim Simulation Script} - --- set up sources -- -set sim_src ".." -set rtl_src "../../rtl/core" - -quit -sim - --- compile sources -- -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_package.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_addr_gen.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_alu.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_application_image.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_bootloader_image.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_boot_rom.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_cfu.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_control.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_cpu.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_crc.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_dmem.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_gpio.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_imem.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_muldiv.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_pwm.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_reg_file.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_sysconfig.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_timer.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_uart.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_spi.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_wb_interface.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_wdt.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_twi.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${rtl_src}/neo430_top.vhd -vcom -work neo430 -2002 -explicit -nocasestaticerror ${sim_src}/neo430_tb.vhd - --- start simulation -- -vsim -t 1ps neo430.neo430_tb - --- waveform configuration -- -do ${sim_src}/modelsim/wave.do - --- run -- -run 200 us - Index: neo430/README.md =================================================================== --- neo430/README.md (revision 196) +++ neo430/README.md (nonexistent) @@ -1,439 +0,0 @@ -# The NEO430 Processor - -[![Build Status](https://travis-ci.com/stnolting/neo430.svg?branch=master)](https://travis-ci.com/stnolting/neo430) -[![license](https://img.shields.io/github/license/stnolting/neo430)](https://github.com/stnolting/neo430/blob/master/LICENSE) -[![release](https://img.shields.io/github/v/release/stnolting/neo430)](https://github.com/stnolting/neo430/releases) -[![documentary](https://img.shields.io/badge/datasheet-neo430.pdf-blue)](https://raw.githubusercontent.com/stnolting/neo430/master/doc/NEO430.pdf) - -[![issues](https://img.shields.io/github/issues/stnolting/neo430)](https://github.com/stnolting/neo430/issues) -[![open pull requests](https://img.shields.io/github/issues-pr-raw/stnolting/neo430)](https://github.com/stnolting/neo430/pulls) -[![last commit](https://img.shields.io/github/last-commit/stnolting/neo430)](https://github.com/stnolting/neo430/commits/master) - -## Table of Content - -* [Introduction](#Introduction) -* [Processor Features](#Processor-Features) -* [Differences to the Original MSP430 Processors](#Differences-to-the-Original-MSP430-Processors) -* [Top Entity](#Top-Entity) -* [FPGA Implementation Results](#FPGA-Implementation-Results) -* [HW-SW Ecosystem](#HW-SW-Ecosystem) -* [Performance](#Performance) -* [**Quick Start**](#Quick-Start) -* [Change Log](#Change-Log) -* [Contact](#Contact) -* [Disclaimer, Proprietary and Legal Notice](#Disclaimer-Proprietary-and-Legal-Notice) - - - -## Introduction - -Welcome to __[The NEO430 Processor](https://github.com/stnolting/neo430)__ project! - -You need a small but still powerful, customizable and microcontroller-like processor system for your next FPGA project? -Then the NEO430 is the right choice for you. - -This processor is based on the Texas Instruments MSP430(TM) ISA and provides compatibility with the original -instruction set (see differences below). The NEO430 is not an exact MSP430 clone – it is more like a complete new implementation from the bottom up. The -processor features a very small outline, already implementing standard features like a timer, a watchdog, UART, TWI and SPI -serial interfaces, general purpose IO ports, an internal bootloader and of course internal memory for program code and data. -All of the implemented peripheral modules are optional – so if you do not need them, you can exclude them from synthesis to -reduce the size of the system. Any additional modules, which make a more customized system, can be connected via a -Wishbone-compatible bus interface or directly implemented within the processor. By this, you can built a system, -that perfectly fits your needs. - -It is up to you to use the NEO430 as stand-alone, configurable and extensible microcontroller, or to use it as controller -within a more complex SoC design. - -The high-level software development is based on the free TI msp430-gcc compiler tool chain. You can either use Windows -(PowerShell or Linux Subsystem) or Linux as build environment for your applications – the project supports both worlds. - -This project is intended to work "out of the box". Just synthesize the test setup from this project, upload it to your -FPGA board of choice (the NEO430 uses a FPGA vendor-independent VHDL description) and start exploring the capabilities of -the NEO430 processor. Application program generation works by executing a single "make" command. Jump to the -"Let’s Get It Started" chapter in the NEO430 documentary, which provides a lot of guides and tutorials to make your first -NEO430 setup run: [![NEO430 Datasheet](https://raw.githubusercontent.com/stnolting/neo430/master/doc/figures/PDF_32.png) NEO430 Datasheet](https://raw.githubusercontent.com/stnolting/neo430/master/doc/NEO430.pdf "NEO430 Datasheet from GitHub") - - - -## Processor Features - -![NEO430 Overview](https://raw.githubusercontent.com/stnolting/neo430/master/doc/figures/neo430_arch.png) - -(optional modules are marked using dashed lines in the figure above) - - -- 16-bit open source soft-core microcontroller-like processor system -- Full support of the original [MSP430 instruction set architecture](https://raw.githubusercontent.com/stnolting/neo430/master/doc/instruction_set.pdf) -- Code-efficient CISC-like instruction capabilities -- Tool chain based on free [TI msp430-gcc compiler](http://software-dl.ti.com/msp430/msp430_public_sw/mcu/msp430/MSPGCC/latest/index_FDS.html "TI `msp430-gcc` compiler") (also available [here on github](https://github.com/stnolting/msp430-gcc)) -- Application compilation scripts ([makefiles](https://github.com/stnolting/neo430/blob/master/sw/example/blink_led/Makefile)) for Windows Powershell / Windows Subsystem for Linux / native Linux -- Software requirements (regardless of platform): - - TI `msp430-gcc` compiler - - native `GCC` compiler - - `GNU Make` -- Completely described in behavioral, platform-independent VHDL (no macros, primitives, attributes, etc. used) -- Fully synchronous design, no latches, no gated clocks -- Very low resource requirements and high operating frequency -- Internal [DMEM](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_dmem.vhd) (RAM, for data) and [IMEM](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_imem.vhd) (RAM or ROM, for code), configurable sizes -- Customizable processor hardware configuration: - - Optional multiplier/divider unit ([MULDIV](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_muldiv.vhd)) - - Optional high-precision timer ([TIMER](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_timer.vhd)) - - Optional universal asynchronous receiver and transmitter ([UART](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_uart.vhd)) - - Optional serial peripheral interface master ([SPI](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_spi.vhd)), 8 or 16 bit transfer data size, 6 dedicated chip-select lines - - Optional I2C-compatible two wire serial interface master ([TWI](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_twi.vhd)) supporting clock stretching - - Optional general purpose parallel IO port ([GPIO](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_gpio.vhd)), 16 inputs & 16 outputs, with pin-change interrupt and PWM option - - Optional 32-bit Wishbone bus master interface adapter ([WB32](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_wb_interface.vhd)) - including bridges to [Avalon (TM](https://github.com/stnolting/neo430/blob/master/rtl/top_templates/neo430_top_avm.vhd)) bus and [AXI4-Lite (TM](https://github.com/stnolting/neo430/blob/master/rtl/top_templates/neo430_top_axi4lite.vhd)) - - Optional watchdog timer ([WDT](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_wdt.vhd)) - - Optional cyclic redundancy check unit ([CRC16/32](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_crc.vhd)) - - Optional custom functions unit ([CFU](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_cfu.vhd)) for processor-internal user-defined processor extensions - - Optional 4 channel PWM controller with 4 or 8 bit resolution ([PWM](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_pwm.vhd)) - - Optional Galois Ring Oscillator (GARO) based true random number generator ([TRNG](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_trng.vhd)) with de-biasing and internal post-processing - - Optional external interrupts controller with 8 independent channels ([EXIRQ](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_exirq.vhd)), can also be used for software-triggered interrupts (traps, breakpoints, etc.) - - Optional NCO-based programmable frequency generator ([FREQ_GEN](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_freq_gen.vhd)) with 3 independent output channels - - Optional internal [bootloader](https://github.com/stnolting/neo430/blob/master/sw/bootloader/bootloader.c) (2kB ROM) with serial user console and automatic application boot from external SPI flash (like the FPGA configuration storage) - - - -## Differences to the Original MSP430 Processors - -- Completely different processor modules with different functionality -- Up to 48kB instruction memory and 12kB data memory -- NEO430 tool chain (makefiles, boot-code and linker script) required for application compilation -- Custom binary executable format -- No hardware debugging interface -- No analog components -- No support of TI's Code Composer Studio -- No support of CPU's DADD operation -- No *implicit* software support of the NEO430 multiplier - but: there is a work-around* for that! ;) -- Just 4 CPU interrupt channels (can be extended via the external IRQ controller) -- Single clock domain for complete processor -- Different numbers of instruction execution cycles -- Only one power-down (sleep) mode - -*) A quite promising experimental mode to allow implicit multiplier usage (just write A*B in your code and the compiler -will automatically utilize the multiplier unit for this) - - - -## Top Entity - -The top entity of the processor is [**neo430_top.vhd**](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_top.vhd) (from the rtl\core folder). -Just instantiate this file in your project and you are ready to go! All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively. -If you need a top entity with resolved signals (*std_logic*), take a look at the [top_templates](https://github.com/stnolting/neo430/blob/master/rtl/top_templates) folder. -These alternative top entities also support AXI or Avalon connectivity. - - -### Generics - -The main features and the actually implemented peripheral modules are configured via the top unit's generics. - -| Generic Name | Type | Default Value | Function | -|:-------------|:-----------------------:|:-------------:|:---------------------------------------------------------| -| CLOCK_SPEED | natural | 100000000 | Clock speed of CPU clock input "clk_i" in Hz | -| IMEM_SIZE | natural | 4*1024 | Size of internal instruction memory in bytes (max 48 kB) | -| DMEM_SIZE | natural | 2*1024 | Size of internal data memory in bytes (max 12 kB) | -| USER_CODE | std_ulogic_vector(15:0) | x"0000" | 16-bit custom user code, can be read by user software | -| MULDIV_USE | boolean | true | Implement multiplier/divider unit (MULDIV) | -| WB32_USE | boolean | true | Implement Wishbone interface adapter (WB32) | -| WDT_USE | boolean | true | Implement watchdog timer (WDT) | -| GPIO_USE | boolean | true | Implement general purpose parallel in/out port (GPIO) | -| TIMER_USE | boolean | true | Implement high-precision timer (TIMER) | -| UART_USE | boolean | true | Implement UART serial communication unit (UART) | -| CRC_USE | boolean | true | Implement checksum computation unit (CRC16/32) | -| CFU_USE | boolean | false | Implement custom functions unit (CFU) | -| PWM_USE | boolean | true | Implement pulse width controller (PWM) | -| TWI_USE | boolean | true | Implement two wire serial interface unit (TWI) | -| SPI_USE | boolean | true | Implement serial peripheral interface unit (SPI) | -| TRNG_USE | boolean | false | Implement true random number generator (TRNG) | -| EXIRQ_USE | boolean | true | Implement external interrupts controller (EXIRQ) | -| FREQ_GEN_USE | boolean | true | Implement programmable frequency generator (FREQ_GEN) | -| BOOTLD_USE | boolean | true | Implement and auto-start internal bootloader | -| IMEM_AS_ROM | boolean | false | Implement internal instruction memory as read-only | - - -### Signals - -Regarding unused unit's signals: Connect all unused inputs to low and leave all unused outputs 'open'. -Signal driections are seen from the processor. - -| Signal Name | Width | Direction | HW Unit | Function | -|:-------------|:-----:|:---------:|:--------:|:---------------------------------------------------------| -| clk_i | 1 | In | - | Global clock line; all FFs triggering on rising edge | -| rst_i | 1 | In | - | Global reset, low-active | -| gpio_o | 16 | Out | GPIO | General purpose parallel output | -| gpio_i | 16 | In | GPIO | General purpose parallel input | -| pwm_o | 4 | Out | PWM | Pulse width modulation channels | -| timer_fg_o | 1 | Out | FREQ_GEN | Programmable frequency generator output | -| uart_txd_o | 1 | Out | UART | UART serial transmitter | -| uart_rxd_i | 1 | In | UART | UARt serial receiver | -| spi_sclk_o | 1 | Out | SPI | SPI master clock | -| spi_mosi_o | 1 | Out | SPI | SPI serial data output | -| spi_miso_i | 1 | In | SPI | SPI serial data input | -| spi_cs_o | 8 | Out | SPI | SPI chip select lines (active-low) | -| twi_sda_io | 1 | InOut | TWI | TWI master serial data line (external pull-up required) | -| twi_scl_io | 1 | InOut | TWI | TWI master serial clock line (external pull-up required) | -| wb_adr_o | 32 | Out | WB32 | Wishbone slave address | -| wb_dat_i | 32 | In | WB32 | Wishbone write data | -| wb_dat_o | 32 | Out | WB32 | Wishbone read data | -| wb_we_o | 1 | Out | WB32 | Wishbone write enable | -| wb_sel_o | 1 | Out | WB32 | Wishbone byte enable | -| wb_stb_o | 1 | Out | WB32 | Wishbone strobe | -| wb_cyc_o | 1 | Out | WB32 | Wishbone valid cycle | -| wb_ack_i | 1 | In | WB32 | Wishbone transfer acknowledge | -| ext_irq_i | 8 | In | EXIRQ | Interrupt request lines, high-active | -| ext_ack_o | 8 | Out | EXIRQ | Interrupt acknowledge, high-active, single-shot | - - - -## FPGA Implementation Results - -Mapping results generated for HW version 0x0406. The full (default) hardware configuration includes -all optional processor modules (excluding the CFU and the TRNG), an IMEM size of 4kB and a DMEM -size of 2kB. The minimal configuration only includes the CPU (including IMEM and DMEM) and the GPIO module. -Results generated with Xilinx Vivado 2019.2, Intel Quartus Prime Lite 17.1 and Lattice Radiant 1.1 (Synplify) - -| __Xilinx Artix-7 (XC7A35TICSG324-1L)__ | LUTs | FFs | BRAMs | DSPs | f_max* | -|:---------------------------------------|:---------:|:------------:|:--------:|:------:|:-------:| -| Full (default) configuration: | 1036 (5%) | 1144 (2.75%) | 2.5 (5%) | 0 (0%) | 100 MHz | -| Minimal configuration (CPU + GPIO): | 576 (3%) | 266 (0.6%) | 1 (2%) | 0 (0%) | 100 MHz | - -| __Intel Cyclone IV (EP4CE22F17C6)__ | LUTs | FFs | Memory bits | DSPs | f_max | -|:------------------------------------|:---------:|:---------:|:-----------:|:------:|:-------:| -| Full (default) configuration: | 1869 (8%) | 1137 (5%) | 65800 (11%) | 0 (0%) | 121 MHz | -| Minimal configuration (CPU + GPIO): | 590 (3%) | 230 (1%) | 49408 (8%) | 0 (0%) | 122 MHz | - -| __Lattice iCE40 UltraPlus** (iCE40UP5K-SG48I)__ | LUTs | FFs | EBRs | DSPs | SPRAMs | f_max* | -|:-------------------------------------------------|:----------:|:----------:|:-------:|:------:|:-------:|:---------:| -| Full (default) configuration: | 3928 (74%) | 1923 (36%) | 9 (30%) | 0 (0%) | 2 (50%) | 20.25 MHz | -| Minimal configuration (CPU + GPIO + Bootloader): | 1812 (34%) | 755 (14%) | 4 (13%) | 0 (0%) | 2 (50%) | 20.25 MHz | - -*) Constrained - -**) Using optimized memory modules for IMEM (32kB) & DMEM (12kB) from the `rtl\fpga_specific\lattice_ice40up` folder - - -### Device Utilization by Entity - -The following table shows the required resources for each module of the NEO430 processor system. Note that the provided -numbers only represent a coarse overview as logic elements might be merged and optimized beyond entity boundaries. - -Mapping results generated for HW version 0x0406. The full (default) hardware configuration includes all optional -processor modules (excluding the CFU but including the TRNG), an IMEM size of 4kB and a DMEM size of -2kB. Results were generated using Intel Quartus Prime Lite 17.1. - -| __Intel Cyclone IV (EP4CE22F17C6)__ | LUTs | FFs | Memory Bits | DSPs | -|:---------------------------------------|:----:|:---:|:-----------:|:----:| -| Bootloader Memory (Boot ROM, 2kB) | 2 | 1 | 16384 | 0 | -| Central Processing Unit (CPU) | 525 | 169 | 264 | 0 | -| Checksum Unit (CRC) | 111 | 94 | 0 | 0 | -| Custom Functions Unit (CFU)* | - | - | - | - | -| Data Memory (DMEM, 2kB) | 5 | 1 | 16384 | 0 | -| External Interrupts Controller (EXIRQ) | 70 | 55 | 0 | 0 | -| Frequency Generator (FREQ_GEN) | 140 | 130 | 0 | 0 | -| GPIO Port Unit (GPIO) | 50 | 45 | 0 | 0 | -| High-Precision Timer (TIMER) | 66 | 57 | 0 | 0 | -| Instruction Memory (IMEM, 4kB) | 5 | 1 | 32768 | 0 | -| Multiplier & Divider (MULDIV) | 209 | 134 | 0 | 0 | -| Pulse-Width Modulation Unit (PWM) | 96 | 66 | 0 | 0 | -| Serial Peripheral Interface (SPI) | 82 | 59 | 0 | 0 | -| System Info Memory (SYSCONFIG) | 12 | 11 | 0 | 0 | -| True Random Number Generator (TRNG) | 92 | 76 | 0 | 0 | -| Two Wire Interface (TWI) | 78 | 43 | 0 | 0 | -| Universal Asynchronous Rx/Tx (UART) | 130 | 91 | 0 | 0 | -| Watchdog Timer (WDT) | 53 | 37 | 0 | 0 | -| Wishbone Interface (WB32) | 129 | 117 | 0 | 0 | - -*) Hardware requirements defined by user application - - - -## HW-SW Ecosystem - -The NEO430 Processor project provides driver libraries for the CPU itself and all included peripheral modules. These libraries -provide a certain level of hardware abstraction and allow an easy usage of the different hardware modules. Modules that cannot -be used "explicitly" (like CPU modules or the different memories) are not listed below. - -| Hardware unit | VHDL source | C library source | C library header | SW example project | -|:---------------------------------------|:-----------:|:----------------:|:----------------:|:------------------:| -| Main CPU defines file | - | - | [neo430.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430.h) | - | -| Central Processing Unit (CPU) | [neo430_cpu.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_cpu.vhd) | [neo430_cpu.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_cpu.c) | [neo430_cpu.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_cpu.h) |- | -| Custom Functions Unit (CFU) | [neo430_cpu.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_cfu.vhd) | provided by CFU designer | provided by CFU designer | [example](https://github.com/stnolting/neo430/tree/master/sw/example/cfu_test) | -| Checksum Unit (CRC16/32) | [neo430_crc.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_crc.vhd) | [neo430_crc.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_crc.c) | [neo430_crc.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_crc.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/crc_test) | -| External Interrupts Controller (EXIRQ) | [neo430_exirq.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_exirq.vhd) | [neo430_exirq.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_exirq.c) | [neo430_exirq.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_exirq.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/exirq_test) | -| Frequency Generator (FREQ_GEN) | [neo430_freq_gen.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_freq_gen.vhd) | [neo430_freq_gen.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_freq_gen.c) | [neo430_freq_gen.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_freq_gen.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/freq_gen_demo) | -| IO Port Unit (GPIO) | [neo430_gpio.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_gpio.vhd) | [neo430_gpio.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_gpio.c) | [neo430_gpio.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_gpio.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/blink_led) | -| Multiplier & Divider (MULDIV) | [neo430_muldiv.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_muldiv.vhd) | [neo430_muldiv.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_muldiv.c) | [neo430_muldiv.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_muldiv.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/muldiv_test) | -| Pulse-Width Modulation Unit (PWM) | [neo430_pwm.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_pwm.vhd) | [neo430_pwm.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_pwm.c) | [neo430_pwm.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_pwm.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/pwm_demo) | -| Serial Peripheral Interface (SPI) | [neo430_spi.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_spi.vhd) | [neo430_spi.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_spi.c) | [neo430_spi.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_spi.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/bootloader) | -| High-Precision Timer (TIMER) | [neo430_timer.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_timer.vhd) | [neo430_timer.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_timer.c) | [neo430_timer.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_timer.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/timer_simple) | -| True Random Number Generator (TRNG) | [neo430_trng.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_trng.vhd) | [neo430_trng.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_trng.c) | [neo430_trng.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_trng.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/trng_test) | -| Two Wire Interface (TWI) | [neo430_twi.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_twi.vhd) | [neo430_twi.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_twi.c) | [neo430_twi.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_twi.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/twi_test) | -| Universal Asynchronous Rx/Tx (UART) | [neo430_uart.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_uart.vhd) | [neo430_uart.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_uart.c) | [neo430_uart.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_uart.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/uart_irq) | -| Watchdog Timer (WDT) | [neo430_wdt.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_wdt.vhd) | [neo430_wdt.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_wdt.c) | [neo430_wdt.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_wdt.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/wdt_test) | -| Wishbone Interface (WB32) | [neo430_wb_interface.vhd](https://github.com/stnolting/neo430/blob/master/rtl/core/neo430_wb_interface.vhd) | [neo430_wishbone.c](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/source/neo430_wishbone.c) | [neo430_wishbone.h](https://github.com/stnolting/neo430/blob/master/sw/lib/neo430/include/neo430_wishbone.h) | [example](https://github.com/stnolting/neo430/tree/master/sw/example/wb_terminal) | - - - -## Performance - -In contrast to most mainstream processors the NEO430 processor does not implement a "classic" pipelined instruction execution. Instead, -a **multi-cycle instruction execution scheme** is used: Each single instruction is executed in a series of micro instructions -requiring several clock cycles to complete. The main benefit of this execution style is the highly reduced logic overhead as no -complex pipeline hazard detection and resolving logic is required. This makes the NEO430 even smaller - at the cost of a reduced IPC -(*instructions per cycle*). Also, the MSP430 ISA is not really compatible to the classic (DLX/MIPS-like) pipeline scheme due to -its complex operand and adressing modes (e.g., ALU operations executing directly on memory data). However, this concept allows -the processor to use very **dense and powerfull CISC-like operations**. - -Furthermore, the multi-cycle architecture features a **very short crtitical path** when compared to other (even 32-bit) -processors. Thus, the NEO430 can operate at very high frequencies even on low-cost (e.g., +120MHz on an Intel Cyclone IV) -and low-power FPGAs (e.g., +20MHz on a Lattice iCE40 UltraPlus) even without any area constraints. - -Depending on the format / operand addressing mode of an instruction, the actual execution can take 3 to 10 clock cycles. If all possible instruction -types and formats are executed in an equally distributed manner (this is the worst case), the average CPI (clock cycles per instruction) -evaluates to **7.33 cycles/instruction resulting in 0.136 MIPS per MHz (again: worst case)**. - - -### CoreMark Benchmark - -The [CoreMark CPU benchmark](https://www.eembc.org/coremark/) was executed on the NEO430 and is available in the -[sw/example/coremark](https://github.com/stnolting/neo430/blob/master/sw/example/coremark) project folder This benchmark -tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC. - -~~~ -Configuration -Hardware: 100 MHz, 32kB IMEM, 12kB DMEM, HW verison 0x0406, peripherals used: TIMER, UART, MULDIV -Software: msp430-gcc 8.3.0 for Linux, MEM_METHOD is MEM_STACK, 2000 CoreMark iterations -~~~ - -| __Optimization__ | __Executable Size__ | __CoreMark Score__ | __Relative Score__ | -|:---------------------|:-------------------:|:------------------:|:-------------------:| -| -Os | 12150 bytes | 6.57 | 0.065 CoreMarks/MHz | -| -O2 | 14600 bytes | 7.16 | 0.072 CoreMarks/MHz | -| -Os + NEO430_MULDIV* | 12118 bytes | 14.43 | 0.144 CoreMarks/MHz | -| -O2 + NEO430_MULDIV* | 14562 bytes | 17.68 | 0.176 CoreMarks/MHz | - -*) These results were generated using the "NEO430_HWMUL_ABI_OVERRIDE" feature, which allows to map implicit multiplications -in the source code via compiler primitives directly to the multiplier core of the MULDIV unit. For more information see -chapter "Multiplier and Divider Unit (MULDIV)" of [NEO430.pdf](https://raw.githubusercontent.com/stnolting/neo430/master/doc/NEO430.pdf). - -Even though a score of 6.57 can outnumber certain architectures and configurations (see the score table on the CoreMark -homepage), the relative score of 0.065 coremarks per second might sound pretty low. True. But you have to keep in mind that benchmark -was executed using only the resources of the CPU itself. The CPU consists of only ~520 Intel Cyclone IV LUTs and does not -contain any sophisticated ALU operations like multiplication or barrel shifting. When including NEO430 MULDIV unit (using the -"NEO430_HWMUL_ABI_OVERRIDE" feature) the CoreMark score is increased to 17.6. By explicitly using additional HW accelerators -from the NEO430 ecosystem (e.g. the CRC unit) the performance can be further increased. - - - -## Quick Start - - * At first, get the most recent version the NEO430 Processor project from GitHub: - - * Clone the NEO430 repository using `git` from the command line (suggested for easy project updates via `git pull`): - - ~~~ - git clone https://github.com/stnolting/neo430.git - ~~~ - - * Or download the current version of this project as ZIP file: [neo430-master.zip](https://github.com/stnolting/neo430/archive/master.zip) - - * Next, install the free `MSP430-GCC` compiler toolchain from the TI homepage (select the "compiler only" package according to your system OS): - - https://software-dl.ti.com/msp430/msp430_public_sw/mcu/msp430/MSPGCC/latest/index_FDS.html - - * Alternatively, you can [directly download a copy](https://github.com/stnolting/msp430-gcc) of the msp430-gcc toolchain for Windows/Linux from github - - * Make sure `GNU Make` and a native `GCC` compiler are installed (double check for the newest versions) - - * Create a new HW project with your FPGA synthesis tool of choice. Add all files from the `rtl\core` folder to this project (and add them to a new library called "neo430"). - - * Follow the instructions from the "Let's Get It Started" section of the NEO430 documentary: [![NEO430 Datasheet](https://raw.githubusercontent.com/stnolting/neo430/master/doc/figures/PDF_32.png) NEO430 Datasheet](https://raw.githubusercontent.com/stnolting/neo430/master/doc/NEO430.pdf "NEO430 Datasheet from GitHub") - - * This documentary will guide you to create a simple test setup, which serves as ["hello word" FPGA demo](https://github.com/stnolting/neo430/blob/master/rtl/top_templates/neo430_test.vhd): - -![NEO430 Test Example Setup](https://raw.githubusercontent.com/stnolting/neo430/master/doc/figures/test_setup.jpg) - - * The NEO430 project also includes some example programs from which you can start your own application: [SW example projects](https://github.com/stnolting/neo430/tree/master/sw/example) - - * Have fun! =) - - - -## Change Log - -The official change log of this project can be found at the end of the [![NEO430 Datasheet](https://raw.githubusercontent.com/stnolting/neo430/master/doc/figures/PDF_32.png) NEO430 Datasheet](https://raw.githubusercontent.com/stnolting/neo430/master/doc/NEO430.pdf "NEO430 Datasheet from GitHub"). - - - -## Contact - -If you have any questions, bug reports, ideas or if you are facing problems with the NEO430 or want to give some kinf of feedback, open a -[new issue](https://github.com/stnolting/neo430/issues) or directly drop me a line: - - stnolting@gmail.com - - - -## Citation - -If you are using the NEO430 Processor in some kind of publication, please cite it as follows: - -> S. Nolting, "The NEO430 Processor", github.com/stnolting/neo430 - - - -## Disclaimer, Proprietary and Legal Notice - -This is a hobby project released under the BSD 3-Clause license. No copyright infringement intended. - -**BSD 3-Clause License** - -Copyright (c) 2020, Stephan Nolting. All rights reserved. - -Redistribution and use in source and binary forms, with or without modification, are -permitted provided that the following conditions are met: - -1. Redistributions of source code must retain the above copyright notice, this list of -conditions and the following disclaimer. -2. Redistributions in binary form must reproduce the above copyright notice, this list of -conditions and the following disclaimer in the documentation and/or other materials -provided with the distribution. -3. Neither the name of the copyright holder nor the names of its contributors may be used to -endorse or promote products derived from this software without specific prior written -permission. - -THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS -OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF -MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, -EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE -GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED -AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED -OF THE POSSIBILITY OF SUCH DAMAGE. - - -"MSP430" and "Code Composer Studio" are trademarks of Texas Instruments Corporation. - -"Windows" is a trademark of Microsoft Corporation. - -"Virtex", "Artix" and "Vivado" are trademarks of Xilinx Inc. - -"Cyclone", "Quartus" and "Avalon Bus" are trademarks of Intel Corporation. - -"iCE40 UltraPlus", "Lattice Radiant" and "Lattice Diamond" are trademarks of Lattice Semiconductor Corporation. - -"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc. - - -[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neo430) - -Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neo430). - - -![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neo430/master/doc/figures/oshw_logo.png) - -This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org). - - -Made with :beer: in Hannover, Germany. Index: neo430/sw/lib/neo430/source/neo430_trng.c =================================================================== --- neo430/sw/lib/neo430/source/neo430_trng.c (revision 196) +++ neo430/sw/lib/neo430/source/neo430_trng.c (nonexistent) @@ -1,80 +0,0 @@ -// ################################################################################################# -// # < neo430_trng.c - True random number generator helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_trng.h" - - -/* ------------------------------------------------------------ - * INFO Enable TRNG - * ------------------------------------------------------------ */ -void neo430_trng_enable(uint16_t tap_mask) { - - TRNG_CT = 0; // reset - - asm volatile("nop"); - asm volatile("nop"); - asm volatile("nop"); - - TRNG_CT = (1< # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_uart.h" - - -/* ------------------------------------------------------------ - * INFO Reset UART, set the Baud rate of UART transceiver - * INFO UART_BAUD reg (8 bit) = f_main/(prsc*desired_BAUDRATE) - * INFO PRSC (Baud register bits 10..8): - * 0: CLK/2 - * 1: CLK/4 - * 2: CLK/8 - * 3: CLK/64 - * 4: CLK/128 - * 5: CLK/1024 - * 6: CLK/2048 - * 7: CLK/4096 - * PARAM actual baudrate to be used - * ------------------------------------------------------------ */ -void neo430_uart_setup(uint32_t baudrate){ - - // raw baud rate prescaler - uint32_t clock = CLOCKSPEED_32bit; - uint16_t i = 0; // BAUD rate divisor - uint8_t p = 0; // prsc = CLK/2 - while (clock >= 2*baudrate) { - clock -= 2*baudrate; - i++; - } - - // find clock prsc - while (i >= 256) { - if ((p == 2) || (p == 4)) - i >>= 3; - else - i >>= 1; - p++; - } - - UART_CT = 0; - UART_CT = (1<> 8) & 0x0007) { - case 0: prsc = 2; break; - case 1: prsc = 4; break; - case 2: prsc = 8; break; - case 3: prsc = 64; break; - case 4: prsc = 128; break; - case 5: prsc = 1024; break; - case 6: prsc = 2048; break; - case 7: prsc = 4096; break; - default: prsc = 0; break; - } - - uint16_t baud = UART_CT & 0x00FF; - uint32_t baud_value = clock / (uint32_t)(prsc * baud); - - return baud_value; -} - - -/* ------------------------------------------------------------ - * INFO Send single char via internal UART - * PARAM c char to send - * ------------------------------------------------------------ */ -void neo430_uart_putc(char c){ - - // wait for previous transfer to finish - while ((UART_CT & (1< - * INFO This is the base for a non-blocking read access - * RETURN received char - * ------------------------------------------------------------ */ -char neo430_uart_char_read(void){ - - return (char)UART_RTX; -} - - -/* ------------------------------------------------------------ - * INFO Print zero-terminated string of chars via internal UART - * PARAM *s pointer to source string - * ------------------------------------------------------------ */ -void neo430_uart_print(char *s){ - - char c = 0; - while ((c = *s++)) { - neo430_uart_putc(c); - } -} - - -/* ------------------------------------------------------------ - * INFO Print zero-terminated string of chars via internal UART - * Prints true line break "\r\n" for every '\n' - * PARAM *s pointer to source string - * ------------------------------------------------------------ */ -void neo430_uart_br_print(char *s){ - - char c = 0; - while ((c = *s++)) { - if (c == '\n') { - neo430_uart_putc('\r'); - } - neo430_uart_putc(c); - } -} - - -/* ------------------------------------------------------------ - * INFO Get string via UART, string is automatically zero-terminated. - * Input is acknowledged by ENTER, local echo, chars can be deleted using BACKSPACE. - * PARAM buffer to store string to - * PARAM size of buffer (=max string length incl. zero-termination) - * PARAM activate local echo when =! 0 - * RETURN Length of string (without zero-termination character) - * ------------------------------------------------------------ */ -uint16_t neo430_uart_scan(char *buffer, uint16_t max_size, uint16_t echo) { - - char c = 0; - uint16_t length = 0; - - while (1) { - c = neo430_uart_getc(); - if (c == '\b') { // BACKSPACE - if (length != 0) { - if (echo) { - neo430_uart_print("\b \b"); // delete last char in console - } - buffer--; - length--; - } - } - else if (c == '\r') // carriage return - break; - else if ((c >= ' ') && (c <= '~') && (length < (max_size-1))) { - if (echo) { - neo430_uart_putc(c); // echo - } - *buffer++ = c; - length++; - } - } - *buffer = '\0'; // terminate string - - return length; -} - - -/* ------------------------------------------------------------ - * INFO Print single (capital) hexadecimal value (1 digit) - * PARAM char to be printed - * ------------------------------------------------------------ */ -void neo430_uart_print_hex_char(char c) { - - char d = c & 15; - if (d < 10) - d += '0'; - else - d += 'a'-10; - neo430_uart_putc(d); -} - - -/* ------------------------------------------------------------ - * INFO Print 8-bit hexadecimal value (2 digits) - * PARAM uint8_t value to be printed - * ------------------------------------------------------------ */ -void neo430_uart_print_hex_byte(uint8_t b) { - - neo430_uart_print_hex_char((char)(b >> 4)); - neo430_uart_print_hex_char((char)(b >> 0)); -} - - -/* ------------------------------------------------------------ - * INFO Print 16-bit hexadecimal value (4 digits) - * PARAM uint16_t value to be printed - * ------------------------------------------------------------ */ -void neo430_uart_print_hex_word(uint16_t w) { - - union uint16_u tmp; - tmp.uint16 = w; - - neo430_uart_print_hex_byte(tmp.uint8[1]); - neo430_uart_print_hex_byte(tmp.uint8[0]); -} - - -/* ------------------------------------------------------------ - * INFO Print 32-bit hexadecimal value (8 digits) - * PARAM uint32_t value to be printed - * ------------------------------------------------------------ */ -void neo430_uart_print_hex_dword(uint32_t dw) { - - union uint32_u tmp; - tmp.uint32 = dw; - - neo430_uart_print_hex_byte(tmp.uint8[3]); - neo430_uart_print_hex_byte(tmp.uint8[2]); - neo430_uart_print_hex_byte(tmp.uint8[1]); - neo430_uart_print_hex_byte(tmp.uint8[0]); -} - - -/* ------------------------------------------------------------ - * INFO Print 64-bit hexadecimal value (16 digits) - * PARAM uint64_t value to be printed - * ------------------------------------------------------------ */ -void neo430_uart_print_hex_qword(uint64_t qw) { - - union uint64_u tmp; - tmp.uint64 = qw; - - neo430_uart_print_hex_byte(tmp.uint8[7]); - neo430_uart_print_hex_byte(tmp.uint8[6]); - neo430_uart_print_hex_byte(tmp.uint8[5]); - neo430_uart_print_hex_byte(tmp.uint8[4]); - neo430_uart_print_hex_byte(tmp.uint8[3]); - neo430_uart_print_hex_byte(tmp.uint8[2]); - neo430_uart_print_hex_byte(tmp.uint8[1]); - neo430_uart_print_hex_byte(tmp.uint8[0]); -} - - -/* ------------------------------------------------------------ - * INFO Print 8-bit binary value (8 digits) - * PARAM uint8_t value to be printed - * ------------------------------------------------------------ */ -void neo430_uart_print_bin_byte(uint8_t b) { - - uint8_t i; - for (i=0x80; i!=0; i>>=1) { - if (b & i) - neo430_uart_putc('1'); - else - neo430_uart_putc('0'); - } -} - - -/* ------------------------------------------------------------ - * INFO Print 16-bit binary value (16 digits) - * PARAM uint16_t value to be printed - * ------------------------------------------------------------ */ -void neo430_uart_print_bin_word(uint16_t w) { - - union uint16_u tmp; - tmp.uint16 = w; - - neo430_uart_print_bin_byte(tmp.uint8[1]); - neo430_uart_print_bin_byte(tmp.uint8[0]); -} - - -/* ------------------------------------------------------------ - * INFO Print 32-bit binary value (32 digits) - * PARAM uint32_t value to be printed - * ------------------------------------------------------------ */ -void neo430_uart_print_bin_dword(uint32_t dw) { - - union uint32_u tmp; - tmp.uint32 = dw; - - neo430_uart_print_bin_byte(tmp.uint8[3]); - neo430_uart_print_bin_byte(tmp.uint8[2]); - neo430_uart_print_bin_byte(tmp.uint8[1]); - neo430_uart_print_bin_byte(tmp.uint8[0]); -} - - -/* ------------------------------------------------------------ - * INFO Print 32-bit number as decimal number (10 digits) - * INFO Slow custom version of itoa - * PARAM 32-bit value to be printed as decimal number - * PARAM show #leading_zeros leading zeros - * PARAM pointer to array (11 elements!!!) to store conversion result string - * ------------------------------------------------------------ */ -void neo430_itoa(uint32_t x, uint16_t leading_zeros, char *res) { - - const char numbers[10] = "0123456789"; - char buffer1[11]; - uint16_t i, j; - - buffer1[10] = '\0'; - res[10] = '\0'; - - // convert - for (i=0; i<10; i++) { - buffer1[i] = numbers[x%10]; - x /= 10; - } - - // delete 'leading' zeros - for (i=9; i!=leading_zeros; i--) { - if (buffer1[i] == '0') - buffer1[i] = '\0'; - else - break; - } - - // reverse - j = 0; - do { - if (buffer1[i] != '\0') - res[j++] = buffer1[i]; - } while (i--); - - res[j] = '\0'; // terminate result string -} - - -/* ------------------------------------------------------------ - * INFO Embedded version of the printf function with reduced functionality - * INFO Only use this function if it is really required! - * INFO It is large and slow... ;) - * INFO Original from http://forum.43oh.com/topic/1289-tiny-printf-c-version/ - * PARAM Argument string - * ------------------------------------------------------------ */ -void neo430_printf(char *format, ...) { - - char c, string_buf[11]; - int32_t n; - - va_list a; - va_start(a, format); - - while ((c = *format++)) { - if (c == '%') { - c = *format++; - switch (c) { - case 's': // string - neo430_uart_print(va_arg(a, char*)); - break; - case 'c': // char - neo430_uart_putc((char)va_arg(a, int)); - break; - case 'b': // unsigned 16-bit binary - neo430_uart_print_bin_word(va_arg(a, unsigned int)); - break; - case 'i': // 16-bit integer - n = (int32_t)va_arg(a, int); - if (n < 0) { - n = -n; - neo430_uart_putc('-'); - } - neo430_itoa((uint32_t)n, 0, string_buf); - neo430_uart_br_print(string_buf); - break; - case 'u': // 16-bit unsigned - neo430_itoa((uint32_t)va_arg(a, unsigned int), 0, string_buf); - neo430_uart_br_print(string_buf); - break; - case 'l': // 32-bit long - n = (int32_t)va_arg(a, int32_t); - if (n < 0) { - n = -n; - neo430_uart_putc('-'); - } - neo430_itoa((uint32_t)n, 0, string_buf); - neo430_uart_br_print(string_buf); - break; - case 'n': // 32-bit unsigned long - neo430_itoa(va_arg(a, uint32_t), 0, string_buf); - neo430_uart_br_print(string_buf); - break; - case 'x': // 16-bit hexadecimal - neo430_uart_print_hex_word(va_arg(a, unsigned int)); - break; - case 'X': // 32-bit hexadecimal - neo430_uart_print_hex_dword(va_arg(a, uint32_t)); - break; - default: - return; - } - } - else { - if (c == '\n') - neo430_uart_putc('\r'); - neo430_uart_putc(c); - } - } - va_end(a); -} - - -/* ------------------------------------------------------------ - * INFO Convert N hex chars into uint32 - * PARAM Pointer to buffer with hex chars - * PARAM Number of hex chars to convert (1..8) - * RETURN Conversion result - * ------------------------------------------------------------ */ -uint32_t neo430_hexstr_to_uint(char *buffer, uint8_t length) { - - uint32_t res = 0, d = 0; - char c = 0; - - while (length--) { - c = *buffer++; - - if ((c >= '0') && (c <= '9')) - d = (uint32_t)(c - '0'); - else if ((c >= 'a') && (c <= 'f')) - d = (uint32_t)((c - 'a') + 10); - else if ((c >= 'A') && (c <= 'F')) - d = (uint32_t)((c - 'A') + 10); - else - d = 0; - - res = res + (d << (length*4)); - } - - return res; -} - - -/* ------------------------------------------------------------ - * INFO Return terminal cursor n positions - * PARAM n positions - * ------------------------------------------------------------ */ -void neo430_uart_bs(uint16_t n) { - - while (n--) { - neo430_uart_putc(0x08); - } -} - - -/* ------------------------------------------------------------ - * INFO Print signed 32-bit fixed point number (num) - * PARAM fpf_c: Number of bin fractional bits in input (max 32) - * PARAM num_frac_digits_c: Number of fractional digits to show (max 8) - * ------------------------------------------------------------ */ -void neo430_uart_print_fpf_32(int32_t num, uint16_t fpf_c, uint16_t num_frac_digits_c) { - - uint16_t i; - - // make positive - if (num < 0) { - neo430_uart_putc('-'); - num = -num; - } - uint32_t num_int = (uint32_t)num; - - - // print integer part - char int_buf[11]; - neo430_itoa((uint32_t)(num_int >> fpf_c), 0, int_buf); - neo430_uart_br_print(int_buf); - neo430_uart_putc('.'); - - - // compute fractional resolution - uint32_t frac_dec_base = 1; - for (i=0; i> 1; - - // compute fractional part's bit-insulation shift mask - uint32_t frac_dec_mask = 1L << (fpf_c-1); - - - // compute actual fractional part - uint32_t frac_data = num_int & ((1 << fpf_c)-1); // only keep fractional bits - uint32_t frac_sum = 1; - for (i=0; i>= 1; // go from MSB to LSB - frac_dec_base >>= 1; - } - - // print fractional part - char frac_buf[11]; - neo430_itoa((uint32_t)frac_sum, num_frac_digits_c-1, frac_buf); - frac_buf[num_frac_digits_c] = '\0'; // truncate - neo430_uart_br_print(frac_buf); -} - Index: neo430/sw/lib/neo430/source/neo430_spi.c =================================================================== --- neo430/sw/lib/neo430/source/neo430_spi.c (revision 196) +++ neo430/sw/lib/neo430/source/neo430_spi.c (nonexistent) @@ -1,98 +0,0 @@ -// ################################################################################################# -// # < neo430_spi.c - Internal SPI module driver functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_spi.h" - - -/* ------------------------------------------------------------ - * INFO Reset, configure speed and enable SPI module - * INFO SPI SCK speed: f_main/(2*PRSC), prsc = see below (control reg) - * SPI clock prescaler select: - * 0: CLK/2 - * 1: CLK/4 - * 2: CLK/8 - * 3: CLK/64 - * 4: CLK/128 - * 5: CLK/1024 - * 6: CLK/2048 - * 7: CLK/4096 - * ------------------------------------------------------------ */ -void neo430_spi_enable(uint8_t prsc) { - - SPI_CT = 0; // reset - SPI_CT = (1 << SPI_CT_EN) | (prsc< # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_gpio.h" - - -/* ------------------------------------------------------------ - * INFO Set output pin - * PARAM Pin number to be set (0..15) - * ------------------------------------------------------------ */ -void neo430_gpio_pin_set(uint8_t p) { - - GPIO_OUTPUT = GPIO_OUTPUT | (uint16_t)(1 << p); -} - - -/* ------------------------------------------------------------ - * INFO Clear output pin - * PARAM Pin number to be cleared (0..15) - * ------------------------------------------------------------ */ -void neo430_gpio_pin_clr(uint8_t p) { - - GPIO_OUTPUT = GPIO_OUTPUT & ~((uint16_t)(1 << p)); -} - - -/* ------------------------------------------------------------ - * INFO Set output port - * PARAM Output data - * ------------------------------------------------------------ */ -void neo430_gpio_port_set(uint16_t d) { - - GPIO_OUTPUT = d; -} - - -/* ------------------------------------------------------------ - * INFO Toggle output port - * PARAM Toggle output data - * ------------------------------------------------------------ */ -void neo430_gpio_port_toggle(uint16_t d) { - - GPIO_OUTPUT = GPIO_OUTPUT ^ d; -} - - -/* ------------------------------------------------------------ - * INFO Toggle output pin - * PARAM Pin number (0..15) - * ------------------------------------------------------------ */ -void neo430_gpio_pin_toggle(uint8_t p) { - - GPIO_OUTPUT = GPIO_OUTPUT ^ (uint16_t)(1 << p); -} - - -/* ------------------------------------------------------------ - * INFO Get input pin state - * PARAM Pin number (0..15) - * RETURN 0 if pin cleared, pin set otherwise - * ------------------------------------------------------------ */ -uint16_t neo430_gpio_pin_get(uint8_t p) { - - return GPIO_INPUT & (uint16_t)(1 << p); -} - - -/* ------------------------------------------------------------ - * INFO Get input port - * RETURN Input port state - * ------------------------------------------------------------ */ -uint16_t neo430_gpio_port_get(void) { - - return GPIO_INPUT; -} Index: neo430/sw/lib/neo430/source/neo430_wdt.c =================================================================== --- neo430/sw/lib/neo430/source/neo430_wdt.c (revision 196) +++ neo430/sw/lib/neo430/source/neo430_wdt.c (nonexistent) @@ -1,75 +0,0 @@ -// ################################################################################################# -// # < neo430_wdt.c - Watchdog helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_wdt.h" - - -/* ------------------------------------------------------------ - * INFO Enable watchdog - * PARAM Prescaler selection (0..7) - * ------------------------------------------------------------ */ -void neo430_wdt_enable(uint8_t prsc) { - - WDT_CT = (WDT_CT_PASSWORD<<8) | (1< triggers reset -} Index: neo430/sw/lib/neo430/source/neo430_wishbone.c =================================================================== --- neo430/sw/lib/neo430/source/neo430_wishbone.c (revision 196) +++ neo430/sw/lib/neo430/source/neo430_wishbone.c (nonexistent) @@ -1,658 +0,0 @@ -// ################################################################################################# -// # < neo430_wishbone.c - Internal Wishbone interface control functions > # -// # ********************************************************************************************* # -// # Use the normal Wishbone functions for BLOCKING access (until ACK is asserted). # -// # Use non-blocking functions (*_start, wishbone_busy, wishbone_get_data*) to prevent dead locks # -// # when accessing invalid addresses and to do things in parallel when using the Wishbone bus. # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_wishbone.h" - - -// ************************************************************************************************ -// Byte-wise access functions, with address alignment, blocking -// ************************************************************************************************ - -/* ------------------------------------------------------------ - * INFO Read 32-bit from Wishbone device (blocking), standard mode, pipelined - * PARAM 32-bit device address - * RETURN read data - * ------------------------------------------------------------ */ -uint32_t neo430_wishbone32_read32(uint32_t a) { - - // 32-bit transfer - WB32_CT = 0xF; - - // device address aligned to 32-bit + transfer trigger - WB32_RA_32bit = a & (~3); - - // wait for access to be completed - blocking! - while((WB32_CT & (1< # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_timer.h" - - -/* ------------------------------------------------------------ - * INFO Activate Timer - * ------------------------------------------------------------ */ -void neo430_timer_enable(void) { - - TMR_CT |= (1<> 1; // divide by lowest prescaler (= f/2) - - uint8_t prsc = 0; - - if (ticks == 0) - return 0xff; // frequency too high! - - // find prescaler - while(prsc < 8) { - if (ticks <= 0x0000ffff) { - break; - } - else { - if ((prsc == 2) || (prsc == 4)) { - ticks >>= 3; - } - else { - ticks >>= 1; - } - prsc++; - } - } - - TMR_THRES = (uint16_t)ticks; - *thres = (uint16_t)ticks; - TMR_CT &= ~(7< # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_muldiv.h" - -// Macros -#define muldiv_processing_delay {asm volatile("nop"); asm volatile("nop"); asm volatile("nop");} - - -/* ------------------------------------------------------------ - * INFO Unsigned 16x16-bit multiplication - * PARAM 16-bit factor a - * PARAM 16-bit factor b - * RETURN 32-bit product - * ------------------------------------------------------------ */ -uint32_t neo430_umul32(uint16_t a, uint16_t b) { - - MULDIV_OPA_RESX = a; - MULDIV_OPB_UMUL_RESY = b; - - muldiv_processing_delay // HW processing delay - - return MULDIV_R32bit; -} - - -/* ------------------------------------------------------------ - * INFO Unsigned 32x32-bit multiplication (large!) - * PARAM 32-bit factor a - * PARAM 32-bit factor b - * RETURN 32-bit product - * ------------------------------------------------------------ */ -uint32_t neo430_umul32_32(uint32_t a, uint32_t b) { - - union uint32_u tmp32; - - // get input words (16-bit) - tmp32.uint32 = a; - register uint16_t a_lo = tmp32.uint16[0]; - register uint16_t a_hi = tmp32.uint16[1]; - - tmp32.uint32 = b; - register uint16_t b_lo = tmp32.uint16[0]; - register uint16_t b_hi = tmp32.uint16[1]; - - // compute partial results and arrange in 64-bit word - MULDIV_OPA_RESX = a_lo; - MULDIV_OPB_UMUL_RESY = b_lo; - muldiv_processing_delay // HW processing delay - tmp32.uint16[0] = MULDIV_OPA_RESX; - tmp32.uint16[1] = MULDIV_OPB_UMUL_RESY; - uint32_t res32 = tmp32.uint32; - -//MULDIV_OPA_RESX = a_lo; - MULDIV_OPB_UMUL_RESY = b_hi; - muldiv_processing_delay // HW processing delay - tmp32.uint16[0] = 0; - tmp32.uint16[1] = MULDIV_OPA_RESX; - res32 += tmp32.uint32; - - MULDIV_OPA_RESX = a_hi; - MULDIV_OPB_UMUL_RESY = b_lo; - muldiv_processing_delay // HW processing delay - tmp32.uint16[0] = 0; - tmp32.uint16[1] = MULDIV_OPA_RESX; - res32 += tmp32.uint32; - - return res32; -} - - -/* ------------------------------------------------------------ - * INFO Unsigned 32x32-bit multiplication (large!) - * PARAM 32-bit factor a - * PARAM 32-bit factor b - * RETURN 64-bit product - * ------------------------------------------------------------ */ -uint64_t neo430_umul64(uint32_t a, uint32_t b) { - - union uint32_u tmp32; - union uint64_u tmp64; - - // get input words (16-bit) - tmp32.uint32 = a; - register uint16_t a_lo = tmp32.uint16[0]; - register uint16_t a_hi = tmp32.uint16[1]; - - tmp32.uint32 = b; - register uint16_t b_lo = tmp32.uint16[0]; - register uint16_t b_hi = tmp32.uint16[1]; - - // compute partial results and arrange in 64-bit word - MULDIV_OPA_RESX = a_lo; - MULDIV_OPB_UMUL_RESY = b_lo; - muldiv_processing_delay // HW processing delay - tmp64.uint16[0] = MULDIV_OPA_RESX; - tmp64.uint16[1] = MULDIV_OPB_UMUL_RESY; - tmp64.uint16[2] = 0; - tmp64.uint16[3] = 0; - uint64_t res64 = tmp64.uint64; - -//MULDIV_OPA_RESX = a_lo; - MULDIV_OPB_UMUL_RESY = b_hi; - muldiv_processing_delay // HW processing delay - tmp64.uint16[0] = 0; - tmp64.uint16[1] = MULDIV_OPA_RESX; - tmp64.uint16[2] = MULDIV_OPB_UMUL_RESY; - tmp64.uint16[3] = 0; - res64 += tmp64.uint64; - - MULDIV_OPA_RESX = a_hi; - MULDIV_OPB_UMUL_RESY = b_lo; - muldiv_processing_delay // HW processing delay - tmp64.uint16[0] = 0; - tmp64.uint16[1] = MULDIV_OPA_RESX; - tmp64.uint16[2] = MULDIV_OPB_UMUL_RESY; - tmp64.uint16[3] = 0; - res64 += tmp64.uint64; - -//MULDIV_OPA_RESX = a_hi; - MULDIV_OPB_UMUL_RESY = b_hi; - muldiv_processing_delay // HW processing delay - tmp64.uint16[0] = 0; - tmp64.uint16[1] = 0; - tmp64.uint16[2] = MULDIV_OPA_RESX; - tmp64.uint16[3] = MULDIV_OPB_UMUL_RESY; - res64 += tmp64.uint64; - - return res64; -} - - -/* ------------------------------------------------------------ - * INFO Signed 16x16-bit multiplication - * PARAM 16-bit factor a - * PARAM 16-bit factor b - * RETURN 32-bit product - * ------------------------------------------------------------ */ -int32_t neo430_mul32(int16_t a, int16_t b) { - - MULDIV_OPA_RESX = (uint16_t)a; - MULDIV_OPB_SMUL = (uint16_t)b; - - muldiv_processing_delay // HW processing delay - - int32_t r = (int32_t)MULDIV_R32bit; - - return r; -} - - -/* ------------------------------------------------------------ - * INFO Signed 32x32-bit multiplication (large!) - * PARAM 32-bit factor a - * PARAM 32-bit factor b - * RETURN 64-bit product - * ------------------------------------------------------------ */ -int64_t neo430_mul64(int32_t a, int32_t b) { - - union int32_u tmp32; - union int64_u tmp64; - - // get input words (16-bit) - tmp32.int32 = a; - register int16_t a_lo = tmp32.int16[0]; - register int16_t a_hi = tmp32.int16[1]; - - tmp32.int32 = b; - register int16_t b_lo = tmp32.int16[0]; - register int16_t b_hi = tmp32.int16[1]; - - // compute partial results and arrange in 64-bit word - MULDIV_OPA_RESX = (uint16_t)a_lo; - MULDIV_OPB_SMUL = (uint16_t)b_lo; - muldiv_processing_delay // HW processing delay - tmp64.int16[0] = MULDIV_OPA_RESX; - tmp64.int16[1] = MULDIV_OPB_UMUL_RESY; - tmp64.int16[2] = 0; - tmp64.int16[3] = 0; - int64_t res64 = tmp64.int64; - -//MULDIV_OPA_RESX = (uint16_t)a_lo; - MULDIV_OPB_SMUL = (uint16_t)b_hi; - muldiv_processing_delay // HW processing delay - tmp64.int16[0] = 0; - tmp64.int16[1] = MULDIV_OPA_RESX; - tmp64.int16[2] = MULDIV_OPB_UMUL_RESY; - if (tmp64.int16[2] < 0) // sign extension - tmp64.int16[3] = 0xffff; - else - tmp64.int16[3] = 0; - res64 += tmp64.int64; - - MULDIV_OPA_RESX = (uint16_t)a_hi; - MULDIV_OPB_SMUL = (uint16_t)b_lo; - muldiv_processing_delay // HW processing delay - tmp64.int16[0] = 0; - tmp64.int16[1] = MULDIV_OPA_RESX; - tmp64.int16[2] = MULDIV_OPB_UMUL_RESY; - if (tmp64.int16[2] < 0) // sign extension - tmp64.int16[3] = 0xffff; - else - tmp64.int16[3] = 0; - res64 += tmp64.int64; - -//MULDIV_OPA_RESX = (uint16_t)a_hi; - MULDIV_OPB_SMUL = (uint16_t)b_lo; - muldiv_processing_delay // HW processing delay - tmp64.int16[0] = 0; - tmp64.int16[1] = 0; - tmp64.int16[2] = MULDIV_OPA_RESX; - tmp64.int16[3] = MULDIV_OPB_UMUL_RESY; - res64 += tmp64.int64; - - return res64; -} - - -/* ------------------------------------------------------------ - * INFO Unsigned 16 by 16-bit division - * PARAM 16-bit dividend - * PARAM 16-bit divisor - * RETURN 16-bit quotient - * ------------------------------------------------------------ */ -uint16_t neo430_udiv16(uint16_t dividend, uint16_t divisor) { - - MULDIV_OPA_RESX = dividend; - MULDIV_OPB_UDIV = divisor; - - muldiv_processing_delay // HW processing delay - - return MULDIV_OPA_RESX; -} - - -/* ------------------------------------------------------------ - * INFO Signed 16 by 16-bit division - * PARAM 16-bit dividend - * PARAM 16-bit divisor - * RETURN 16-bit quotient - * ------------------------------------------------------------ */ -int16_t neo430_div16(int16_t dividend, int16_t divisor) { - - // make positive / unsigned - if (dividend < 0) - dividend = 0 - dividend; - if (divisor < 0) - divisor = 0 - divisor; - - MULDIV_OPA_RESX = (uint16_t)dividend; - MULDIV_OPB_UDIV = (uint16_t)divisor; - - muldiv_processing_delay // HW processing delay - - int16_t r = (int16_t)MULDIV_OPA_RESX; - - if (dividend < 0) - return 0 - r; - else - return r; -} - - -/* ------------------------------------------------------------ - * INFO Unsigned 16 by 16-bit division - * PARAM 16-bit dividend - * PARAM 16-bit divisor - * RETURN 16-bit remainder - * ------------------------------------------------------------ */ -uint16_t neo430_umod16(uint16_t dividend, uint16_t divisor) { - - MULDIV_OPA_RESX = dividend; - MULDIV_OPB_UDIV = divisor; - - muldiv_processing_delay // HW processing delay - - return MULDIV_OPB_UMUL_RESY; -} - - -/* ------------------------------------------------------------ - * INFO Signed 16 by 16-bit division - * PARAM 16-bit dividend - * PARAM 16-bit divisor - * RETURN 16-bit remainder - * ------------------------------------------------------------ */ -int16_t neo430_mod16(int16_t dividend, int16_t divisor) { - - int16_t dividend_int = dividend; - - // make positive / unsigned - if (dividend_int < 0) - dividend_int = 0 - dividend_int; - if (divisor < 0) - divisor = 0 - divisor; - - MULDIV_OPA_RESX = (uint16_t)dividend_int; - MULDIV_OPB_UDIV = (uint16_t)divisor; - - muldiv_processing_delay // HW processing delay - - int16_t r = (int16_t)MULDIV_OPB_UMUL_RESY; - - if (dividend < 0) - return 0 - r; - else - return r; -} - - -/* ------------------------------------------------------------ - * INFO Unsigned 16 by 16-bit division - * PARAM 16-bit dividend - * PARAM 16-bit divisor - * PARAM Pointer to store 16-bit remainder - * RETURN 16-bit quotient - * ------------------------------------------------------------ */ -uint16_t neo430_umoddiv16(uint16_t *remainder, uint16_t dividend, uint16_t divisor) { - - MULDIV_OPA_RESX = dividend; - MULDIV_OPB_UDIV = divisor; - - muldiv_processing_delay // HW processing delay - - *remainder = MULDIV_OPB_UMUL_RESY; - return MULDIV_OPA_RESX; -} - - -/* ------------------------------------------------------------ - * INFO Signed 16 by 16-bit division - * PARAM 16-bit dividend - * PARAM 16-bit divisor - * PARAM Pointer to store 16-bit remainder - * RETURN 16-bit quotient - * ------------------------------------------------------------ */ -int16_t neo430_moddiv16(int16_t *remainder, int16_t dividend, int16_t divisor) { - - int16_t sign = dividend ^ divisor; - int16_t dividend_int = dividend; - - // make positive / unsigned - if (dividend_int < 0) - dividend_int = 0 - dividend_int; - if (divisor < 0) - divisor = 0 - divisor; - - MULDIV_OPA_RESX = (uint16_t)dividend_int; - MULDIV_OPB_UDIV = (uint16_t)divisor; - - muldiv_processing_delay // HW processing delay - - int16_t q = (int16_t)MULDIV_OPA_RESX; - int16_t r = (int16_t)MULDIV_OPB_UMUL_RESY; - - if (dividend < 0) - *remainder = 0 - r; - else - *remainder = r; - - if (sign < 0) - return 0 - q; - else - return q; -} - - -// ***************************************************************************** -// * DANGER ZONE!!! * -// * Override primitives for multiplication to use the MULDIV hardware unit * -// ***************************************************************************** -#if NEO430_HWMUL_ABI_OVERRIDE - -int16_t __mulhi2(int16_t x, int16_t y) { - return (int16_t)neo430_umul32((uint16_t)x,(uint16_t)y); -} - -int32_t __mulhisi2(int16_t x, int16_t y) { - return neo430_mul32(x,y); -} - -uint32_t __umulhisi2(uint16_t x, uint16_t y) { - return neo430_umul32(x,y); -} - -int32_t __mulsi2(int32_t x, int32_t y) { - return (int32_t)neo430_umul32_32((uint32_t)x,(uint32_t)y); -} - -int32_t __mulsidi2(int32_t x, int32_t y) { - return neo430_mul64(x,y); -} - -uint64_t __umulsidi2(uint32_t x, uint32_t y) { - return neo430_umul64(x,y); -} - -#endif -// ***************************************************************************** Index: neo430/sw/lib/neo430/source/neo430_pwm.c =================================================================== --- neo430/sw/lib/neo430/source/neo430_pwm.c (revision 196) +++ neo430/sw/lib/neo430/source/neo430_pwm.c (nonexistent) @@ -1,91 +0,0 @@ -// ################################################################################################# -// # < neo430_pwm.c - PWM controller helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_pwm.h" - - -/* ------------------------------------------------------------ - * INFO Reset and activate PWM controller - * PARAM prsc: Clock prescaler for PWM clock - * PARAM size: 1=use 8-bit counter, 0=use 4-bit counter - * PARAM gpio_pwm: Use channel 3 for GPIO.output modulation when 1 - * ------------------------------------------------------------ */ -void neo430_pwm_enable(const uint16_t prsc, const uint16_t size, const uint16_t gpio_pwm) { - - PWM_CT = 0; // reset - PWM_CT = (1< # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_twi.h" - - -/* ------------------------------------------------------------ - * INFO Activate (and reset) TWI module - * PARAM clock prescaler - * ------------------------------------------------------------ */ -void neo430_twi_enable(uint8_t prsc) { - - TWI_CT = 0; // reset - TWI_CT = (1 << TWI_CT_EN) | (prsc << TWI_CT_PRSC0); -} - - -/* ------------------------------------------------------------ - * INFO Deactivate TWI module - * ------------------------------------------------------------ */ -void neo430_twi_disable(void) { - - TWI_CT = 0; -} - - -/* ------------------------------------------------------------ - * INFO Activate sending ACK by master after transmission - * ------------------------------------------------------------ */ -void neo430_twi_mack_enable(void) { - - TWI_CT |= (1 << TWI_CT_MACK); -} - - -/* ------------------------------------------------------------ - * INFO Deactivate sending ACK by master after transmission (normal mode) - * ACK is sampled from slave - * ------------------------------------------------------------ */ -void neo430_twi_mack_disable(void) { - - TWI_CT &= ~(1 << TWI_CT_MACK); -} - - -/* ------------------------------------------------------------ - * INFO Generate START condition and send first byte (address & R/W) - * PARAM 8-bit including 7-bit address and read/write bit - * RETURN 0 if ACK received, 1 if no valid ACK was received - * ------------------------------------------------------------ */ -uint8_t neo430_twi_start_trans(uint8_t a) { - - neo430_twi_generate_start(); // generate START condition - - TWI_DATA = (uint16_t)a; // send address - while(TWI_CT & (1 << TWI_CT_BUSY)); // wait until idle again - - // check for ACK/NACK - if (TWI_DATA & (1 << TWI_DT_ACK)) - return 0; // ACK received - else - return 1; // NACK received -} - - -/* ------------------------------------------------------------ - * INFO Send data and also sample input data - * PARAM Data byte to be sent - * RETURN 0 if ACK received, 1 if no valid ACK was received - * ------------------------------------------------------------ */ -uint8_t neo430_twi_trans(uint8_t d) { - - TWI_DATA = (uint16_t)d; // send data - while(TWI_CT & (1 << TWI_CT_BUSY)); // wait until idle again - - // check for ACK/NACK - if (TWI_DATA & (1 << TWI_DT_ACK)) - return 0; // ACK received - else - return 1; // NACK received -} - - -/* ------------------------------------------------------------ - * INFO Get rx data from previous transmission - * RETURN Last received data byte - * ------------------------------------------------------------ */ -uint8_t neo430_twi_get_data(void) { - - return (uint8_t)TWI_DATA; // get RX data from previous transmission -} - - -/* ------------------------------------------------------------ - * INFO Generate STOP condition - * ------------------------------------------------------------ */ -void neo430_twi_generate_stop(void) { - - TWI_CT |= (1 << TWI_CT_STOP); // generate STOP condition - while(TWI_CT & (1 << TWI_CT_BUSY)); // wait until idle again -} - - -/* ------------------------------------------------------------ - * INFO Generate START condition - * ------------------------------------------------------------ */ -void neo430_twi_generate_start(void) { - - TWI_CT |= (1 << TWI_CT_START); // generate START condition - while(TWI_CT & (1 << TWI_CT_BUSY)); // wait until idle again -} Index: neo430/sw/lib/neo430/source/neo430_freq_gen.c =================================================================== --- neo430/sw/lib/neo430/source/neo430_freq_gen.c (revision 196) +++ neo430/sw/lib/neo430/source/neo430_freq_gen.c (nonexistent) @@ -1,205 +0,0 @@ -// ################################################################################################# -// # < neo430_freq_gen.c - Frequency Generator helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_freq_gen.h" - -// Private function prototypes -static uint32_t neo430_freq_gen_nco_real_output(uint16_t tuning_word, uint16_t prsc_shift); - - -/* ------------------------------------------------------------ - * INFO Enable programmable frequency output channel ch (0..2) - * ------------------------------------------------------------ */ -void neo430_freq_gen_enable_ch(uint16_t ch) { - - if (ch > 2) { - return; - } - - FREQ_GEN_CT |= (1 << (FREQ_GEN_CT_CH0_EN+ch)); -} - - -/* ------------------------------------------------------------ - * INFO Disable programmable frequency output channel ch (0..2) - * ------------------------------------------------------------ */ -void neo430_freq_gen_disable_ch(uint16_t ch) { - - if (ch > 2) { - return; - } - - FREQ_GEN_CT &= ~(1 << (FREQ_GEN_CT_CH0_EN+ch)); -} - - -/* ------------------------------------------------------------ - * INFO Disable all programmable frequency outputs - * ------------------------------------------------------------ */ -void neo430_freq_gen_disable(void) { - - register uint16_t ct = FREQ_GEN_CT; - ct &= ~(1 << FREQ_GEN_CT_CH0_EN); - ct &= ~(1 << FREQ_GEN_CT_CH1_EN); - ct &= ~(1 << FREQ_GEN_CT_CH2_EN); - FREQ_GEN_CT = ct; -} - - -/* ------------------------------------------------------------ - * INFO Disable all programmable frequency outputs and reset unit - * ------------------------------------------------------------ */ -void neo430_freq_gen_reset(void) { - - FREQ_GEN_CT = 0; -} - - -/* ------------------------------------------------------------ - * INFO Set frequency programmable frequency output - * INFO f_out = ((f_cpu / nco_prsc) * tuning_word[15:0]) / 2^17 - * WARNING Imprecise due to rounding/truncation errors! - * PARAM ch channel to configure (0..2) - * PARAM frequency: output frequency in Hz (no fractions possible here) - * RETURN the actual output frequency - * ------------------------------------------------------------ */ -uint32_t neo430_freq_gen_set_freq(uint16_t ch, uint32_t frequency) { - - // tuning_word = (f_out * 2^17) / (f_cpu / nco_prsc) - - uint32_t f_cpu = CLOCKSPEED_32bit; - - int16_t i; - uint16_t prsc_shift = 12; // start with highest prescaler (4096 => 12) - - if (frequency > (f_cpu/4)) { - return 0; - } - - uint64_t freq_tmp; - uint32_t freq_real; - uint32_t freq_diff; - - uint32_t freq_diff_best = 0xffffffff; // max - uint16_t tuning_word_best = 0; - uint16_t prsc_best = 0; - uint32_t freq_real_best = 0; - - // check all possible prescaler - for(i=7; i>=0; i--) { - - freq_tmp = (uint64_t)frequency; - freq_tmp = freq_tmp << (17 + prsc_shift); // multiply via bit shifts - freq_tmp = freq_tmp / f_cpu; - - uint16_t tuning_word = (uint16_t)(freq_tmp); - - // add 1 to tuning word (for rounding issues) - freq_real = neo430_freq_gen_nco_real_output(tuning_word+1, prsc_shift); - - freq_diff = freq_real - frequency; - if ((int32_t)freq_diff < 0) { - freq_diff = 0 - freq_diff; - } - - // best result yet? - if (freq_diff < freq_diff_best) { - tuning_word_best = tuning_word; - prsc_best = i; - freq_diff_best = freq_diff; - freq_real_best = freq_real; - } - - // compute next prescaler - if ((i == 5) || (i == 3)) { - prsc_shift = prsc_shift - 3; - } - else { - prsc_shift = prsc_shift - 1; - } - } - - - // set tuning word and prescaler - neo430_freq_gen_set(ch, tuning_word_best, prsc_best); - - return freq_real_best; -} - - -/* ------------------------------------------------------------ - * INFO Compute actual NCO output frequency based on tuning word and prescaler - * RETURN the actual output frequency in Hz - * ------------------------------------------------------------ */ -static uint32_t neo430_freq_gen_nco_real_output(uint16_t tuning_word, uint16_t prsc_shift) { - - // f_out = ((f_cpu/nco_prsc) * tuning_word[15:0]) / 2^17 - - uint32_t f_cpu = CLOCKSPEED_32bit; - uint64_t f_out = (uint64_t)f_cpu; - f_out = f_out * tuning_word; - f_out = f_out >> (17 + prsc_shift); // divide by 2^17 * PRSC - - return (uint32_t)f_out; -} - - -/* ------------------------------------------------------------ - * INFO Set HW configuration - * PARAM ch channel 0,1,2 - * PARAM 16-bit tuning word - * PARAM 3-bit prescaler selector (0,...,7) - * ------------------------------------------------------------ */ -void neo430_freq_gen_set(uint16_t ch, uint16_t tuning_word, uint16_t prsc) { - - // set tuning word and prescaler - register uint16_t ctrl = FREQ_GEN_CT; - if (ch == 0) { - FREQ_GEN_TW_CH0 = tuning_word; - ctrl &= ~(0b111 << FREQ_GEN_CT_CH0_PRSC0); // clear old prescaler config - ctrl |= (prsc << FREQ_GEN_CT_CH0_PRSC0); // set new prescaler config - } - else if (ch == 1) { - FREQ_GEN_TW_CH1 = tuning_word; - ctrl &= ~(0b111 << FREQ_GEN_CT_CH1_PRSC0); // clear old prescaler config - ctrl |= (prsc << FREQ_GEN_CT_CH1_PRSC0); // set new prescaler config - } - else if (ch == 2) { - FREQ_GEN_TW_CH2 = tuning_word; - ctrl &= ~(0b111 << FREQ_GEN_CT_CH2_PRSC0); // clear old prescaler config - ctrl |= (prsc << FREQ_GEN_CT_CH2_PRSC0); // set new prescaler config - } - FREQ_GEN_CT = ctrl; -} Index: neo430/sw/lib/neo430/source/neo430_crc.c =================================================================== --- neo430/sw/lib/neo430/source/neo430_crc.c (revision 196) +++ neo430/sw/lib/neo430/source/neo430_crc.c (nonexistent) @@ -1,146 +0,0 @@ -// ################################################################################################# -// # < neo430_crc.c - CRC module helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_crc.h" - - -/* ------------------------------------------------------------ - * INFO Compute CRC16 from buffer - * PARAM start_val: Start value for CRC shift register - * PARAM polynomial: 16-bit polynomial XOR mask - * PARAM data: Pointer to BYTE input data array - * PARAM length: Number of elements in input data array - * RETURN CRC16 result - * ------------------------------------------------------------ */ -uint16_t neo430_crc16(uint16_t start_val, uint16_t polynomial, uint8_t *data, uint16_t length) { - - CRC_POLY_LO = polynomial; - CRC_RESX = start_val; - - while(length) { - CRC_CRC16IN = (uint16_t)(*data++); // no wait required here - length--; - } - - return CRC_RESX; -} - - -/* ------------------------------------------------------------ - * INFO Compute CRC32 from buffer - * PARAM start_val: Start value for CRC shift register - * PARAM polynomial: 32-bit polynomial XOR mask - * PARAM data: Pointer to BYTE input data array - * PARAM length: Number of elements in input data array - * RETURN CRC32 result - * ------------------------------------------------------------ */ -uint32_t neo430_crc32(uint32_t start_val, uint32_t polynomial, uint8_t *data, uint16_t length) { - - CRC_POLY32bit = polynomial; - CRC_R32bit = start_val; - - while(length) { - CRC_CRC32IN = (uint16_t)(*data++); // no wait required here - length--; - } - - return CRC_R32bit; -} - - -/* ------------------------------------------------------------ - * INFO Initialize start value for CRC16 - * PARAM 16-bit CRC shift reg start value - * ------------------------------------------------------------ */ -void neo430_crc16_set_start_value(uint16_t start_val) { - - CRC_RESX = start_val; -} - - -/* ------------------------------------------------------------ - * INFO Initialize start value for CRC32 - * PARAM 32-bit CRC shift reg start value - * ------------------------------------------------------------ */ -void neo430_crc32_set_start_value(uint32_t start_val) { - - CRC_R32bit = start_val; -} - - -/* ------------------------------------------------------------ - * INFO Set polynomial mask for CRC16 - * PARAM 16-bit CRC16 polynomial XOR mask - * ------------------------------------------------------------ */ -void neo430_crc16_set_polynomial(uint16_t poly) { - - CRC_POLY_LO = poly; -} - - -/* ------------------------------------------------------------ - * INFO Set polynomial mask for CRC32 - * PARAM 32-bit CRC16 polynomial XOR mask - * ------------------------------------------------------------ */ -void neo430_crc32_set_polynomial(uint32_t poly) { - - CRC_POLY32bit = poly; -} - - -/* ------------------------------------------------------------ - * INFO Compute CRC16 for one new data byte - * PARAM 8-bit data input - * RETURN Current result of CRC16 shift reg - * ------------------------------------------------------------ */ -uint16_t neo430_crc16_iterate(uint8_t data) { - - CRC_CRC16IN = (uint16_t)data; - asm volatile ("nop"); - return CRC_RESX; -} - - -/* ------------------------------------------------------------ - * INFO Compute CRC32 for one new data byte - * PARAM 8-bit data input - * RETURN Current result of CRC32 shift reg - * ------------------------------------------------------------ */ -uint32_t neo430_crc32_iterate(uint8_t data) { - - CRC_CRC32IN = (uint16_t)data; - asm volatile ("nop"); - return CRC_R32bit; -} Index: neo430/sw/lib/neo430/source/neo430_cpu.c =================================================================== --- neo430/sw/lib/neo430/source/neo430_cpu.c (revision 196) +++ neo430/sw/lib/neo430/source/neo430_cpu.c (nonexistent) @@ -1,381 +0,0 @@ -// ################################################################################################# -// # < neo430_cpu.c - CPU helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_cpu.h" - -// Private variables -static uint16_t __neo430_sreg __attribute__((unused)); // do not ouput a warning when this variable is unused - - -/* ------------------------------------------------------------ - * INFO Beginning of critical section (store SREG and disable interrupts) - * ------------------------------------------------------------ */ -void neo430_critical_start(void) { - - register uint16_t d; - asm volatile ("mov r2, %0" : "=r" (d)); - __neo430_sreg = d; // store current SREG - - asm volatile ("dint"); - asm volatile ("nop"); -} - - -/* ------------------------------------------------------------ - * INFO End of critical section (restore original SREG) - * ------------------------------------------------------------ */ -void neo430_critical_end(void) { - - register uint16_t r = __neo430_sreg; - asm volatile ("mov %0, r2" : : "r" (r)); -} - - -/* ------------------------------------------------------------ - * INFO Enable global interrupt flag - * ------------------------------------------------------------ */ -void neo430_eint(void){ - - asm volatile ("eint"); - asm volatile ("nop"); -} - - -/* ------------------------------------------------------------ - * INFO Disable global interrupt flag - * ------------------------------------------------------------ */ -void neo430_dint(void){ - - asm volatile ("dint"); - asm volatile ("nop"); -} - - -/* ------------------------------------------------------------ - * INFO Read stack pointer (for debugging only) - * RETURN current stack pointer - * ------------------------------------------------------------ */ -uint16_t neo430_get_sp(void){ - - register uint16_t d; - asm volatile ("mov r1, %0" : "=r" (d)); - uint16_t r = d; - return r; -} - - -/* ------------------------------------------------------------ - * INFO Read status register - * RETURN current status register - * ------------------------------------------------------------ */ -uint16_t neo430_get_sreg(void){ - - register uint16_t d; - asm volatile ("mov r2, %0" : "=r" (d)); - uint16_t r = d; - return r; -} - - -/* ------------------------------------------------------------ - * INFO Set status register - * PARAM d new value for status register - * ------------------------------------------------------------ */ -void neo430_set_sreg(uint16_t d){ - - register uint16_t r = d; - asm volatile ("mov %0, r2" : : "r" (r)); -} - - -/* ------------------------------------------------------------ - * INFO Get parity of value - * WARNING MAKE SURE THIS OPTION IS SYNTHESIZED (package switch "use_ext_alu_c")!!! - * PARAM d input value - * RETURN Resulting parity (1=even number of 1s, 0=odd number of 1s) - * ------------------------------------------------------------ */ -uint16_t neo430_get_parity(uint16_t d){ - - register uint16_t r = d; - asm volatile ("mov %0, %0" : "=r" (r) : "r" (r)); // just get value through alu - - if (neo430_get_sreg() & (1< microseconds (not very precise!) - * PARAM ms time in microseconds to wait - * ------------------------------------------------------------ */ -void neo430_cpu_delay_ms(uint16_t ms) { - - // empirical ;) - uint32_t a = ((uint32_t)CLOCKSPEED_HI) << 1; - register uint32_t cnt = a * (uint32_t)ms; - - while(cnt--) { - asm volatile ("nop"); - } -} - - -/* ------------------------------------------------------------ - * INFO Perform a soft reset by jumping to beginning of IMEM - * ------------------------------------------------------------ */ -void neo430_soft_reset(void) { - - asm volatile ("mov #0x0000, r0"); -} - - -/* ------------------------------------------------------------ - * INFO Jump to address - * PARAM Destination address - * ------------------------------------------------------------ */ -void neo430_jump_address(uint16_t addr) { - - register uint16_t r = addr; - asm volatile ("mov %0, r0" : : "r" (r)); -} - - -/* ------------------------------------------------------------ - * INFO Call address and save return address to stack - * PARAM Destination address - * ------------------------------------------------------------ */ -void neo430_call_address(uint16_t addr) { - - register uint16_t r = addr; - asm volatile ("call %0" : : "r" (r)); -} - - -/* ------------------------------------------------------------ - * INFO Perform byte swap of 16-bit word (e.g., for endianness conversion) - * PARAM 16-bit input word - * RETURN 16-bit word with swapped bytes - * ------------------------------------------------------------ */ -uint16_t neo430_bswap(uint16_t a) { - - register uint16_t r = a; - asm volatile ("swpb %0, %1" : "=r" (r) : "r" (r)); - return r; -} - - -/* ------------------------------------------------------------ - * INFO Combine two bytes into one word - * PARAM hi will be put in result's high byte - * PARAM lo will be put in result's low byte - * RETURN 16-bit combined word - * ------------------------------------------------------------ */ -uint16_t neo430_combine_bytes(uint8_t hi, uint8_t lo) { - - register uint16_t r = neo430_bswap((uint16_t)hi); - return r | (uint16_t)lo; -} - - -/* ------------------------------------------------------------ - * INFO Memory initialization (byte-wise) - * PARAM dst: Byte-pointer to beginning of target memory space - * PARAM data: Init data - * PARAM num: Number of bytes to initialize - * ------------------------------------------------------------ */ -void neo430_memset(uint8_t *dst, uint8_t data, uint16_t num) { - - while (num--) - *dst++ = data; -} - - -/* ------------------------------------------------------------ - * INFO Compare memory to memory - * PARAM dst: Pointer to beginning of first memory space - * PARAM src: Pointer to beginning of second memory space - * PARAM num: Number of bytes to compare - * RETURN 0 if src == dst - * ------------------------------------------------------------ */ -uint8_t neo430_memcmp(uint8_t *dst, uint8_t *src, uint16_t num) { - - while (num--) { - if (*dst++ != *src++) - return 1; - } - return 0; -} - - -/* ------------------------------------------------------------ - * INFO Copy memory space SRC to DST (byte by byte) - * PARAM dst: Pointer to beginning destination memory space - * PARAM src: Pointer to beginning source memory space - * PARAM num: Number of bytes to copy - * ------------------------------------------------------------ */ -void neo430_memcpy(uint8_t *dst, uint8_t *src, uint16_t num) { - - while (num--) - *dst++ = *src++; -} - - -/* ------------------------------------------------------------ - * INFO 16-bit bit reversal - * PARAM input operand to be reversed - * RETURN reversed bit pattern - * ------------------------------------------------------------ */ -uint16_t neo430_bit_rev16(uint16_t x) { - - register uint16_t z = x; - register uint16_t y = 0; - uint8_t i = 0; - - for (i=0; i<8; i++) { // two-times unrolled - asm volatile ("rrc %[a], %[b]" : [b] "=r" (z) : "[b]" (z), [a] "r" (z)); - asm volatile ("rlc %[c], %[d]" : [d] "=r" (y) : "[d]" (y), [c] "r" (y)); - - asm volatile ("rrc %[a], %[b]" : [b] "=r" (z) : "[b]" (z), [a] "r" (z)); - asm volatile ("rlc %[c], %[d]" : [d] "=r" (y) : "[d]" (y), [c] "r" (y)); - } - return y; -} - - -/* ------------------------------------------------------------ - * INFO rotate word right by one position - * PARAM input operand to be rotated - * RETURN rotated result - * ------------------------------------------------------------ */ -uint16_t neo430_rotate_right_w(uint16_t x) { - - uint16_t tmp = x; - asm volatile ("rrc.w %[b]" : [b] "=r" (tmp) : "[b]" (tmp)); // get carry flag - asm volatile ("rrc.w %[b]" : [b] "=r" (x) : "[b]" (x)); // rotate input with according carry input - return x; -} - - -/* ------------------------------------------------------------ - * INFO rotate word left by one position - * PARAM input operand to be rotated - * RETURN rotated result - * ------------------------------------------------------------ */ -uint16_t neo430_rotate_left_w(uint16_t x) { - - uint16_t tmp = x; - asm volatile ("rlc.w %[b]" : [b] "=r" (tmp) : "[b]" (tmp)); // get carry flag - asm volatile ("rlc.w %[b]" : [b] "=r" (x) : "[b]" (x)); // rotate input with according carry input - return x; -} - - -/* ------------------------------------------------------------ - * INFO rotate byte right by one position - * PARAM input operand to be rotated - * RETURN rotated result - * ------------------------------------------------------------ */ -uint8_t neo430_rotate_right_b(uint8_t x) { - - uint8_t tmp = x; - asm volatile ("rrc.b %[b]" : [b] "=r" (tmp) : "[b]" (tmp)); // get carry flag - asm volatile ("rrc.b %[b]" : [b] "=r" (x) : "[b]" (x)); // rotate input with according carry input - return x; -} - - -/* ------------------------------------------------------------ - * INFO rotate byte left by one position - * PARAM input operand to be rotated - * RETURN rotated result - * ------------------------------------------------------------ */ -uint8_t neo430_rotate_left_b(uint8_t x) { - - uint8_t tmp = x; - asm volatile ("rlc.b %[b]" : [b] "=r" (tmp) : "[b]" (tmp)); // get carry flag - asm volatile ("rlc.b %[b]" : [b] "=r" (x) : "[b]" (x)); // rotate input with according carry input - return x; -} - - -/* ------------------------------------------------------------ - * INFO Pseudo-random number generator - * RETURN 32-bit random data - * ------------------------------------------------------------ */ -uint32_t neo430_xorshift32(void) { - - static uint32_t x32 = 314159265; - - x32 ^= x32 << 13; - x32 ^= x32 >> 17; - x32 ^= x32 << 5; - - return x32; -} Index: neo430/sw/lib/neo430/source/neo430_exirq.c =================================================================== --- neo430/sw/lib/neo430/source/neo430_exirq.c (revision 196) +++ neo430/sw/lib/neo430/source/neo430_exirq.c (nonexistent) @@ -1,117 +0,0 @@ -// ################################################################################################# -// # < neo430_exirqt.c - External interrupts controler driver functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include "neo430.h" -#include "neo430_exirq.h" - -// Private variables -static uint16_t neo430_exirq_vectors[8] __attribute__((unused)); // do not ouput a warning when this variable is unused - -// Private function prototypes -static void __attribute__((__interrupt__)) _exirq_irq_handler_(void); - - -/* ------------------------------------------------------------ - * INFO Enable external interrupts controller - * ------------------------------------------------------------ */ -void neo430_exirq_enable(void) { - - EXIRQ_CT |= (1< # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_pwm_h -#define neo430_pwm_h - -// prototypes -void neo430_pwm_enable(const uint16_t prsc, const uint16_t size, const uint16_t gpio_pwm); -void neo430_pwm_disable(void); -void neo430_pwm_set(uint8_t channel, uint8_t dc); - -#endif // neo430_pwm_h Index: neo430/sw/lib/neo430/include/neo430_twi.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_twi.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_twi.h (nonexistent) @@ -1,49 +0,0 @@ -// ################################################################################################# -// # < neo430_twi.h - Internal Two Wire Serial interface functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_twi_h -#define neo430_twi_h - -// prototypes -void neo430_twi_enable(uint8_t prsc); // configure and activate TWI module -void neo430_twi_disable(void); // deactivate TWI module -void neo430_twi_mack_enable(void); // activate ACK sending by master after transmission -void neo430_twi_mack_disable(void); // deactivate ACK sending by master after transmission (normal mode) -uint8_t neo430_twi_start_trans(uint8_t a); // start transmission (START) and send address and r/w bit -uint8_t neo430_twi_trans(uint8_t d); // send byte to active slave -uint8_t neo430_twi_get_data(void); // get last received data byte -void neo430_twi_generate_stop(void); // stop transmission (STOP) -void neo430_twi_generate_start(void); // generate start condition - -#endif // neo430_twi_h Index: neo430/sw/lib/neo430/include/neo430_freq_gen.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_freq_gen.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_freq_gen.h (nonexistent) @@ -1,46 +0,0 @@ -// ################################################################################################# -// # < neo430_freq_gen.h - Frequency Generator helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_freq_gen_h -#define neo430_freq_gen_h - -// prototypes -void neo430_freq_gen_enable_ch(uint16_t ch); // enable programmable frequency output channel ch -void neo430_freq_gen_disable_ch(uint16_t ch); // disable programmable frequency output channel ch -void neo430_freq_gen_disable(void); // disable all outputs -void neo430_freq_gen_reset(void); // disable all outputs and reset device -uint32_t neo430_freq_gen_set_freq(uint16_t ch, uint32_t frequency); // set programmable output frequency, returns actual output frequency -void neo430_freq_gen_set(uint16_t ch, uint16_t tuning_word, uint16_t prsc); // set HW configuration - -#endif // neo430_freq_gen_h Index: neo430/sw/lib/neo430/include/neo430_crc.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_crc.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_crc.h (nonexistent) @@ -1,49 +0,0 @@ -// ################################################################################################# -// # < neo430_crc.h - CRC module helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_crc_h -#define neo430_crc_h - -// prototypes -uint16_t neo430_crc16(uint16_t start_val, uint16_t polynomial, uint8_t *data, uint16_t length); -uint32_t neo430_crc32(uint32_t start_val, uint32_t polynomial, uint8_t *data, uint16_t length); - -void neo430_crc16_set_start_value(uint16_t start_val); -void neo430_crc32_set_start_value(uint32_t start_val); -void neo430_crc16_set_polynomial(uint16_t poly); -void neo430_crc32_set_polynomial(uint32_t poly); -uint16_t neo430_crc16_iterate(uint8_t data); -uint32_t neo430_crc32_iterate(uint8_t data); - -#endif // neo430_crc_h Index: neo430/sw/lib/neo430/include/neo430_cpu.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_cpu.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_cpu.h (nonexistent) @@ -1,66 +0,0 @@ -// ################################################################################################# -// # < neo430_cpu.h - CPU helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_cpu_h -#define neo430_cpu_h - -// prototypes -void neo430_critical_start(void); // start critical section -void neo430_critical_end(void); // end critical section -void neo430_eint(void); // enable global interrupts -void neo430_dint(void); // disable global interrupts -uint16_t neo430_get_sp(void); // get stack pointer -uint16_t neo430_get_sreg(void); // get status register -void neo430_set_sreg(uint16_t d); // set status register -uint16_t neo430_get_parity(uint16_t d); // get parity (EXTENDED ALU OPERATION!) -void neo430_sleep(void); // set CPU to sleep mode -void neo430_clear_irq_buffer(void); // clear pending IRQs -void neo430_cpu_delay(uint16_t t); // wait cycles -void neo430_cpu_delay_ms(uint16_t ms); // wait ms -void neo430_soft_reset(void); // perform soft reset -void neo430_jump_address(uint16_t addr); // jump to certain address -void neo430_call_address(uint16_t addr); // call certain address -uint16_t neo430_bswap(uint16_t a); // swap bytes in word -uint16_t neo430_combine_bytes(uint8_t hi, uint8_t lo); // combine two bytes into a single word -void neo430_memset(uint8_t *dst, uint8_t data, uint16_t num); // set num bytes in memory -uint8_t neo430_memcmp(uint8_t *dst, uint8_t *src, uint16_t num); // compare num bytes in memory -void neo430_memcpy(uint8_t *dst, uint8_t *src, uint16_t num); // copy num bytes from memory to memory -uint16_t neo430_bit_rev16(uint16_t x); // reverse bit order of word -uint16_t neo430_rotate_right_w(uint16_t x); // rotate right word by one bit -uint16_t neo430_rotate_left_w(uint16_t x); // rotate left word by one bit -uint8_t neo430_rotate_right_b(uint8_t x); // rotate right byte by one bit -uint8_t neo430_rotate_left_b(uint8_t x); // rotate left byte by one bit -uint32_t neo430_xorshift32(void); // simple PRNG - -#endif // neo430_cpu_h Index: neo430/sw/lib/neo430/include/neo430_exirq.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_exirq.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_exirq.h (nonexistent) @@ -1,50 +0,0 @@ -// ################################################################################################# -// # < neo430_exirqt.h - External interrupts controler driver functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_exirq_h -#define neo430_exirq_h - -// data types -struct neo430_exirq_config_t { - uint16_t address[8]; - uint8_t enable; -}; - -// prototypes -void neo430_exirq_enable(void); // activate EXIRQ controller -void neo430_exirq_disable(void); // deactivate EXIRQ controller -void neo430_exirq_config(struct neo430_exirq_config_t config); // configure EXIRQ controller -void neo430_exirq_sw_irq(uint8_t id); // trigger interrupt by software - -#endif // neo430_exirq_h Index: neo430/sw/lib/neo430/include/neo430_trng.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_trng.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_trng.h (nonexistent) @@ -1,43 +0,0 @@ -// ################################################################################################# -// # < neo430_trng.h - True random number generator helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_trng_h -#define neo430_trng_h - -// prototypes -void neo430_trng_enable(uint16_t tap_mask); // set TRNG's tap mask and enable TRNG -void neo430_trng_disable(void); // disable TRNG -uint16_t neo430_trng_get(uint8_t *data); // read new random byte from TRNG and check if valid - -#endif // neo430_trng_h Index: neo430/sw/lib/neo430/include/neo430_uart.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_uart.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_uart.h (nonexistent) @@ -1,66 +0,0 @@ -// ################################################################################################# -// # < neo430_uart.h - Internal UART driver functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_uart_h -#define neo430_uart_h - -// Libs required by functions -#include - -// prototypes -void neo430_uart_setup(uint32_t baudrate); // activate and configure UART -void neo430_uart_disable(void); // deactivate uart -uint32_t neo430_uart_get_baudrate(void); // compute actual baudrate using UART's current configuration -void neo430_uart_putc(char c); // send single char -char neo430_uart_getc(void); // wait and read single char -uint16_t neo430_uart_char_received(void); // test if a char has been received -char neo430_uart_char_read(void); // get a received char -void neo430_uart_print(char *s); // print a text string -void neo430_uart_br_print(char *s); // print a text string and allow easy line breaks -uint16_t neo430_uart_scan(char *buffer, uint16_t max_size, uint16_t echo); // read several chars into buffer -void neo430_uart_print_hex_char(char c); // print byte as a hex char -void neo430_uart_print_hex_byte(uint8_t b); // print byte as 2 hex numbers -void neo430_uart_print_hex_word(uint16_t w); // print word as 4 hex numbers -void neo430_uart_print_hex_dword(uint32_t dw); // print double word as 8 hex numbers -void neo430_uart_print_hex_qword(uint64_t qw); // print quad word as 16 hex numbers -void neo430_uart_print_bin_byte(uint8_t b); // print byte in binary form -void neo430_uart_print_bin_word(uint16_t w); // print word in binary form -void neo430_uart_print_bin_dword(uint32_t dw); // print double word in binary form -void neo430_itoa(uint32_t x, uint16_t leading_zeros, char *res); // convert double word to decimal number -void neo430_printf(char *format, ...); // print format string -uint32_t neo430_hexstr_to_uint(char *buffer, uint8_t length); // convert hex string to number -void neo430_uart_bs(uint16_t n); // return terminal cursor n positions -void neo430_uart_print_fpf_32(int32_t num, uint16_t fpf_c, uint16_t num_frac_digits_c); // print fixed-point number - -#endif // neo430_uart_h Index: neo430/sw/lib/neo430/include/neo430_spi.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_spi.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_spi.h (nonexistent) @@ -1,45 +0,0 @@ -// ################################################################################################# -// # < neo430_spi.h - Internal SPI module driver functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_spi_h -#define neo430_spi_h - -// prototypes -void neo430_spi_enable(uint8_t prsc); // configure speed and activate SPI module -void neo430_spi_disable(void); // deactivate SPI module -void neo430_spi_cs_en(uint8_t cs); // select slave -void neo430_spi_cs_dis(void); // deselect all slaves -uint16_t neo430_spi_trans(uint16_t d); // RTX transfer - -#endif // neo430_spi_h Index: neo430/sw/lib/neo430/include/neo430_wdt.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_wdt.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_wdt.h (nonexistent) @@ -1,44 +0,0 @@ -// ################################################################################################# -// # < neo430_wdt.h - Watchdog helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_wdt_h -#define neo430_wdt_h - -// prototypes -void neo430_wdt_enable(uint8_t prsc); -void neo430_wdt_disable(void); -void neo430_wdt_reset(void); -void neo430_wdt_force_hw_reset(void); - -#endif // neo430_wdt_h Index: neo430/sw/lib/neo430/include/neo430_gpio.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_gpio.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_gpio.h (nonexistent) @@ -1,47 +0,0 @@ -// ################################################################################################# -// # < neo430_gpio.h - PIO controller helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_gpio_h -#define neo430_gpio_h - -// prototypes -void neo430_gpio_pin_set(uint8_t p); -void neo430_gpio_pin_clr(uint8_t p); -void neo430_gpio_port_set(uint16_t d); -void neo430_gpio_port_toggle(uint16_t d); -void neo430_gpio_pin_toggle(uint8_t p); -uint16_t neo430_gpio_pin_get(uint8_t p); -uint16_t neo430_gpio_port_get(void); - -#endif // neo430_gpio_h Index: neo430/sw/lib/neo430/include/neo430_wishbone.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_wishbone.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_wishbone.h (nonexistent) @@ -1,105 +0,0 @@ -// ################################################################################################# -// # < neo430_wishbone.h - Internal Wishbone interface control functions > # -// # ********************************************************************************************* # -// # Use the normal Wishbone functions for BLOCKING access (until ACK is asserted). # -// # Use non-blocking functions (*_start, wishbone_busy, wishbone_get_data*) to prevent dead locks # -// # when accessing invalid addresses and to do things in parallel when using the Wishbone bus. # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_wishbone_h -#define neo430_wishbone_h - -// prototypes blocking functions for 32-bit data bus width -uint32_t neo430_wishbone32_read32(uint32_t a); -void neo430_wishbone32_write32(uint32_t a, uint32_t d); - -uint16_t neo430_wishbone32_read16(uint32_t a); // This function performs a data alignment based on the address! -void neo430_wishbone32_write16(uint32_t a, uint16_t d); // This function performs a data alignment based on the address! - -uint8_t neo430_wishbone32_read8(uint32_t a); // This function performs a data alignment based on the address! -void neo430_wishbone32_write8(uint32_t a, uint8_t d); // This function performs a data alignment based on the address! - -// prototypes non-blocking functions for 32-bit data bus width -void neo430_wishbone32_read32_start(uint32_t a); -void neo430_wishbone32_write32_start(uint32_t a, uint32_t d); - -void neo430_wishbone32_read16_start(uint32_t a); -void neo430_wishbone32_write16_start(uint32_t a, uint16_t d); // This function performs a data alignment based on the address! - -void neo430_wishbone32_read8_start(uint32_t a); -void neo430_wishbone32_write8_start(uint32_t a, uint8_t d); // This function performs a data alignment based on the address! - -uint32_t neo430_wishbone32_get_data32(void); -uint16_t neo430_wishbone32_get_data16(uint32_t a); // This function performs a data alignment based on the address! -uint8_t neo430_wishbone32_get_data8(uint32_t a); // This function performs a data alignment based on the address! - -// ------------- - -// prototypes blocking functions for 32-bit data bus width using NO ADDRESS ALIGNMENT -uint32_t neo430_wishbone32_read(uint32_t a); -void neo430_wishbone32_write(uint32_t a, uint32_t d); - -// prototypes non-blocking functions for 32-bit data bus width using NO ADDRESS ALIGNMENT -void neo430_wishbone32_read_start(uint32_t a); -void neo430_wishbone32_write_start(uint32_t a, uint32_t d); -uint32_t neo430_wishbone32_get_data(void); - -// ------------- - -// prototypes blocking functions for 16-bit data bus width using NO ADDRESS ALIGNMENT -uint16_t neo430_wishbone16_read(uint32_t a); -void neo430_wishbone16_write(uint32_t a, uint16_t d); - -// prototypes non-blocking functions for 16-bit data bus width using NO ADDRESS ALIGNMENT -void neo430_wishbone16_read_start(uint32_t a); -void neo430_wishbone16_write_start(uint32_t a, uint16_t d); -uint16_t neo430_wishbone16_get_data(void); - -// ------------- - -// prototypes blocking functions for 8-bit data bus width using NO ADDRESS ALIGNMENT -uint8_t neo430_wishbone8_read(uint32_t a); -void neo430_wishbone8_write(uint32_t a, uint8_t d); - -// prototypes non-blocking functions for 8-bit data bus width using NO ADDRESS ALIGNMENT -void neo430_wishbone8_read_start(uint32_t a); -void neo430_wishbone8_write_start(uint32_t a, uint8_t d); -uint8_t neo430_wishbone8_get_data8(void); - -// ------------- - -// general status funtion prototypes -uint16_t neo430_wishbone_busy(void); -void neo430_wishbone_terminate(void); - -#endif // neo430_wishbone_h Index: neo430/sw/lib/neo430/include/neo430_timer.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_timer.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_timer.h (nonexistent) @@ -1,45 +0,0 @@ -// ################################################################################################# -// # < neo430_timer.h - Timer helper functions > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_timer_h -#define neo430_timer_h - -// prototypes -void neo430_timer_enable(void); // enable timer unit -void neo430_timer_disable(void); // disable (and reset) timer unit -void neo430_timer_run(void); // run timer -void neo430_timer_pause(void); // pause timer -uint8_t neo430_timer_config_freq(uint32_t f_timer, uint16_t *thres); // configure timer frequency - -#endif // neo430_timer_h Index: neo430/sw/lib/neo430/include/neo430_muldiv.h =================================================================== --- neo430/sw/lib/neo430/include/neo430_muldiv.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430_muldiv.h (nonexistent) @@ -1,55 +0,0 @@ -// ################################################################################################# -// # < neo430_muldiv.h - Multiplier/Divider function > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_muldiv_h -#define neo430_muldiv_h - -// prototypes -uint32_t neo430_umul32(uint16_t a, uint16_t b); -uint32_t neo430_umul32_32(uint32_t a, uint32_t b); -uint64_t neo430_umul64(uint32_t a, uint32_t b); - -int32_t neo430_mul32(int16_t a, int16_t b); -int64_t neo430_mul64(int32_t a, int32_t b); - -uint16_t neo430_udiv16(uint16_t dividend, uint16_t divisor); -int16_t neo430_div16(int16_t dividend, int16_t divisor); - -uint16_t neo430_umod16(uint16_t dividend, uint16_t divisor); -int16_t neo430_mod16(int16_t dividend, int16_t divisor); - -uint16_t neo430_umoddiv16(uint16_t *remainder, uint16_t dividend, uint16_t divisor); -int16_t neo430_moddiv16(int16_t *remainder, int16_t dividend, int16_t divisor); - -#endif // neo430_muldiv_h Index: neo430/sw/lib/neo430/include/neo430.h =================================================================== --- neo430/sw/lib/neo430/include/neo430.h (revision 196) +++ neo430/sw/lib/neo430/include/neo430.h (nonexistent) @@ -1,512 +0,0 @@ -// ################################################################################################# -// # < neo430.h - MAIN NEO430 INCLUDE FILE > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#ifndef neo430_h -#define neo430_h - -// Standard libraries -#include -#include - -// ---------------------------------------------------------------------------- -// Aux data types -// ---------------------------------------------------------------------------- -union uint16_u { uint16_t uint16; uint8_t uint8[ sizeof(uint16_t)/1]; }; -union uint32_u { uint32_t uint32; uint16_t uint16[sizeof(uint32_t)/2]; uint8_t uint8[ sizeof(uint32_t)/1]; }; -union uint64_u { uint64_t uint64; uint32_t uint32[sizeof(uint64_t)/4]; uint16_t uint16[sizeof(uint64_t)/2]; uint8_t uint8[sizeof(uint32_t)/1]; }; - -union int16_u { int16_t int16; int8_t int8[ sizeof(int16_t)/1]; }; -union int32_u { int32_t int32; int16_t int16[sizeof(int32_t)/2]; int8_t int8[ sizeof(int32_t)/1]; }; -union int64_u { int64_t int64; int32_t int32[sizeof(int64_t)/4]; int16_t int16[sizeof(int64_t)/2]; int8_t int8[sizeof(int32_t)/1]; }; - - -// ---------------------------------------------------------------------------- -// CPU Status Register (r2) Flags -// ---------------------------------------------------------------------------- -#define C_FLAG 0 // r/w: carry -#define Z_FLAG 1 // r/w: zero -#define N_FLAG 2 // r/w: negative -#define I_FLAG 3 // r/w: global interrupt enable -#define S_FLAG 4 // r/w: sleep -#define P_FLAG 5 // r/w: parity (if enabled for synthesis) -#define V_FLAG 8 // r/w: overflow -#define Q_FLAG 14 // -/w: clear pending IRQ buffer when set -#define R_FLAG 15 // r/w: allow write-access to IMEM - - -// ---------------------------------------------------------------------------- -// Processor peripheral/IO devices -// Beginning of IO area: 0xFF80 -// Size of IO area: 128 bytes -// ---------------------------------------------------------------------------- -#define REG8 (volatile uint8_t*) // memory-mapped register -#define REG16 (volatile uint16_t*) // memory-mapped register -#define REG32 (volatile uint32_t*) // memory-mapped register -#define ROM8 (const volatile uint8_t*) // memory-mapped read-only constant -#define ROM16 (const volatile uint16_t*) // memory-mapped read-only constant -#define ROM32 (const volatile uint32_t*) // memory-mapped read-only constant - - -// ---------------------------------------------------------------------------- -// Start of memory sections -// ---------------------------------------------------------------------------- -#define IMEM_ADDR_BASE 0x0000 // r/(w)/x: instruction memory -#define DMEM_ADDR_BASE 0xC000 // r/w/x: data memory -#define BTLD_ADDR_BASE 0xF000 // r/-/x: bootloader memory - - -// ---------------------------------------------------------------------------- -// Interrupt vectors, located at the beginning of DMEM -// ---------------------------------------------------------------------------- -#define IRQVEC_TIMER (*(REG16 (DMEM_ADDR_BASE + 0))) // r/w: timer match -#define IRQVEC_SERIAL (*(REG16 (DMEM_ADDR_BASE + 2))) // r/w: uart/spi/twi irqs -#define IRQVEC_GPIO (*(REG16 (DMEM_ADDR_BASE + 4))) // r/w: gpio pin change -#define IRQVEC_EXT (*(REG16 (DMEM_ADDR_BASE + 6))) // r/w: external IRQ - - -// ---------------------------------------------------------------------------- -// Unsigned Multiplier/Divider Unit (MULDIV) -// ---------------------------------------------------------------------------- -#define MULDIV_OPA_RESX (*(REG16 0xFF80)) // r/w: operand A (dividend or factor1) / resx: quotient or product low word -#define MULDIV_OPB_UMUL_RESY (*(REG16 0xFF82)) // r/w: operand B (factor2) for unsigned multiplication / resy: remainder or product high word -#define MULDIV_OPB_SMUL (*(REG16 0xFF84)) // -/w: operand B (factor2) for signed multiplication -#define MULDIV_OPB_UDIV (*(REG16 0xFF86)) // -/w: operand B (divisor) for unsigned division -#define MULDIV_R32bit (*(ROM32 (&MULDIV_OPA_RESX))) // r/-: read result as 32-bit data word - - -// ---------------------------------------------------------------------------- -// Frequency Generator (FREQ_GEN) -// ---------------------------------------------------------------------------- -#define FREQ_GEN_CT (*(REG16 0xFF88)) // r/w: control register -#define FREQ_GEN_TW_CH0 (*(REG16 0xFF8A)) // -/w: tuning word channel 0 -#define FREQ_GEN_TW_CH1 (*(REG16 0xFF8C)) // -/w: tuning word channel 1 -#define FREQ_GEN_TW_CH2 (*(REG16 0xFF8E)) // -/w: tuning word channel 2 - -// FREQ_GEN control register -#define FREQ_GEN_CT_CH0_EN 0 // r/w: enable NCO channel 0 -#define FREQ_GEN_CT_CH1_EN 1 // r/w: enable NCO channel 1 -#define FREQ_GEN_CT_CH2_EN 2 // r/w: enable NCO channel 2 -#define FREQ_GEN_CT_CH0_PRSC0 3 // r/w: prescaler select bit 0 for channel 0 -#define FREQ_GEN_CT_CH0_PRSC1 4 // r/w: prescaler select bit 1 for channel 0 -#define FREQ_GEN_CT_CH0_PRSC2 5 // r/w: prescaler select bit 2 for channel 0 -#define FREQ_GEN_CT_CH1_PRSC0 6 // r/w: prescaler select bit 0 for channel 1 -#define FREQ_GEN_CT_CH1_PRSC1 7 // r/w: prescaler select bit 1 for channel 1 -#define FREQ_GEN_CT_CH1_PRSC2 8 // r/w: prescaler select bit 2 for channel 1 -#define FREQ_GEN_CT_CH2_PRSC0 9 // r/w: prescaler select bit 0 for channel 2 -#define FREQ_GEN_CT_CH2_PRSC1 10 // r/w: prescaler select bit 1 for channel 2 -#define FREQ_GEN_CT_CH2_PRSC2 11 // r/w: prescaler select bit 2 for channel 2 - -// clock prescalers -#define FREQ_GEN_PRSC_2 0 // CLK/2 -#define FREQ_GEN_PRSC_4 1 // CLK/4 -#define FREQ_GEN_PRSC_8 2 // CLK/8 -#define FREQ_GEN_PRSC_64 3 // CLK/64 -#define FREQ_GEN_PRSC_128 4 // CLK/128 -#define FREQ_GEN_PRSC_1024 5 // CLK/1024 -#define FREQ_GEN_PRSC_2048 6 // CLK/2048 -#define FREQ_GEN_PRSC_4096 7 // CLK/4096 - - -// ---------------------------------------------------------------------------- -// Wishbone Bus Adapter (WB32) -// ---------------------------------------------------------------------------- -#define WB32_CT (*(REG16 0xFF90)) // r/w: control register -#define WB32_LRA (*(REG16 0xFF92)) // -/w: low address for read transfer -#define WB32_HRA (*(REG16 0xFF94)) // -/w: high address for read transfer (+trigger) -#define WB32_LWA (*(REG16 0xFF96)) // -/w: low address for write transfer -#define WB32_HWA (*(REG16 0xFF98)) // -/w: high address for write transfer (+trigger) -#define WB32_LD (*(REG16 0xFF9A)) // r/w: low data -#define WB32_HD (*(REG16 0xFF9C)) // r/w: high data -//#define reserved (*(REG16 0xFF9E)) // -/-: reserved - -// WB32 - 32-bit register access -#define WB32_RA_32bit (*(REG32 (&WB32_LRA))) // -/w: address for read transfer (+trigger) -#define WB32_WA_32bit (*(REG32 (&WB32_LWA))) // -/w: address for write transfer (+trigger) -#define WB32_D_32bit (*(REG32 (&WB32_LD))) // r/w: read/write data (for 32-bit access) - -// WB32 control register -#define WB32_CT_WBSEL0 0 // -/w: wishbone data byte enable bit 0 -#define WB32_CT_WBSEL1 1 // -/w: wishbone data byte enable bit 1 -#define WB32_CT_WBSEL2 2 // -/w: wishbone data byte enable bit 2 -#define WB32_CT_WBSEL3 3 // -/w: wishbone data byte enable bit 3 -#define WB32_CT_PENDING 15 // r/-: pending transfer - - -// ---------------------------------------------------------------------------- -// Universal Asynchronous Receiver and Transmitter (UART) -// ---------------------------------------------------------------------------- -#define UART_CT (*(REG16 0xFFA0)) // r/w: control register -#define UART_RTX (*(REG16 0xFFA2)) // r/w: receive/transmit register - -// UART control register -#define UART_CT_BAUD0 0 // r/w: baud config bit 0 -#define UART_CT_BAUD1 1 // r/w: baud config bit 1 -#define UART_CT_BAUD2 2 // r/w: baud config bit 2 -#define UART_CT_BAUD3 3 // r/w: baud config bit 3 -#define UART_CT_BAUD4 4 // r/w: baud config bit 4 -#define UART_CT_BAUD5 5 // r/w: baud config bit 5 -#define UART_CT_BAUD6 6 // r/w: baud config bit 6 -#define UART_CT_BAUD7 7 // r/w: baud config bit 7 -#define UART_CT_PRSC0 8 // r/w: baud presclaer bit 0 -#define UART_CT_PRSC1 9 // r/w: baud presclaer bit 1 -#define UART_CT_PRSC2 10 // r/w: baud presclaer bit 2 -#define UART_CT_RXOR 11 // r/-: RX data overrun -#define UART_CT_EN 12 // r/w: UART enable -#define UART_CT_RX_IRQ 13 // r/w: Rx done interrupt enable -#define UART_CT_TX_IRQ 14 // r/w: Tx done interrupt enable -#define UART_CT_TX_BUSY 15 // r/-: transmitter busy - -// UART RTX register flags -#define UART_RTX_AVAIL 15 // r/-: uart receiver data available - -// clock prescalers -#define UART_PRSC_2 0 // CLK/2 -#define UART_PRSC_4 1 // CLK/4 -#define UART_PRSC_8 2 // CLK/8 -#define UART_PRSC_64 3 // CLK/64 -#define UART_PRSC_128 4 // CLK/128 -#define UART_PRSC_1024 5 // CLK/1024 -#define UART_PRSC_2048 6 // CLK/2048 -#define UART_PRSC_4096 7 // CLK/4096 - - -// ---------------------------------------------------------------------------- -// Serial Peripheral Interface (SPI) -// ---------------------------------------------------------------------------- -#define SPI_CT (*(REG16 0xFFA4)) // r/w: control register -#define SPI_RTX (*(REG16 0xFFA6)) // r/w: receive/transmit register - -// SPI control register -#define SPI_CT_CS_SEL0 0 // r/w: spi CS 0 -#define SPI_CT_CS_SEL1 1 // r/w: spi CS 1 -#define SPI_CT_CS_SEL2 2 // r/w: spi CS 2 -#define SPI_CT_CS_SEL3 3 // r/w: spi CS 3 -#define SPI_CT_CS_SEL4 4 // r/w: spi CS 4 -#define SPI_CT_CS_SEL5 5 // r/w: spi CS 5 -#define SPI_CT_EN 6 // r/w: spi enable -#define SPI_CT_CPHA 7 // r/w: spi clock phase (idle polarity = '0') -#define SPI_CT_IRQ 8 // r/w: spi transmission done interrupt enable -#define SPI_CT_PRSC0 9 // r/w: spi clock prescaler select bit 0 -#define SPI_CT_PRSC1 10 // r/w: spi clock prescaler select bit 1 -#define SPI_CT_PRSC2 11 // r/w: spi clock prescaler select bit 2 -#define SPI_CT_DIR 12 // r/w: shift direction (0: MSB first, 1: LSB first) -#define SPI_CT_SIZE 13 // r/w: 0 = 8-bit, 1 = 16-bit -// ... -#define SPI_CT_BUSY 15 // r/-: spi transceiver is busy - -// clock prescalers -#define SPI_PRSC_2 0 // CLK/2 -#define SPI_PRSC_4 1 // CLK/4 -#define SPI_PRSC_8 2 // CLK/8 -#define SPI_PRSC_64 3 // CLK/64 -#define SPI_PRSC_128 4 // CLK/128 -#define SPI_PRSC_1024 5 // CLK/1024 -#define SPI_PRSC_2048 6 // CLK/2048 -#define SPI_PRSC_4096 7 // CLK/4096 - - -// ---------------------------------------------------------------------------- -// General Purpose Inputs/Outputs (GPIO) -// ---------------------------------------------------------------------------- -#define GPIO_IRQMASK (*(REG16 0xFFA8)) // -/w: irq mask register -#define GPIO_INPUT (*(ROM16 0xFFAA)) // r/-: parallel input -#define GPIO_OUTPUT (*(REG16 0xFFAC)) // r/w: parallel output -//#define reserved (*(REG16 0xFFAE)) // reserved - - -// ---------------------------------------------------------------------------- -// High-Precision Timer (TIMER) -// ---------------------------------------------------------------------------- -#define TMR_CT (*(REG16 0xFFB0)) // r/w: control register -#define TMR_CNT (*(ROM16 0xFFB2)) // r/-: counter register -#define TMR_THRES (*(REG16 0xFFB4)) // -/w: threshold register -//#define reserved (*(REG16 0xFFB6)) // reserved - -// Timer control register -#define TMR_CT_EN 0 // r/w: timer unit global enable -#define TMR_CT_ARST 1 // r/w: auto reset on match -#define TMR_CT_IRQ 2 // r/w: interrupt enable -#define TMR_CT_RUN 3 // r/w: start/stop timer -#define TMR_CT_PRSC0 4 // r/w: clock prescaler select bit 0 -#define TMR_CT_PRSC1 5 // r/w: clock prescaler select bit 1 -#define TMR_CT_PRSC2 6 // r/w: clock prescaler select bit 2 - -// Timer clock prescaler select: -#define TMR_PRSC_2 0 // CLK/2 -#define TMR_PRSC_4 1 // CLK/4 -#define TMR_PRSC_8 2 // CLK/8 -#define TMR_PRSC_64 3 // CLK/64 -#define TMR_PRSC_128 4 // CLK/128 -#define TMR_PRSC_1024 5 // CLK/1024 -#define TMR_PRSC_2048 6 // CLK/2048 -#define TMR_PRSC_4096 7 // CLK/4096 - - -// ---------------------------------------------------------------------------- -// Watchdog Timer (WTD) -// ---------------------------------------------------------------------------- -#define WDT_CT (*(REG16 0xFFB8)) // r/w: Watchdog control register - -// Watchdog control register -#define WDT_CT_PASSWORD 0x47 // must be set in the upper 8 bits of the WDT CTRL register -#define WDT_CT_PRSC0 0 // r/w: clock prescaler select bit 0 -#define WDT_CT_PRSC1 1 // r/w: clock prescaler select bit 1 -#define WDT_CT_PRSC2 2 // r/w: clock prescaler select bit 2 -#define WDT_CT_EN 3 // r/w: WDT enable -#define WDT_CT_RCAUSE 4 // r/-: reset cause (0: external, 1: watchdog timeout) -#define WDT_CT_RPWFAIL 5 // r/-: watchdog resed caused by wrong WDT access password - -// Watchdog clock prescaler select: -#define WDT_PRSC_2 0 // CLK/2 -#define WDT_PRSC_4 1 // CLK/4 -#define WDT_PRSC_8 2 // CLK/8 -#define WDT_PRSC_64 3 // CLK/64 -#define WDT_PRSC_128 4 // CLK/128 -#define WDT_PRSC_1024 5 // CLK/1024 -#define WDT_PRSC_2048 6 // CLK/2048 -#define WDT_PRSC_4096 7 // CLK/4096 - - -// ---------------------------------------------------------------------------- -// Cyclic Redundancy Check (CRC16/32) -// ---------------------------------------------------------------------------- -#define CRC_POLY_LO (*(REG16 0xFFC0)) // -/w: low part of polynomial -#define CRC_POLY_HI (*(REG16 0xFFC2)) // -/w: high part of polynomial -#define CRC_CRC16IN (*(REG16 0xFFC4)) // -/w: input for CRC16 -#define CRC_CRC32IN (*(REG16 0xFFC6)) // -/w: input for CRC32 -//#define ??? (*(REG16 0xFFC8)) // -/-: reserved -//#define ??? (*(REG16 0xFFCA)) // -/-: reserved -#define CRC_RESX (*(REG16 0xFFCC)) // r/w: crc shift register low -#define CRC_RESY (*(REG16 0xFFCE)) // r/w: crc shift register high - -#define CRC_POLY32bit (*(REG32 (&CRC_POLY_LO))) // -/w: write polynomial as 32-bit data word -#define CRC_R32bit (*(REG32 (&CRC_RESX))) // r/w: crc shift register as 32-bit data word - - -// ---------------------------------------------------------------------------- -// Custom Functions Unit (CFU) -// ---------------------------------------------------------------------------- -#define CFU_REG0 (*(REG16 0xFFD0)) // r/w: user defined... -#define CFU_REG1 (*(REG16 0xFFD2)) // r/w: user defined... -#define CFU_REG2 (*(REG16 0xFFD4)) // r/w: user defined... -#define CFU_REG3 (*(REG16 0xFFD6)) // r/w: user defined... -#define CFU_REG4 (*(REG16 0xFFD8)) // r/w: user defined... -#define CFU_REG5 (*(REG16 0xFFDA)) // r/w: user defined... -#define CFU_REG6 (*(REG16 0xFFDC)) // r/w: user defined... -#define CFU_REG7 (*(REG16 0xFFDE)) // r/w: user defined... - - -// ---------------------------------------------------------------------------- -// Pulse Width Modulation Controller (PWM) -// ---------------------------------------------------------------------------- -#define PWM_CT (*(REG16 0xFFE0)) // r/w: control register -#define PWM_CH10 (*(REG16 0xFFE2)) // r/w: duty cycle channel 1 and 0 -#define PWM_CH32 (*(REG16 0xFFE4)) // -/w: duty cycle channel 3 and 2 - -// PWM controller control register -#define PWM_CT_EN 0 // -/w: PWM enable -#define PWM_CT_PRSC0 1 // -/w: clock prescaler select bit 0 -#define PWM_CT_PRSC1 2 // -/w: clock prescaler select bit 1 -#define PWM_CT_PRSC2 3 // -/w: clock prescaler select bit 2 -#define PWM_CT_GPIO_PWM 4 // -/w: use channel 3 for PWM modulation of GPIO unit's output port -#define PWM_CT_SIZE_SEL 5 // -/w: cnt size select (0 = 4-bit, 1 = 8-bit) - -// PWM clock prescaler select: -#define PWM_PRSC_2 0 // CLK/2 -#define PWM_PRSC_4 1 // CLK/4 -#define PWM_PRSC_8 2 // CLK/8 -#define PWM_PRSC_64 3 // CLK/64 -#define PWM_PRSC_128 4 // CLK/128 -#define PWM_PRSC_1024 5 // CLK/1024 -#define PWM_PRSC_2048 6 // CLK/2048 -#define PWM_PRSC_4096 7 // CLK/4096 - - -// ---------------------------------------------------------------------------- -// Two Wire Serial Interface (TWI) -// ---------------------------------------------------------------------------- -#define TWI_CT (*(REG16 0xFFE8)) // r/w: control register -#define TWI_DATA (*(REG16 0xFFEA)) // r/w: RX (r) / TX (w) data - -// TWI control register -#define TWI_CT_EN 0 // r/w: TWI enable -#define TWI_CT_START 1 // -/w: generate START condition -#define TWI_CT_STOP 2 // -/w: generate STOP condition -#define TWI_CT_BUSY 3 // r/-: TWI busy -#define TWI_CT_PRSC0 4 // r/w: clock prescaler select bit 0 -#define TWI_CT_PRSC1 5 // r/w: clock prescaler select bit 1 -#define TWI_CT_PRSC2 6 // r/w: clock prescaler select bit 2 -#define TWI_CT_IRQ_EN 7 // r/w: transmission done interrupt enable -#define TWI_CT_MACK 8 // r/w: send ack by master after transmission - -// TWI clock prescaler select: -#define TWI_PRSC_2 0 // CLK/2 -#define TWI_PRSC_4 1 // CLK/4 -#define TWI_PRSC_8 2 // CLK/8 -#define TWI_PRSC_64 3 // CLK/64 -#define TWI_PRSC_128 4 // CLK/128 -#define TWI_PRSC_1024 5 // CLK/1024 -#define TWI_PRSC_2048 6 // CLK/2048 -#define TWI_PRSC_4096 7 // CLK/4096 - -// TWI data register flags -#define TWI_DT_ACK 15 // r/-: ACK received - - -// ---------------------------------------------------------------------------- -// True Random Number Generator (TRNG) -// ---------------------------------------------------------------------------- -#define TRNG_CT (*(REG16 0xFFEC)) // r/w: control register - -// TRNG control register -#define TRNG_CT_DATA0 0 // r/-: TRNG random data byte bit 0 -#define TRNG_CT_DATA1 1 // r/-: TRNG random data byte bit 1 -#define TRNG_CT_DATA2 2 // r/-: TRNG random data byte bit 2 -#define TRNG_CT_DATA3 3 // r/-: TRNG random data byte bit 3 -#define TRNG_CT_DATA4 4 // r/-: TRNG random data byte bit 4 -#define TRNG_CT_DATA5 5 // r/-: TRNG random data byte bit 5 -#define TRNG_CT_DATA6 6 // r/-: TRNG random data byte bit 6 -#define TRNG_CT_DATA7 7 // r/-: TRNG random data byte bit 7 -#define TRNG_CT_DATA8 8 // r/-: TRNG random data byte bit 8 -#define TRNG_CT_DATA9 9 // r/-: TRNG random data byte bit 9 -#define TRNG_CT_DATA10 10 // r/-: TRNG random data byte bit 10 -#define TRNG_CT_DATA11 11 // r/-: TRNG random data byte bit 11 -// -- -#define TRNG_CT_TAP00_EN 0 // -/w: Activate tap 0 switch -#define TRNG_CT_TAP01_EN 1 // -/w: Activate tap 1 switch -#define TRNG_CT_TAP02_EN 2 // -/w: Activate tap 2 switch -#define TRNG_CT_TAP03_EN 3 // -/w: Activate tap 3 switch -#define TRNG_CT_TAP04_EN 4 // -/w: Activate tap 4 switch -#define TRNG_CT_TAP05_EN 5 // -/w: Activate tap 5 switch -#define TRNG_CT_TAP06_EN 6 // -/w: Activate tap 6 switch -#define TRNG_CT_TAP07_EN 7 // -/w: Activate tap 7 switch -#define TRNG_CT_TAP08_EN 8 // -/w: Activate tap 8 switch -#define TRNG_CT_TAP09_EN 9 // -/w: Activate tap 9 switch -#define TRNG_CT_TAP10_EN 10 // -/w: Activate tap 10 switch -#define TRNG_CT_TAP11_EN 11 // -/w: Activate tap 11 switch -#define TRNG_CT_TAP12_EN 12 // -/w: Activate tap 12 switch -#define TRNG_CT_TAP13_EN 13 // -/w: Activate tap 13 switch -// -- -#define TRNG_CT_EN 14 // r/w: TRNG enable -#define TRNG_CT_VALID 15 // r/-: TRNG output byte is valid - - - -// ---------------------------------------------------------------------------- -// External Interrupts Controller (EXIRQ) -// ---------------------------------------------------------------------------- -#define EXIRQ_CT (*(REG16 0xFFEE)) // r/w: control register - -// EXIRQ control register -#define EXIRQ_CT_SEL0 0 // r/w: IRQ source bit 0 / SW_IRQ select -#define EXIRQ_CT_SEL1 1 // r/w: IRQ source bit 1 / SW_IRQ select -#define EXIRQ_CT_SEL2 2 // r/w: IRQ source bit 2 / SW_IRQ select -#define EXIRQ_CT_EN 3 // r/w: unit enable -#define EXIRQ_CT_SW_IRQ 4 // -/w: use irq_sel as SW IRQ trigger, auto-clears -#define EXIRQ_CT_ACK_IRQ 5 // -/w: use irq_sel as ACK select, auto-clears -// ... -#define EXIRQ_CT_IRQ0_EN 8 // r/w: Enable IRQ channel 0 -#define EXIRQ_CT_IRQ1_EN 9 // r/w: Enable IRQ channel 1 -#define EXIRQ_CT_IRQ2_EN 10 // r/w: Enable IRQ channel 2 -#define EXIRQ_CT_IRQ3_EN 11 // r/w: Enable IRQ channel 3 -#define EXIRQ_CT_IRQ4_EN 12 // r/w: Enable IRQ channel 4 -#define EXIRQ_CT_IRQ5_EN 13 // r/w: Enable IRQ channel 5 -#define EXIRQ_CT_IRQ6_EN 14 // r/w: Enable IRQ channel 6 -#define EXIRQ_CT_IRQ7_EN 15 // r/w: Enable IRQ channel 7 - - -// ---------------------------------------------------------------------------- -// System Configuration (SYSCONFIG) -// ---------------------------------------------------------------------------- -#define CPUID0 (*(ROM16 0xFFF0)) // r/-: HW version number -#define CPUID1 (*(ROM16 0xFFF2)) // r/-: synthesized system features -#define CPUID2 (*(ROM16 0xFFF4)) // r/-: custom user code -#define CPUID3 (*(ROM16 0xFFF6)) // r/-: IMEM/ROM size in bytes -#define CPUID4 (*(ROM16 0xFFF8)) // r/-: reserved -#define CPUID5 (*(ROM16 0xFFFA)) // r/-: DMEM/RAM size in bytes -#define CPUID6 (*(ROM16 0xFFFC)) // r/-: clock speed (in Hz) low part -#define CPUID7 (*(ROM16 0xFFFE)) // r/-: clock speed (in Hz) high part - -// Aliases -#define HW_VERSION CPUID0 // r/-: HW version number -#define SYS_FEATURES CPUID1 // r/-: synthesized system features -#define USER_CODE CPUID2 // r/-: custom user code -#define IMEM_SIZE CPUID3 // r/-: IMEM/ROM size in bytes -//#define CPUID4 // r/-: reserved -#define DMEM_SIZE CPUID5 // r/-: DMEM/RAM size in bytes -#define CLOCKSPEED_LO CPUID6 // r/-: clock speed (in Hz) low part -#define CLOCKSPEED_HI CPUID7 // r/-: clock speed (in Hz) high part - -// SysConfig - 32-bit register access -#define CLOCKSPEED_32bit (*(ROM32 (&CLOCKSPEED_LO))) // r/-: clock speed (in Hz) - -// SYS features -#define SYS_MULDIV_EN 0 // r/-: MULDIV synthesized -#define SYS_WB32_EN 1 // r/-: WB32 synthesized -#define SYS_WDT_EN 2 // r/-: WDT synthesized -#define SYS_GPIO_EN 3 // r/-: GPIO synthesized -#define SYS_TIMER_EN 4 // r/-: TIMER synthesized -#define SYS_UART_EN 5 // r/-: UART synthesized -#define SYS_FREQ_GEN_EN 6 // r/-: FREQ_GEN synthesized -#define SYS_BTLD_EN 7 // r/-: Bootloader installed and enabled -#define SYS_IROM_EN 8 // r/-: Implement IMEM as true ROM -#define SYS_CRC_EN 9 // r/-: CRC synthesized -#define SYS_CFU_EN 10 // r/-: CFU synthesized -#define SYS_PWM_EN 11 // r/-: PWM controller synthesized -#define SYS_TWI_EN 12 // r/-: TWI synthesized -#define SYS_SPI_EN 13 // r/-: SPI synthesized -#define SYS_TRNG_EN 14 // r/-: TRNG synthesized -#define SYS_EXIRQ_EN 15 // r/-: EXIRQ synthesized - - -// ---------------------------------------------------------------------------- -// Include all IO library headers -// ---------------------------------------------------------------------------- -#include "neo430_cpu.h" -#include "neo430_crc.h" -#include "neo430_exirq.h" -#include "neo430_freq_gen.h" -#include "neo430_gpio.h" -#include "neo430_muldiv.h" -#include "neo430_pwm.h" -#include "neo430_spi.h" -#include "neo430_timer.h" -#include "neo430_trng.h" -#include "neo430_twi.h" -#include "neo430_uart.h" -#include "neo430_wdt.h" -#include "neo430_wishbone.h" - - -#endif // neo430_h Index: neo430/sw/common/neo430_linker_script.x =================================================================== --- neo430/sw/common/neo430_linker_script.x (revision 196) +++ neo430/sw/common/neo430_linker_script.x (nonexistent) @@ -1,243 +0,0 @@ -/* ################################################################################################# */ -/* # < NEO430 MSP430GCC linker script > # */ -/* # ********************************************************************************************* # */ -/* # BSD 3-Clause License # */ -/* # # */ -/* # Copyright (c) 2020, Stephan Nolting. All rights reserved. # */ -/* # # */ -/* # Redistribution and use in source and binary forms, with or without modification, are # */ -/* # permitted provided that the following conditions are met: # */ -/* # # */ -/* # 1. Redistributions of source code must retain the above copyright notice, this list of # */ -/* # conditions and the following disclaimer. # */ -/* # # */ -/* # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # */ -/* # conditions and the following disclaimer in the documentation and/or other materials # */ -/* # provided with the distribution. # */ -/* # # */ -/* # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # */ -/* # endorse or promote products derived from this software without specific prior written # */ -/* # permission. # */ -/* # # */ -/* # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # */ -/* # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # */ -/* # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # */ -/* # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # */ -/* # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # */ -/* # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # */ -/* # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # */ -/* # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # */ -/* # OF THE POSSIBILITY OF SUCH DAMAGE. # */ -/* # ********************************************************************************************* # */ -/* # The NEO430 Processor - https://github.com/stnolting/neo430 # */ -/* ################################################################################################# */ - -OUTPUT_ARCH(msp430) - - -/* Relevant address space layout */ -MEMORY -{ - rom (rx) : ORIGIN = 0x0000, LENGTH = 0x1000 - ram (rwx) : ORIGIN = 0xC008, LENGTH = 0x0800 - 8 -} - -/* Final executable layout */ -SECTIONS -{ - .text : - { - . = ALIGN(2); - PROVIDE(__text_start = .); - PROVIDE(__textstart = .); - - KEEP(crt0.elf(.text)); /* start-up/termination code */ - - *(.init .init.*); - *(.init0); /* Start here after reset. */ - *(.init1); /* User definable */ - *(.init2); /* Initialize stack */ - *(.init3); /* Initialize hardware */ - *(.init4); /* Copy data to .data, clear bss */ - *(.init5); /* User definable. */ - *(.init6); /* C++ constructors. */ - *(.init7); /* User definable. */ - *(.init8); /* User definable. */ - *(.init9); /* Call main(). */ - - *(.fini9); /* Falls into here after main(). User definable. */ - *(.fini8); /* User definable. */ - *(.fini7); /* User definable. */ - *(.fini6); /* C++ destructors. */ - *(.fini5); /* User definable. */ - *(.fini4); /* User definable. */ - *(.fini3); /* User definable. */ - *(.fini2); /* User definable. */ - *(.fini1); /* User definable. */ - *(.fini0); /* Infinite loop after program termination. */ - *(.fini .fini.*); - - . = ALIGN(2); - __ctors_start = .; - KEEP(*(.ctors)); - __ctors_end = .; - __dtors_start = .; - KEEP(*(.dtors)); - __dtors_end = .; - - . = ALIGN(2); - *(.text .text.*); - *(.near.text .near.text.*); - - . = ALIGN(2); - PROVIDE(__text_end = .); - PROVIDE(__textend = .); - } > rom - - .rodata : - { - . = ALIGN(2); - PROVIDE(__rodata_start = .); - PROVIDE(__rodatastart = .); - - *(.plt); - . = ALIGN(2); - *(.lower.rodata.* .lower.rodata) - . = ALIGN(2); - *(.rodata .rodata.* .gnu.linkonce.r.* .const .const:*) - . = ALIGN(2); - *(.either.rodata.* .either.rodata) - . = ALIGN(2); - *(.rodata1) - . = ALIGN(2); - - KEEP (*(.gcc_except_table)) *(.gcc_except_table.*) - PROVIDE (__preinit_array_start = .); - KEEP (*(.preinit_array)) - PROVIDE (__preinit_array_end = .); - PROVIDE (__init_array_start = .); - KEEP (*(SORT(.init_array.*))) - KEEP (*(.init_array)) - PROVIDE (__init_array_end = .); - PROVIDE (__fini_array_start = .); - KEEP (*(.fini_array)) - KEEP (*(SORT(.fini_array.*))) - PROVIDE (__fini_array_end = .); - - PROVIDE(__rodata_end = .); - PROVIDE(__rodataend = .); - } > rom - _etext = .; /* Past last read-only (loadable) segment */ - - /* ----------------------------------- */ - - .data : - { - . = ALIGN(2); - PROVIDE(__data_start = .); - PROVIDE(__datastart = .); - - *(.lower.data.* .lower.data) - *(.data .data.* .gnu.linkonce.d.*) - *(.either.data.* .either.data) - - KEEP (*(.jcr)) - *(.data.rel.ro.local) *(.data.rel.ro*) - *(.dynamic) - - KEEP (*(.gnu.linkonce.d.*personality*)) - SORT(CONSTRUCTORS) - *(.data1) - *(.got.plt) *(.got) - - . = ALIGN(2); - *(.sdata .sdata.* .gnu.linkonce.s.* D_2 D_1) - - . = ALIGN(2); - _edata = .; - PROVIDE(edata = .); - PROVIDE(__data_end = .); - PROVIDE(__dataend = .); - } > ram AT > rom - - /* ----------------------------------- */ - - .bss : - { - . = ALIGN(2); - PROVIDE(__bss_start = .); - PROVIDE(__bssstart = .); - - *(.lower.bss.* .lower.bss) - *(.dynbss); - *(.sbss .sbss.*); - *(.bss .bss.* .gnu.linkonce.b.*); - *(.either.bss.* .either.bss) - . = ALIGN(2); - *(COMMON); - . = ALIGN(2); - - PROVIDE(__bss_end = .); - PROVIDE(__bssend = .); - } > ram - - .noinit (NOLOAD): - { - . = ALIGN(2); - *(.noinit) - . = ALIGN(2); - PROVIDE(__noinit_end = .); - end = .; - } > ram - - .MP430.attributes 0 : - { - KEEP (*(.MSP430.attributes)) - KEEP (*(.gnu.attributes)) - KEEP (*(__TI_build_attributes)) - } - - /* The rest are all not normally part of the runtime image. */ - - /* Stabs debugging sections. */ - .stab 0 : { *(.stab) } - .stabstr 0 : { *(.stabstr) } - .stab.excl 0 : { *(.stab.excl) } - .stab.exclstr 0 : { *(.stab.exclstr) } - .stab.index 0 : { *(.stab.index) } - .stab.indexstr 0 : { *(.stab.indexstr) } - .comment 0 : { *(.comment) } - /* DWARF debug sections. - Symbols in the DWARF debugging sections are relative to the beginning - of the section so we begin them at 0. */ - /* DWARF 1 */ - .debug 0 : { *(.debug) } - .line 0 : { *(.line) } - /* GNU DWARF 1 extensions */ - .debug_srcinfo 0 : { *(.debug_srcinfo) } - .debug_sfnames 0 : { *(.debug_sfnames) } - /* DWARF 1.1 and DWARF 2 */ - .debug_aranges 0 : { *(.debug_aranges) } - .debug_pubnames 0 : { *(.debug_pubnames) } - /* DWARF 2 */ - .debug_info 0 : { *(.debug_info .gnu.linkonce.wi.*) } - .debug_abbrev 0 : { *(.debug_abbrev) } - .debug_line 0 : { *(.debug_line .debug_line.* .debug_line_end ) } - .debug_frame 0 : { *(.debug_frame) } - .debug_str 0 : { *(.debug_str) } - .debug_loc 0 : { *(.debug_loc) } - .debug_macinfo 0 : { *(.debug_macinfo) } - /* SGI/MIPS DWARF 2 extensions */ - .debug_weaknames 0 : { *(.debug_weaknames) } - .debug_funcnames 0 : { *(.debug_funcnames) } - .debug_typenames 0 : { *(.debug_typenames) } - .debug_varnames 0 : { *(.debug_varnames) } - /DISCARD/ : { *(.note.GNU-stack) } - -PROVIDE(__data_start_rom = _etext); -PROVIDE(__data_end_rom = _etext + SIZEOF(.data)); -PROVIDE(__romdatastart = __data_start_rom); -PROVIDE(__romdatacopysize = SIZEOF(.data)); -PROVIDE(__bsssize = SIZEOF(.bss)); - -} Index: neo430/sw/common/crt0.asm =================================================================== --- neo430/sw/common/crt0.asm (revision 196) +++ neo430/sw/common/crt0.asm (nonexistent) @@ -1,140 +0,0 @@ -; ################################################################################################# -; # < crt0.asm - general neo430 application start-up code > # -; # ********************************************************************************************* # -; # BSD 3-Clause License # -; # # -; # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -; # # -; # Redistribution and use in source and binary forms, with or without modification, are # -; # permitted provided that the following conditions are met: # -; # # -; # 1. Redistributions of source code must retain the above copyright notice, this list of # -; # conditions and the following disclaimer. # -; # # -; # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -; # conditions and the following disclaimer in the documentation and/or other materials # -; # provided with the distribution. # -; # # -; # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -; # endorse or promote products derived from this software without specific prior written # -; # permission. # -; # # -; # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -; # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -; # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -; # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -; # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -; # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -; # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -; # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -; # OF THE POSSIBILITY OF SUCH DAMAGE. # -; # ********************************************************************************************* # -; # The NEO430 Processor - https://github.com/stnolting/neo430 # -; ################################################################################################# - - .file "crt0.asm" - .section .text - .p2align 1,0 - - -__crt0_begin: -; ----------------------------------------------------------- -; Get required system info -; ----------------------------------------------------------- - mov #0xC000, r8 ; = DMEM (RAM) base address - mov &0xFFFA, r1 ; = DMEM (RAM) size in byte - - -; ----------------------------------------------------------- -; Minimal required hardware setup -; ----------------------------------------------------------- - mov #0, r2 ; clear status register & disable interrupts - add r8, r1 ; r1 = stack pointer = end of RAM - sub #2, r1 ; address of last entry of stack - mov #0x4700, &0xFFB8 ; deactivate watchdog - - -; ----------------------------------------------------------- -; Initialize all IO device registers (set to zero) -; ----------------------------------------------------------- -; This loop does not trigger any operations as the CTRL registers, which are located -; at offset 0 of the according device, are set to zero resulting in disabling the -; specific device. - mov #0xFF80, r9 ; beginning of IO section -__crt0_clr_io: - tst r9 ; until the end -> wrap-around to 0 - jeq __crt0_clr_io_end - mov #0, 0(r9) ; clear entry - incd r9 - jmp __crt0_clr_io -__crt0_clr_io_end: - - -; ----------------------------------------------------------- -; Clear complete DMEM (including .bss section) -; ----------------------------------------------------------- -__crt0_clr_dmem: - cmp r8, r1 ; base address equal to end of RAM? - jeq __crt0_clr_dmem_end - mov #0, 0(r8) ; clear entry - incd r8 - jmp __crt0_clr_dmem -__crt0_clr_dmem_end: - - -; ----------------------------------------------------------- -; Copy initialized .data section from ROM to RAM -; ----------------------------------------------------------- - mov #__data_start_rom, r5 - mov #__data_end_rom, r6 - mov #__data_start, r7 -__crt0_cpy_data: - cmp r5, r6 - jeq __crt0_cpy_data_end - mov @r5+, 0(r7) - incd r7 - jmp __crt0_cpy_data -__crt0_cpy_data_end: - - -; ----------------------------------------------------------- -; Re-init SR and clear all pending IRQs from buffer -; ----------------------------------------------------------- - mov #(1<<14), r2 ; this flag auto clears - - -; ----------------------------------------------------------- -; Initialize all remaining registers -; ----------------------------------------------------------- - mov #0, r4 -; mov #0, r5 ; -- is already initialized -; mov #0, r6 ; -- is already initialized -; mov #0, r7 ; -- is already initialized -; mov #0, r8 ; -- is already initialized -; mov #0, r9 ; -- is already initialized - mov #0, r10 - mov #0, r11 - mov #0, r12 ; set argc = 0 - mov #0, r13 - mov #0, r14 - mov #0, r15 - - -; ----------------------------------------------------------- -; This is where the actual application is started -; ----------------------------------------------------------- -__crt0_start_main: - call #main - - -; ----------------------------------------------------------- -; Go to endless sleep mode if main returns -; ----------------------------------------------------------- -__crt0_this_is_the_end: - mov #0, r2 ; deactivate IRQs - mov #0x4700, &0xFFB8 ; deactivate watchdog - mov #(1<<4), r2 ; set CPU to sleep mode - nop - -.Lfe0: - .size __crt0_begin, .Lfe0-__crt0_begin Index: neo430/sw/bootloader/boot_linker_script.x =================================================================== --- neo430/sw/bootloader/boot_linker_script.x (revision 196) +++ neo430/sw/bootloader/boot_linker_script.x (nonexistent) @@ -1,80 +0,0 @@ -/* ################################################################################################# */ -/* # < NEO430 MSP430GCC bootloader linker script > # */ -/* # ********************************************************************************************* # */ -/* # BSD 3-Clause License # */ -/* # # */ -/* # Copyright (c) 2020, Stephan Nolting. All rights reserved. # */ -/* # # */ -/* # Redistribution and use in source and binary forms, with or without modification, are # */ -/* # permitted provided that the following conditions are met: # */ -/* # # */ -/* # 1. Redistributions of source code must retain the above copyright notice, this list of # */ -/* # conditions and the following disclaimer. # */ -/* # # */ -/* # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # */ -/* # conditions and the following disclaimer in the documentation and/or other materials # */ -/* # provided with the distribution. # */ -/* # # */ -/* # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # */ -/* # endorse or promote products derived from this software without specific prior written # */ -/* # permission. # */ -/* # # */ -/* # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # */ -/* # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # */ -/* # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # */ -/* # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # */ -/* # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # */ -/* # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # */ -/* # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # */ -/* # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # */ -/* # OF THE POSSIBILITY OF SUCH DAMAGE. # */ -/* # ********************************************************************************************* # */ -/* # The NEO430 Processor - https://github.com/stnolting/neo430 # */ -/* ################################################################################################# */ - -OUTPUT_ARCH(msp430) - - -/* Address space layout - only the boot rom size is required here */ -MEMORY -{ - boot_rom (rx) : ORIGIN = 0xF000, LENGTH = 0x0800 -} - -/* Final executable layout */ -SECTIONS -{ - .image : - { - . = ALIGN(2); - KEEP(crt0.elf(.text)); - - . = ALIGN(2); - *(.text .text.*); - *(.near.text .near.text.*); - - . = ALIGN(2); - *(.rodata .rodata.*); - *(.data .data.*); - *(.sdata .sdata.*); - - - /* Unused but required by some pre-defined toolchain objects */ - PROVIDE(__romdatastart = .); - PROVIDE(__bsssize = 0); - PROVIDE(__romdatacopysize = 0); - PROVIDE(__crt0_init_bss = 0); - PROVIDE(__bssstart = 0); - PROVIDE(__datastart = 0); - PROVIDE(__crt0_movedata = 0); - PROVIDE(__crt0_run_init_array = 0); - PROVIDE(__crt0_run_fini_array = 0); - PROVIDE(__fini_array_start = 0); - PROVIDE(__fini_array_end = 0); - PROVIDE(__init_array_start = 0); - PROVIDE(__init_array_end = 0); - PROVIDE(__preinit_array_start = 0); - PROVIDE(__preinit_array_end = 0); - - } > boot_rom -} Index: neo430/sw/bootloader/boot_crt0.asm =================================================================== --- neo430/sw/bootloader/boot_crt0.asm (revision 196) +++ neo430/sw/bootloader/boot_crt0.asm (nonexistent) @@ -1,54 +0,0 @@ -; ################################################################################################# -; # < boot_crt0.asm - neo430 bootloader start-up code > # -; # ********************************************************************************************* # -; # BSD 3-Clause License # -; # # -; # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -; # # -; # Redistribution and use in source and binary forms, with or without modification, are # -; # permitted provided that the following conditions are met: # -; # # -; # 1. Redistributions of source code must retain the above copyright notice, this list of # -; # conditions and the following disclaimer. # -; # # -; # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -; # conditions and the following disclaimer in the documentation and/or other materials # -; # provided with the distribution. # -; # # -; # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -; # endorse or promote products derived from this software without specific prior written # -; # permission. # -; # # -; # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -; # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -; # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -; # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -; # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -; # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -; # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -; # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -; # OF THE POSSIBILITY OF SUCH DAMAGE. # -; # ********************************************************************************************* # -; # The NEO430 Processor - https://github.com/stnolting/neo430 # -; ################################################################################################# - - .file "boot_crt0.asm" - .section .text - .p2align 1,0 - -__boot_crt0: -; ----------------------------------------------------------- -; Minimal required hardware setup -; ----------------------------------------------------------- - mov #(0xC000-2), r1 ; = DMEM (RAM) base address - add &0xFFFA, r1 ; add DMEM (RAM) size in bytes to SP - ;sub #2, r1 ; address of last entry of stack (done in first instruction) - - -; ----------------------------------------------------------- -; This is where the actual application is started -; ----------------------------------------------------------- - jmp main ; do a simple jump - we are not coming back - -.Lfe0: - .size __boot_crt0,.Lfe0-__boot_crt0 Index: neo430/sw/bootloader/bootloader.c =================================================================== --- neo430/sw/bootloader/bootloader.c (revision 196) +++ neo430/sw/bootloader/bootloader.c (nonexistent) @@ -1,599 +0,0 @@ -// ################################################################################################# -// # < NEO430 Bootloader > # -// # ********************************************************************************************* # -// # Boot from IMEM, UART or SPI Flash at SPI.CS[0] # -// # # -// # UART configuration: 8N1 at 19200 baud # -// # Boot Flash: 8-bit SPI, 24-bit addresses (like Micron N25Q032A) @ NEO430_SPI.CS[0] # -// # NEO430_GPIO.out[0] is used as high-active status LED # -// # # -// # Auto boot sequence after timeout: # -// # -> Try booting from SPI flash at SPI.CS[0] # -// # -> Permanently light up status led and freeze if SPI flash booting attempt fails # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -// Libraries -#include -#include - -// SPI flash: NEO430 boot base address -#define SPI_FLASH_BOOT_ADR 0x00040000L - -// Configuration -#define BAUD_RATE 19200 // default UART baud rate -#define AUTOBOOT_TIMEOUT 4 // countdown (seconds) to auto boot -#define STATUS_LED 0 // GPIO.out(0) is status LED - -// SPI flash hardware configuration -#define SPI_FLASH_CS 0 - -// SPI flash commands -#define SPI_FLASH_CMD_READ 0x03 -#define SPI_FLASH_CMD_READ_STATUS 0x05 -#define SPI_FLASH_CMD_WRITE_ENABLE 0x06 -#define SPI_FLASH_CMD_PAGE_PROGRAM 0x02 -#define SPI_FLASH_CMD_SECTOR_ERASE 0xD8 -#define SPI_FLASH_CMD_READ_ID 0x9E -#define SPI_FLASH_CMD_POWER_DOWN 0xB9 -#define SPI_FLASH_CMD_RELEASE 0xAB - -// Image sources -#define UART_IMAGE 0x00 -#define EEPROM_IMAGE_SPI 0x01 - -// Error codes -#define ERROR_EEPROM 0x00 // EEPROM access error -#define ERROR_ROMACCESS 0x01 // cannot write to IMEM -#define ERROR_EXECUTABLE 0x02 // invalid executable format -#define ERROR_SIZE 0x04 // executable is too big -#define ERROR_CHECKSUM 0x08 // checksum error - -// Scratch registers - abuse unused IRQ vectors for this ;) -#define TIMEOUT_CNT IRQVEC_GPIO - -// Macros -#define xstr(a) str(a) -#define str(a) #a -#define SPI_FLASH_SEL {SPI_CT |= 1 << (SPI_FLASH_CS+SPI_CT_CS_SEL0);} - -// Function prototypes -void __attribute__((__interrupt__)) timer_irq_handler(void); -void __attribute__((__naked__)) start_app(void); -void print_help(void); -void store_eeprom(void); -void eeprom_write_word(uint32_t a, uint16_t d); -void get_image(uint8_t src); -uint16_t get_image_word(uint32_t a, uint8_t src); -void __attribute__((__naked__)) system_error(uint8_t err_code); - -// Function prototypes - SPI flash -uint8_t spi_flash_read_byte(); -void spi_flash_write_byte(uint32_t adr, uint8_t data); -void spi_flash_erase_sector(uint32_t base_adr); -uint8_t spi_flash_read_status(void); -void spi_flash_write_cmd(uint16_t cmd); -uint8_t spi_flash_read_1st_id(void); -void spi_flash_adr_conv(uint32_t adr, uint16_t *hi, uint16_t *mi, uint16_t *lo); - - -/* ------------------------------------------------------------ - * INFO Bootloader main - * ------------------------------------------------------------ */ -int main(void) { - - // **************************************************************** - // Processor hardware initialization - // **************************************************************** - - // stack setup - // -> done in boot_crt0 - - // disable watchdog timer - neo430_wdt_disable(); - - // clear status register and disable interrupts, clear interrupt buffer, enable write access to IMEM - asm volatile ("mov %0, r2" : : "i" ((1<> 14; // divide by 4096 - TMR_THRES = (CLOCKSPEED_HI << 2) -1; // "fake" ;D - // enable timer, auto reset, enable IRQ, prsc = 1:2^16, start timer - TMR_CT = (1< enter user console - if ((UART_RTX & (1< "); - char c = neo430_uart_getc(); - neo430_uart_putc(c); // echo - neo430_uart_br_print("\n"); - - if (c == 'r') { // restart bootloader - asm volatile ("mov #0xF000, r0"); // jump to beginning of bootloader ROM - } - else if (c == 'h') { // help menu - print_help(); - } - else if (c == 'u') { // upload program to RAM via UART - get_image(UART_IMAGE); - } - else if (c == 'p') { // program EEPROM from RAM - store_eeprom(); - } - else if (c == 'e') { // start program in RAM - start_app(); - } - else { // unknown command - neo430_uart_br_print("Bad CMD"); - } - } -} - - -/* ------------------------------------------------------------ - * INFO Timer IRQ handler - * ------------------------------------------------------------ */ -void __attribute__((__interrupt__)) timer_irq_handler(void) { - - TIMEOUT_CNT++; // increment system ticker - neo430_gpio_port_toggle(1< end) { - system_error(ERROR_SIZE); - } - - // transfer program data - uint16_t *pnt = (uint16_t*)0x0000; - uint16_t checksum = 0x0000; - uint16_t d = 0, i = 0; - while (i < size/2) { // in words - d = get_image_word(SPI_FLASH_BOOT_ADR + 2*i + 6, src); - checksum ^= d; - pnt[i++] = d; - } - - // clear rest of IMEM - while(i < end/2) { // in words - pnt[i++] = 0x0000; - } - - // error during transfer? - if (checksum == check) { - neo430_uart_br_print("OK"); - } - else { - system_error(ERROR_CHECKSUM); - } -} - - -/* ------------------------------------------------------------ - * INFO Get image word from SPI_EEPROM or UART - * PARAM a source address (24 bit effective) - * PARAM src: 0: UART, 1: SPI_EEPROM - * RETURN accessed data word - * ------------------------------------------------------------ */ -uint16_t get_image_word(uint32_t a, uint8_t src) { - - uint8_t c0 = 0, c1 = 0; - - // reads have to be consecutive when reading from the UART - if (src == UART_IMAGE) { // get image data via UART - c0 = (uint8_t)neo430_uart_getc(); - c1 = (uint8_t)neo430_uart_getc(); - } - else {// if (src == EEPROM_IMAGE_SPI) { // get image data from SPI EEPROM - c0 = spi_flash_read_byte(a+0); - c1 = spi_flash_read_byte(a+1); - } - - //uint16_t r = (((uint16_t)c0) << 8) | (((uint16_t)c1) << 0); - uint16_t r = neo430_combine_bytes(c0, c1); - - return r; -} - - -/* ------------------------------------------------------------ - * INFO Print error message, light up status LED and freeze system - * INFO "naked" since this is final - * PARAM error code - * ------------------------------------------------------------ */ -void __attribute__((__naked__)) system_error(uint8_t err_code){ - - neo430_uart_br_print("\a\nERR_"); // output error code with annoying bell sound - neo430_uart_print_hex_byte(err_code); - - asm volatile ("mov #0, r2"); // deactivate IRQs, no more write access to IMEM - neo430_gpio_port_set(1<> 16); - uint16_t adr_lo16 = (uint16_t)(adr >> 0); - - *lo = adr_lo16; - *mi = neo430_bswap(adr_lo16); - *hi = adr_hi16; -} - Index: neo430/sw/bootloader/Makefile =================================================================== --- neo430/sw/bootloader/Makefile (revision 196) +++ neo430/sw/bootloader/Makefile (nonexistent) @@ -1,180 +0,0 @@ -################################################################################################# -# < NEO430 BOOTLOADER Compile Script - Linux / Cygwin / Windows Subsystem for Linux version > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#------------------------------------------------------------------------------- -# USER CONFIGURATION -#------------------------------------------------------------------------------- -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (append all additional files here) -APP_SRC = bootloader.c - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=../common -# Path to main NEO430 library include files -NEO430_INC_PATH=../lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=../lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=../tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=../../rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_ASM = bootloader.s - -all: $(APP_ASM) neo430_bootloader_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -mhwmult=none -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections - -# Linker flags -LD_OPTS = -mcpu=msp430 -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: boot_crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) -T boot_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .image -O binary $@ - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used! Make sure it is synthesized!"; fi - -# Generate NEO430 executable VHDL boot image -neo430_bootloader_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -bld_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_bootloader_image.vhd - @cp neo430_bootloader_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_bootloader_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Targets:" - @echo " help - show this text" - @echo " all - compile and generate VHDL boot image and *.bin executable for upload via bootloader" - @echo " clean - clean up this project only" - @echo " clean_all - clean up everything" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/timer_simple/main.c =================================================================== --- neo430/sw/example/timer_simple/main.c (revision 196) +++ neo430/sw/example/timer_simple/main.c (nonexistent) @@ -1,104 +0,0 @@ -// ################################################################################################# -// # < simple timer usage example > # -// # ********************************************************************************************* # -// # Flashes LED using the timer interrupt. # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Macros -#define xstr(a) str(a) -#define str(a) #a - -// Configuration -#define BAUD_RATE 19200 -#define BLINK_LED 0 // pin 0 on GPIO.out -#define BLINK_FREQ 4 // in Hz - -// Function prototypes -void __attribute__((__interrupt__)) timer_irq_handler(void); - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // check if TIMER unit was synthesized, exit if no TIMER is available - if (!(SYS_FEATURES & (1< # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/freq_gen_demo/main.c =================================================================== --- neo430/sw/example/freq_gen_demo/main.c (revision 196) +++ neo430/sw/example/freq_gen_demo/main.c (nonexistent) @@ -1,84 +0,0 @@ -// ################################################################################################# -// # < Frequency generator example program > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Macros -#define xstr(a) str(a) -#define str(a) #a - -// Configuration -#define BAUD_RATE 19200 -#define FREQ_GEN_CHANNEL 0 - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // check if FREQ_GEN unit was synthesized, exit if not - if (!(SYS_FEATURES & (1< # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/game_of_life/Makefile =================================================================== --- neo430/sw/example/game_of_life/Makefile (revision 196) +++ neo430/sw/example/game_of_life/Makefile (nonexistent) @@ -1,210 +0,0 @@ -################################################################################################# -# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/game_of_life/main.c =================================================================== --- neo430/sw/example/game_of_life/main.c (revision 196) +++ neo430/sw/example/game_of_life/main.c (nonexistent) @@ -1,294 +0,0 @@ -// ################################################################################################# -// # < Conway's Game of Life > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define NUM_CELLS_X 160 // must be a multiple of 8 -#define NUM_CELLS_Y 40 -#define BAUD_RATE 19200 -#define GEN_DELAY 500 // delay between iterations in ms -#define TRNG_TAP_MASK 0b01010001000000 // highly experimental! - -// Global variables -uint8_t universe[2][NUM_CELLS_X/8][NUM_CELLS_Y]; - -// Prototypes -void clear_universe(uint8_t u); -void set_cell(uint8_t u, int16_t x, int16_t y); -uint8_t get_cell(uint8_t u, int16_t x, int16_t y); -uint8_t get_neighborhood(uint8_t u, int16_t x, int16_t y); -void print_universe(uint8_t u); -uint16_t pop_count(uint8_t u); - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - uint8_t u = 0, cell = 0, n = 0; - int16_t x, y; - uint16_t trng_available = 0; - uint8_t trng_data = 0; - - // setup UART - neo430_uart_setup(BAUD_RATE); - - - // initialize universe - uint32_t generation = 0; - clear_universe(0); - clear_universe(1); - - neo430_printf("\n\n<<< Conways's Game of Life >>>\n\n"); - neo430_printf("This program requires a terminal resolution of at least %ux%u characters.\n", NUM_CELLS_X+2, NUM_CELLS_Y+3); - neo430_printf("Press any key to start a random-initialized torus-style universe of %ux%u cells.\n", NUM_CELLS_X, NUM_CELLS_Y); - neo430_printf("You can pause/restart the simulation by pressing any key.\n"); - - // check if TRNG was synthesized - if ((SYS_FEATURES & (1<= NUM_CELLS_X) || (y >= NUM_CELLS_Y)) - return; // out of range - - universe[u][x>>3][y] |= 1 << (7 - (x & 7)); -} - - -/* ------------------------------------------------------------ - * INFO Get state of cell - * RETURN Cell state (DEAD or ALIVE) - * ------------------------------------------------------------ */ -uint8_t get_cell(uint8_t u, int16_t x, int16_t y){ - - // range check: wrap around -> torus-style universe - if (x < 0) - x = NUM_CELLS_X-1; - - if (x > NUM_CELLS_X-1) - x = 0; - - if (y < 0) - y = NUM_CELLS_Y-1; - - if (y > NUM_CELLS_Y-1) - y = 0; - - // check bit according to cell - uint8_t tmp = universe[u][x>>3][y]; - tmp &= 1 << (7 - (x & 7)); - - if (tmp == 0) - return 0; // DEAD - else - return 1; // ALIVE -} - - -/* ------------------------------------------------------------ - * INFO Get number of alive cells in direct neigborhood - * RETURN Number of set cells in neigborhood - * ------------------------------------------------------------ */ -uint8_t get_neighborhood(uint8_t u, int16_t x, int16_t y){ - -// Cell index layout: -// 012 -// 3#4 -// 567 - - uint8_t num = 0; - num += get_cell(u, x-1, y-1); // 0 - num += get_cell(u, x, y-1); // 1 - num += get_cell(u, x+1, y-1); // 2 - num += get_cell(u, x-1, y); // 3 - num += get_cell(u, x+1, y); // 4 - num += get_cell(u, x-1, y+1); // 5 - num += get_cell(u, x, y+1); // 6 - num += get_cell(u, x+1, y+1); // 7 - - return num; -} - - -/* ------------------------------------------------------------ - * INFO Population count - * RETURN 16-bit number of living cells in universe u - * ------------------------------------------------------------ */ -uint16_t pop_count(uint8_t u) { - - uint16_t x, y, cnt; - - cnt = 0; - for (x=0; x # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // intro text - neo430_uart_br_print("\n<<< Watchdog Test Program >>>\n\n"); - - // check if WDT was synthesized, exit if no WDT is available - if (!(SYS_FEATURES & (1< # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/morse_translator/Makefile =================================================================== --- neo430/sw/example/morse_translator/Makefile (revision 196) +++ neo430/sw/example/morse_translator/Makefile (nonexistent) @@ -1,210 +0,0 @@ -################################################################################################# -# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/morse_translator/main.c =================================================================== --- neo430/sw/example/morse_translator/main.c (revision 196) +++ neo430/sw/example/morse_translator/main.c (nonexistent) @@ -1,211 +0,0 @@ -// ################################################################################################# -// # < Morse code translator > # -// # ********************************************************************************************* # -// # Translates a text string into Morse code. The encode can only encode letters. # -// # Output via GPIO.output(0) (bootloader status LED). # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define MAX_STRING_LENGTH 128 // max length of plain morse text -#define LED_PIN 0 // GPIO output pin #0 -#define TIME_PRSC 192 -#define BAUD_RATE 19200 - -// Global vars -uint32_t time_base; - -// Prototypes -void send_morse(const char *s); - -// Morse alphabet (ASCII order) -const char morse_code[][7] = { - "--..--", // , - "-....-", // - - ".-.-.-", // . - "-..-.", // / - - "-----", // 0 - ".----", // 1 - "..---", // 2 - "...--", // 3 - "....-", // 4 - ".....", // 5 - "-....", // 6 - "--...", // 7 - "---..", // 8 - "----.", // 9 - - "---...", // : - "-.-.-.", // ; - "", // < (not implemented) - "-...-", // = - "", // > (not implemented) - "..--..", // ? - "", // @ (not implemented) - - ".-", // A - "-...", // B - "-.-.", // C - "-..", // D - ".", // E - "..-.", // F - "--.", // G - "....", // H - "..", // I - ".---", // J - "-.-", // K - ".-..", // L - "--", // M - "-.", // N - "---", // O - ".--.", // P - "--.-", // Q - ".-.", // R - "...", // S - "-", // T - "..-", // U - "...-", // V - ".--", // W - "-..-", // X - "-.--", // Y - "--..", // Z -}; - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - char buffer[MAX_STRING_LENGTH]; - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // configure time base - uint32_t clock = CLOCKSPEED_32bit; - time_base = 0; - while (clock >= TIME_PRSC) { - clock = clock - TIME_PRSC; - time_base++; // time base for a 'Dit' - } - - neo430_uart_br_print("\n--- Morse code translator ---\n"); - neo430_uart_br_print("Enter a string to translate it to Morse code.\n"); - neo430_uart_br_print("Output via high-active LED at GPIO.out(0) (bootloader status LED).\n"); - - // check if GPIO unit was synthesized, exit if no GPIO is available - if (!(SYS_FEATURES & (1<= 'a') && (c <= 'z')) - c -= 32; - - // in valid alphabet? - if ((c >= ',') && (c <= 'Z')) { - uint8_t index = c - ','; - neo430_uart_br_print(" "); - send_morse(morse_code[index]); - - uint32_t time = time_base * 3; // inter-letter pause - while(time--) - asm volatile ("nop"); - - } - // user abort? - if (neo430_uart_char_received() != 0) { - neo430_uart_br_print("\nAborted."); - break; - } - } - - } - - return 0; -} - - -/* ------------------------------------------------------------ - * INFO Send morse code via LED pin - * PARAM *s pointer to source morse symbol string - * ------------------------------------------------------------ */ -void send_morse(const char *s){ - - char c = 0; - uint32_t time = 0; - - while ((c = *s++)) { - - neo430_gpio_pin_set(LED_PIN); // LED on - - if (c == '.') - time = time_base; - else if (c == '-') - time = time_base * 3; - else - time = 0; - - neo430_uart_putc(c); - - // wait - while(time--) - asm volatile ("nop"); - - neo430_gpio_pin_clr(LED_PIN); // LED off - - // inter-symbol pause - time = time_base; - while(time--) - asm volatile ("nop"); - } -} \ No newline at end of file Index: neo430/sw/example/muldiv_test/main.c =================================================================== --- neo430/sw/example/muldiv_test/main.c (revision 196) +++ neo430/sw/example/muldiv_test/main.c (nonexistent) @@ -1,114 +0,0 @@ -// ################################################################################################# -// # < Test the multiplier/divider unit > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - neo430_printf("Multiplier/Divider Test\n\n"); - - // check if multiplier/divider unit was synthesized, exit if not - if (!(SYS_FEATURES & (1< # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/twi_test/Makefile =================================================================== --- neo430/sw/example/twi_test/Makefile (revision 196) +++ neo430/sw/example/twi_test/Makefile (nonexistent) @@ -1,210 +0,0 @@ -################################################################################################# -# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/twi_test/main.c =================================================================== --- neo430/sw/example/twi_test/main.c (revision 196) +++ neo430/sw/example/twi_test/main.c (nonexistent) @@ -1,235 +0,0 @@ -// ################################################################################################# -// # < TWI bus explorer > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include -#include - -// Prototypes -void scan_twi(void); -void set_speed(void); -void send_twi(void); - -// Configuration -#define BAUD_RATE 19200 - -// Global variables -uint16_t bus_claimed; - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - char buffer[8]; - uint16_t length = 0; - - neo430_uart_br_print("\n---------------------------------\n" - "--- TWI Bus Explorer Terminal ---\n" - "---------------------------------\n\n"); - - // check if TWI unit was synthesized, exit if no TWI is available - if (!(SYS_FEATURES & (1< "); - length = neo430_uart_scan(buffer, 8, 1); - neo430_uart_br_print("\n"); - - if (!length) // nothing to be done - continue; - - // decode input and execute command - if (!strcmp(buffer, "help")) { - neo430_uart_br_print("Available commands:\n" - " help - show this text\n" - " scan - scan bus for devices\n" - " start - generate START condition\n" - " stop - generate STOP condition\n" - " send - write & read single byte to/from bus\n" - " speed - select bus clock\n" - " reset - perform soft-reset\n" - " exit - exit program and return to bootloader\n\n" - "Start a new transmission by generating a START condition. Next, transfer the 7-bit device address\n" - "and the R/W flag. After that, transfer your data to be written or send a 0xFF if you want to read\n" - "data from the bus. Finish the transmission by generating a STOP condition.\n"); - } - else if (!strcmp(buffer, "start")) { - neo430_twi_generate_start(); // generate START condition - bus_claimed = 1; - } - else if (!strcmp(buffer, "stop")) { - if (bus_claimed == 0) { - neo430_uart_br_print("No active I2C transmission.\n"); - continue; - } - neo430_twi_generate_stop(); // generate STOP condition - bus_claimed = 0; - } - else if (!strcmp(buffer, "scan")) { - scan_twi(); - } - else if (!strcmp(buffer, "speed")) { - set_speed(); - } - else if (!strcmp(buffer, "send")) { - send_twi(); - } - else if (!strcmp(buffer, "reset")) { - while ((UART_CT & (1<= 0) && (prsc < 8)) { // valid? - TWI_CT = 0; // reset - TWI_CT = (1 << TWI_CT_EN) | (prsc << TWI_CT_PRSC0); - neo430_uart_br_print("\nDone.\n"); - } - else { - neo430_uart_br_print("\nInvalid selection!\n"); - return; - } - - // print new clock frequency - uint32_t clock = CLOCKSPEED_32bit; - switch (prsc) { - case 0: clock = clock / 2; break; - case 1: clock = clock / 4; break; - case 2: clock = clock / 8; break; - case 3: clock = clock / 64; break; - case 4: clock = clock / 128; break; - case 5: clock = clock / 1024; break; - case 6: clock = clock / 2048; break; - case 7: clock = clock / 4096; break; - default: clock = 0; break; - } - neo430_printf("New I2C clock: %n Hz\n", clock); -} - - -/* ------------------------------------------------------------ - * INFO Scan 7-bit TWI address space - * ------------------------------------------------------------ */ -void scan_twi(void) { - - neo430_uart_br_print("Scanning TWI bus...\n"); - uint8_t i, num_devices = 0; - for (i=0; i<128; i++) { - uint8_t twi_ack = neo430_twi_start_trans((uint8_t)(2*i+1)); - neo430_twi_generate_stop(); - - if (twi_ack == 0) { - neo430_uart_br_print("+ Found device at write-address 0x"); - neo430_uart_print_hex_byte(2*i); - neo430_uart_br_print("\n"); - num_devices++; - } - } - - if (!num_devices) { - neo430_uart_br_print("No devices found.\n"); - } -} - - -/* ------------------------------------------------------------ - * INFO Read/write 1 byte from/to bus - * ------------------------------------------------------------ */ -void send_twi(void) { - - char terminal_buffer[4]; - - if (bus_claimed == 0) { - neo430_uart_br_print("No active I2C transmission. Generate a START condition first.\n"); - return; - } - - // enter data - neo430_uart_br_print("Enter TX data (2 hex chars): "); - neo430_uart_scan(terminal_buffer, 3, 1); // 2 hex chars for address plus '\0' - uint8_t tmp = (uint8_t)neo430_hexstr_to_uint(terminal_buffer, strlen(terminal_buffer)); - uint8_t res = neo430_twi_trans(tmp); - neo430_uart_br_print("\nRX data: 0x"); - neo430_uart_print_hex_byte(neo430_twi_get_data()); - neo430_uart_br_print("\nResponse: "); - if (res == 0) - neo430_uart_br_print("ACK\n"); - else - neo430_uart_br_print("NACK\n"); - -} - Index: neo430/sw/example/coremark/core_util.c =================================================================== --- neo430/sw/example/coremark/core_util.c (revision 196) +++ neo430/sw/example/coremark/core_util.c (nonexistent) @@ -1,210 +0,0 @@ -/* -Copyright 2018 Embedded Microprocessor Benchmark Consortium (EEMBC) - -Licensed under the Apache License, Version 2.0 (the "License"); -you may not use this file except in compliance with the License. -You may obtain a copy of the License at - - http://www.apache.org/licenses/LICENSE-2.0 - -Unless required by applicable law or agreed to in writing, software -distributed under the License is distributed on an "AS IS" BASIS, -WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -See the License for the specific language governing permissions and -limitations under the License. - -Original Author: Shay Gal-on -*/ - -#include "coremark.h" -/* Function: get_seed - Get a values that cannot be determined at compile time. - - Since different embedded systems and compilers are used, 3 different methods are provided: - 1 - Using a volatile variable. This method is only valid if the compiler is forced to generate code that - reads the value of a volatile variable from memory at run time. - Please note, if using this method, you would need to modify core_portme.c to generate training profile. - 2 - Command line arguments. This is the preferred method if command line arguments are supported. - 3 - System function. If none of the first 2 methods is available on the platform, - a system function which is not a stub can be used. - - e.g. read the value on GPIO pins connected to switches, or invoke special simulator functions. -*/ -#if (SEED_METHOD==SEED_VOLATILE) - extern volatile ee_s32 seed1_volatile; - extern volatile ee_s32 seed2_volatile; - extern volatile ee_s32 seed3_volatile; - extern volatile ee_s32 seed4_volatile; - extern volatile ee_s32 seed5_volatile; - ee_s32 get_seed_32(int i) { - ee_s32 retval; - switch (i) { - case 1: - retval=seed1_volatile; - break; - case 2: - retval=seed2_volatile; - break; - case 3: - retval=seed3_volatile; - break; - case 4: - retval=seed4_volatile; - break; - case 5: - retval=seed5_volatile; - break; - default: - retval=0; - break; - } - return retval; - } -#elif (SEED_METHOD==SEED_ARG) -ee_s32 parseval(char *valstring) { - ee_s32 retval=0; - ee_s32 neg=1; - int hexmode=0; - if (*valstring == '-') { - neg=-1; - valstring++; - } - if ((valstring[0] == '0') && (valstring[1] == 'x')) { - hexmode=1; - valstring+=2; - } - /* first look for digits */ - if (hexmode) { - while (((*valstring >= '0') && (*valstring <= '9')) || ((*valstring >= 'a') && (*valstring <= 'f'))) { - ee_s32 digit=*valstring-'0'; - if (digit>9) - digit=10+*valstring-'a'; - retval*=16; - retval+=digit; - valstring++; - } - } else { - while ((*valstring >= '0') && (*valstring <= '9')) { - ee_s32 digit=*valstring-'0'; - retval*=10; - retval+=digit; - valstring++; - } - } - /* now add qualifiers */ - if (*valstring=='K') - retval*=1024; - if (*valstring=='M') - retval*=1024*1024; - - retval*=neg; - return retval; -} - -ee_s32 get_seed_args(int i, int argc, char *argv[]) { - if (argc>i) - return parseval(argv[i]); - return 0; -} - -#elif (SEED_METHOD==SEED_FUNC) -/* If using OS based function, you must define and implement the functions below in core_portme.h and core_portme.c ! */ -ee_s32 get_seed_32(int i) { - ee_s32 retval; - switch (i) { - case 1: - retval=portme_sys1(); - break; - case 2: - retval=portme_sys2(); - break; - case 3: - retval=portme_sys3(); - break; - case 4: - retval=portme_sys4(); - break; - case 5: - retval=portme_sys5(); - break; - default: - retval=0; - break; - } - return retval; -} -#endif - -/* Function: crc* - Service functions to calculate 16b CRC code. - -*/ -ee_u16 crcu8(ee_u8 data, ee_u16 crc ) -{ - ee_u8 i=0,x16=0,carry=0; - - for (i = 0; i < 8; i++) - { - x16 = (ee_u8)((data & 1) ^ ((ee_u8)crc & 1)); - data >>= 1; - - if (x16 == 1) - { - crc ^= 0x4002; - carry = 1; - } - else - carry = 0; - crc >>= 1; - if (carry) - crc |= 0x8000; - else - crc &= 0x7fff; - } - return crc; -} -ee_u16 crcu16(ee_u16 newval, ee_u16 crc) { - crc=crcu8( (ee_u8) (newval) ,crc); - crc=crcu8( (ee_u8) ((newval)>>8) ,crc); - return crc; -} -ee_u16 crcu32(ee_u32 newval, ee_u16 crc) { - crc=crc16((ee_s16) newval ,crc); - crc=crc16((ee_s16) (newval>>16) ,crc); - return crc; -} -ee_u16 crc16(ee_s16 newval, ee_u16 crc) { - return crcu16((ee_u16)newval, crc); -} - -ee_u8 check_data_types() { - ee_u8 retval=0; - if (sizeof(ee_u8) != 1) { - ee_printf("ERROR: ee_u8 is not an 8b datatype!\n"); - retval++; - } - if (sizeof(ee_u16) != 2) { - ee_printf("ERROR: ee_u16 is not a 16b datatype!\n"); - retval++; - } - if (sizeof(ee_s16) != 2) { - ee_printf("ERROR: ee_s16 is not a 16b datatype!\n"); - retval++; - } - if (sizeof(ee_s32) != 4) { - ee_printf("ERROR: ee_s32 is not a 32b datatype!\n"); - retval++; - } - if (sizeof(ee_u32) != 4) { - ee_printf("ERROR: ee_u32 is not a 32b datatype!\n"); - retval++; - } - if (sizeof(ee_ptr_int) != sizeof(int *)) { - ee_printf("ERROR: ee_ptr_int is not a datatype that holds an int pointer!\n"); - retval++; - } - if (retval>0) { - ee_printf("ERROR: Please modify the datatypes in core_portme.h!\n"); - } - return retval; -} Index: neo430/sw/example/coremark/core_state.c =================================================================== --- neo430/sw/example/coremark/core_state.c (revision 196) +++ neo430/sw/example/coremark/core_state.c (nonexistent) @@ -1,277 +0,0 @@ -/* -Copyright 2018 Embedded Microprocessor Benchmark Consortium (EEMBC) - -Licensed under the Apache License, Version 2.0 (the "License"); -you may not use this file except in compliance with the License. -You may obtain a copy of the License at - - http://www.apache.org/licenses/LICENSE-2.0 - -Unless required by applicable law or agreed to in writing, software -distributed under the License is distributed on an "AS IS" BASIS, -WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -See the License for the specific language governing permissions and -limitations under the License. - -Original Author: Shay Gal-on -*/ - -#include "coremark.h" -/* local functions */ -enum CORE_STATE core_state_transition( ee_u8 **instr , ee_u32 *transition_count); - -/* -Topic: Description - Simple state machines like this one are used in many embedded products. - - For more complex state machines, sometimes a state transition table implementation is used instead, - trading speed of direct coding for ease of maintenance. - - Since the main goal of using a state machine in CoreMark is to excercise the switch/if behaviour, - we are using a small moore machine. - - In particular, this machine tests type of string input, - trying to determine whether the input is a number or something else. - (see core_state.png). -*/ - -/* Function: core_bench_state - Benchmark function - - Go over the input twice, once direct, and once after introducing some corruption. -*/ -ee_u16 core_bench_state(ee_u32 blksize, ee_u8 *memblock, - ee_s16 seed1, ee_s16 seed2, ee_s16 step, ee_u16 crc) -{ - ee_u32 final_counts[NUM_CORE_STATES]; - ee_u32 track_counts[NUM_CORE_STATES]; - ee_u8 *p=memblock; - ee_u32 i; - - -#if CORE_DEBUG - ee_printf("State Bench: %d,%d,%d,%04x\n",seed1,seed2,step,crc); -#endif - for (i=0; i0) { - for(i=0;i>3) & 0x3]; - next=4; - break; - case 3: /* float */ - case 4: /* float */ - buf=floatpat[(seed>>3) & 0x3]; - next=8; - break; - case 5: /* scientific */ - case 6: /* scientific */ - buf=scipat[(seed>>3) & 0x3]; - next=8; - break; - case 7: /* invalid */ - buf=errpat[(seed>>3) & 0x3]; - next=8; - break; - default: /* Never happen, just to make some compilers happy */ - break; - } - } - size++; - while (total='0') & (c<='9')) ? 1 : 0; - return retval; -} - -/* Function: core_state_transition - Actual state machine. - - The state machine will continue scanning until either: - 1 - an invalid input is detcted. - 2 - a valid number has been detected. - - The input pointer is updated to point to the end of the token, and the end state is returned (either specific format determined or invalid). -*/ - -enum CORE_STATE core_state_transition( ee_u8 **instr , ee_u32 *transition_count) { - ee_u8 *str=*instr; - ee_u8 NEXT_SYMBOL; - enum CORE_STATE state=CORE_START; - for( ; *str && state != CORE_INVALID; str++ ) { - NEXT_SYMBOL = *str; - if (NEXT_SYMBOL==',') /* end of this input */ { - str++; - break; - } - switch(state) { - case CORE_START: - if(ee_isdigit(NEXT_SYMBOL)) { - state = CORE_INT; - } - else if( NEXT_SYMBOL == '+' || NEXT_SYMBOL == '-' ) { - state = CORE_S1; - } - else if( NEXT_SYMBOL == '.' ) { - state = CORE_FLOAT; - } - else { - state = CORE_INVALID; - transition_count[CORE_INVALID]++; - } - transition_count[CORE_START]++; - break; - case CORE_S1: - if(ee_isdigit(NEXT_SYMBOL)) { - state = CORE_INT; - transition_count[CORE_S1]++; - } - else if( NEXT_SYMBOL == '.' ) { - state = CORE_FLOAT; - transition_count[CORE_S1]++; - } - else { - state = CORE_INVALID; - transition_count[CORE_S1]++; - } - break; - case CORE_INT: - if( NEXT_SYMBOL == '.' ) { - state = CORE_FLOAT; - transition_count[CORE_INT]++; - } - else if(!ee_isdigit(NEXT_SYMBOL)) { - state = CORE_INVALID; - transition_count[CORE_INT]++; - } - break; - case CORE_FLOAT: - if( NEXT_SYMBOL == 'E' || NEXT_SYMBOL == 'e' ) { - state = CORE_S2; - transition_count[CORE_FLOAT]++; - } - else if(!ee_isdigit(NEXT_SYMBOL)) { - state = CORE_INVALID; - transition_count[CORE_FLOAT]++; - } - break; - case CORE_S2: - if( NEXT_SYMBOL == '+' || NEXT_SYMBOL == '-' ) { - state = CORE_EXPONENT; - transition_count[CORE_S2]++; - } - else { - state = CORE_INVALID; - transition_count[CORE_S2]++; - } - break; - case CORE_EXPONENT: - if(ee_isdigit(NEXT_SYMBOL)) { - state = CORE_SCIENTIFIC; - transition_count[CORE_EXPONENT]++; - } - else { - state = CORE_INVALID; - transition_count[CORE_EXPONENT]++; - } - break; - case CORE_SCIENTIFIC: - if(!ee_isdigit(NEXT_SYMBOL)) { - state = CORE_INVALID; - transition_count[CORE_INVALID]++; - } - break; - default: - break; - } - } - *instr=str; - return state; -} Index: neo430/sw/example/coremark/coremark.h =================================================================== --- neo430/sw/example/coremark/coremark.h (revision 196) +++ neo430/sw/example/coremark/coremark.h (nonexistent) @@ -1,174 +0,0 @@ -/* -Copyright 2018 Embedded Microprocessor Benchmark Consortium (EEMBC) - -Licensed under the Apache License, Version 2.0 (the "License"); -you may not use this file except in compliance with the License. -You may obtain a copy of the License at - - http://www.apache.org/licenses/LICENSE-2.0 - -Unless required by applicable law or agreed to in writing, software -distributed under the License is distributed on an "AS IS" BASIS, -WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -See the License for the specific language governing permissions and -limitations under the License. - -Original Author: Shay Gal-on -*/ - -/* Topic: Description - This file contains declarations of the various benchmark functions. -*/ - -/* Configuration: TOTAL_DATA_SIZE - Define total size for data algorithms will operate on -*/ -#ifndef TOTAL_DATA_SIZE -#define TOTAL_DATA_SIZE 2*1000 -#endif - -#define SEED_ARG 0 -#define SEED_FUNC 1 -#define SEED_VOLATILE 2 - -#define MEM_STATIC 0 -#define MEM_MALLOC 1 -#define MEM_STACK 2 - -#include "core_portme.h" - -#if HAS_STDIO -#include -#endif -#if HAS_PRINTF -#define ee_printf printf -#endif - -/* Actual benchmark execution in iterate */ -void *iterate(void *pres); - -/* Typedef: secs_ret - For machines that have floating point support, get number of seconds as a double. - Otherwise an unsigned int. -*/ -#if HAS_FLOAT -typedef double secs_ret; -#else -typedef ee_u32 secs_ret; -#endif - -#if MAIN_HAS_NORETURN -#define MAIN_RETURN_VAL -#define MAIN_RETURN_TYPE void -#else -#define MAIN_RETURN_VAL 0 -#define MAIN_RETURN_TYPE int -#endif - -void start_time(void); -void stop_time(void); -CORE_TICKS get_time(void); -secs_ret time_in_secs(CORE_TICKS ticks); - -/* Misc useful functions */ -ee_u16 crcu8(ee_u8 data, ee_u16 crc); -ee_u16 crc16(ee_s16 newval, ee_u16 crc); -ee_u16 crcu16(ee_u16 newval, ee_u16 crc); -ee_u16 crcu32(ee_u32 newval, ee_u16 crc); -ee_u8 check_data_types(); -void *portable_malloc(ee_size_t size); -void portable_free(void *p); -ee_s32 parseval(char *valstring); - -/* Algorithm IDS */ -#define ID_LIST (1<<0) -#define ID_MATRIX (1<<1) -#define ID_STATE (1<<2) -#define ALL_ALGORITHMS_MASK (ID_LIST|ID_MATRIX|ID_STATE) -#define NUM_ALGORITHMS 3 - -/* list data structures */ -typedef struct list_data_s { - ee_s16 data16; - ee_s16 idx; -} list_data; - -typedef struct list_head_s { - struct list_head_s *next; - struct list_data_s *info; -} list_head; - - -/*matrix benchmark related stuff */ -#define MATDAT_INT 1 -#if MATDAT_INT -typedef ee_s16 MATDAT; -typedef ee_s32 MATRES; -#else -typedef ee_f16 MATDAT; -typedef ee_f32 MATRES; -#endif - -typedef struct MAT_PARAMS_S { - int N; - MATDAT *A; - MATDAT *B; - MATRES *C; -} mat_params; - -/* state machine related stuff */ -/* List of all the possible states for the FSM */ -typedef enum CORE_STATE { - CORE_START=0, - CORE_INVALID, - CORE_S1, - CORE_S2, - CORE_INT, - CORE_FLOAT, - CORE_EXPONENT, - CORE_SCIENTIFIC, - NUM_CORE_STATES -} core_state_e ; - - -/* Helper structure to hold results */ -typedef struct RESULTS_S { - /* inputs */ - ee_s16 seed1; /* Initializing seed */ - ee_s16 seed2; /* Initializing seed */ - ee_s16 seed3; /* Initializing seed */ - void *memblock[4]; /* Pointer to safe memory location */ - ee_u32 size; /* Size of the data */ - ee_u32 iterations; /* Number of iterations to execute */ - ee_u32 execs; /* Bitmask of operations to execute */ - struct list_head_s *list; - mat_params mat; - /* outputs */ - ee_u16 crc; - ee_u16 crclist; - ee_u16 crcmatrix; - ee_u16 crcstate; - ee_s16 err; - /* ultithread specific */ - core_portable port; -} core_results; - -/* Multicore execution handling */ -#if (MULTITHREAD>1) -ee_u8 core_start_parallel(core_results *res); -ee_u8 core_stop_parallel(core_results *res); -#endif - -/* list benchmark functions */ -list_head *core_list_init(ee_u32 blksize, list_head *memblock, ee_s16 seed); -ee_u16 core_bench_list(core_results *res, ee_s16 finder_idx); - -/* state benchmark functions */ -void core_init_state(ee_u32 size, ee_s16 seed, ee_u8 *p); -ee_u16 core_bench_state(ee_u32 blksize, ee_u8 *memblock, - ee_s16 seed1, ee_s16 seed2, ee_s16 step, ee_u16 crc); - -/* matrix benchmark functions */ -ee_u32 core_init_matrix(ee_u32 blksize, void *memblk, ee_s32 seed, mat_params *p); -ee_u16 core_bench_matrix(mat_params *p, ee_s16 seed, ee_u16 crc); - Index: neo430/sw/example/coremark/ee_printf.c =================================================================== --- neo430/sw/example/coremark/ee_printf.c (revision 196) +++ neo430/sw/example/coremark/ee_printf.c (nonexistent) @@ -1,634 +0,0 @@ -/* File : barebones/ee_printf.c - This file contains an implementation of ee_printf that only requires a method to output a char to a UART without pulling in library code. - -This code is based on a file that contains the following: - Copyright (C) 2002 Michael Ringgaard. All rights reserved. - - Redistribution and use in source and binary forms, with or without - modification, are permitted provided that the following conditions - are met: - - 1. Redistributions of source code must retain the above copyright - notice, this list of conditions and the following disclaimer. - 2. Redistributions in binary form must reproduce the above copyright - notice, this list of conditions and the following disclaimer in the - documentation and/or other materials provided with the distribution. - 3. Neither the name of the project nor the names of its contributors - may be used to endorse or promote products derived from this software - without specific prior written permission. - - THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND - ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE - IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE - ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE - FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL - DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS - OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) - HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT - LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY - OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF - SUCH DAMAGE. - -*/ - -#include -#include -#include -#include -#include - -#define ZEROPAD (1<<0) /* Pad with zero */ -#define SIGN (1<<1) /* Unsigned/signed long */ -#define PLUS (1<<2) /* Show plus */ -#define SPACE (1<<3) /* Spacer */ -#define LEFT (1<<4) /* Left justified */ -#define HEX_PREP (1<<5) /* 0x */ -#define UPPERCASE (1<<6) /* 'ABCDEF' */ - -#define is_digit(c) ((c) >= '0' && (c) <= '9') - -/* - Serial initialization and new line replacement is a direct copy from mbed_retarget.cpp - If the static modifier were to be removed, this part of the code would not be necessary. -*/ -//#include "hal/serial_api.h" - -#if DEVICE_SERIAL -static serial_t stdio_uart = { 0 }; -#if MBED_CONF_PLATFORM_STDIO_CONVERT_NEWLINES -static char mbed_stdio_out_prev = 0; -#endif -#endif - -/* module variable for keeping track of initialization */ -static bool not_initialized = true; - -static void init_serial() -{ - if (not_initialized) - { - not_initialized = false; - -#if DEVICE_SERIAL -// serial_init(&stdio_uart, STDIO_UART_TX, STDIO_UART_RX); -#if MBED_CONF_PLATFORM_STDIO_BAUD_RATE -// serial_baud(&stdio_uart, MBED_CONF_PLATFORM_STDIO_BAUD_RATE); -#endif -#endif - } -} - -#define MBED_INITIALIZE_PRINT(x) { init_serial(); } -#define MBED_PRINT_CHARACTER(x) { serial_putc(&stdio_uart, x); } - -static char *lower_digits = "0123456789abcdefghijklmnopqrstuvwxyz"; -static char *upper_digits = "0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ"; - -static int ee_skip_atoi(const char **s) -{ - int i = 0; - while (is_digit(**s)) i = i*10 + *((*s)++) - '0'; - return i; -} - -static char *ee_number(char *str, long num, int base, int size, int precision, int type) -{ - char c, sign, tmp[66]; - char *dig = lower_digits; - int i; - - if (type & UPPERCASE) dig = upper_digits; - if (type & LEFT) type &= ~ZEROPAD; - if (base < 2 || base > 36) return 0; - - c = (type & ZEROPAD) ? '0' : ' '; - sign = 0; - if (type & SIGN) - { - if (num < 0) - { - sign = '-'; - num = -num; - size--; - } - else if (type & PLUS) - { - sign = '+'; - size--; - } - else if (type & SPACE) - { - sign = ' '; - size--; - } - } - - if (type & HEX_PREP) - { - if (base == 16) - size -= 2; - else if (base == 8) - size--; - } - - i = 0; - - if (num == 0) - tmp[i++] = '0'; - else - { - while (num != 0) - { - tmp[i++] = dig[((unsigned long) num) % (unsigned) base]; - num = ((unsigned long) num) / (unsigned) base; - } - } - - if (i > precision) precision = i; - size -= precision; - if (!(type & (ZEROPAD | LEFT))) while (size-- > 0) *str++ = ' '; - if (sign) *str++ = sign; - - if (type & HEX_PREP) - { - if (base == 8) - *str++ = '0'; - else if (base == 16) - { - *str++ = '0'; - *str++ = lower_digits[33]; - } - } - - if (!(type & LEFT)) while (size-- > 0) *str++ = c; - while (i < precision--) *str++ = '0'; - while (i-- > 0) *str++ = tmp[i]; - while (size-- > 0) *str++ = ' '; - - return str; -} - -static char *eaddr(char *str, unsigned char *addr, int size, int precision, int type) -{ - char tmp[24]; - char *dig = lower_digits; - int i, len; - - if (type & UPPERCASE) dig = upper_digits; - len = 0; - for (i = 0; i < 6; i++) - { - if (i != 0) tmp[len++] = ':'; - tmp[len++] = dig[addr[i] >> 4]; - tmp[len++] = dig[addr[i] & 0x0F]; - } - - if (!(type & LEFT)) while (len < size--) *str++ = ' '; - for (i = 0; i < len; ++i) *str++ = tmp[i]; - while (len < size--) *str++ = ' '; - - return str; -} - -static char *iaddr(char *str, unsigned char *addr, int size, int precision, int type) -{ - char tmp[24]; - int i, n, len; - - len = 0; - for (i = 0; i < 4; i++) - { - if (i != 0) tmp[len++] = '.'; - n = addr[i]; - - if (n == 0) - tmp[len++] = lower_digits[0]; - else - { - if (n >= 100) - { - tmp[len++] = lower_digits[n / 100]; - n = n % 100; - tmp[len++] = lower_digits[n / 10]; - n = n % 10; - } - else if (n >= 10) - { - tmp[len++] = lower_digits[n / 10]; - n = n % 10; - } - - tmp[len++] = lower_digits[n]; - } - } - - if (!(type & LEFT)) while (len < size--) *str++ = ' '; - for (i = 0; i < len; ++i) *str++ = tmp[i]; - while (len < size--) *str++ = ' '; - - return str; -} - -#if defined(HAS_FLOAT) && HAS_FLOAT == 1 - -static void ee_bufcpy(char *d, char *s, int count); - -void ee_bufcpy(char *pd, char *ps, int count) { - char *pe=ps+count; - while (ps!=pe) - *pd++=*ps++; -} - -static void parse_float(double value, char *buffer, char fmt, int precision) -{ - int decpt, sign, exp, pos; - char *fdigits = NULL; - char cvtbuf[80]; - int capexp = 0; - int magnitude; - - if (fmt == 'G' || fmt == 'E') - { - capexp = 1; - fmt += 'a' - 'A'; - } - - if (fmt == 'g') - { - fdigits = ecvtbuf(value, precision, &decpt, &sign, cvtbuf); - magnitude = decpt - 1; - if (magnitude < -4 || magnitude > precision - 1) - { - fmt = 'e'; - precision -= 1; - } - else - { - fmt = 'f'; - precision -= decpt; - } - } - - if (fmt == 'e') - { - fdigits = ecvtbuf(value, precision + 1, &decpt, &sign, cvtbuf); - - if (sign) *buffer++ = '-'; - *buffer++ = *fdigits; - if (precision > 0) *buffer++ = '.'; - ee_bufcpy(buffer, fdigits + 1, precision); - buffer += precision; - *buffer++ = capexp ? 'E' : 'e'; - - if (decpt == 0) - { - if (value == 0.0) - exp = 0; - else - exp = -1; - } - else - exp = decpt - 1; - - if (exp < 0) - { - *buffer++ = '-'; - exp = -exp; - } - else - *buffer++ = '+'; - - buffer[2] = (exp % 10) + '0'; - exp = exp / 10; - buffer[1] = (exp % 10) + '0'; - exp = exp / 10; - buffer[0] = (exp % 10) + '0'; - buffer += 3; - } - else if (fmt == 'f') - { - fdigits = fcvtbuf(value, precision, &decpt, &sign, cvtbuf); - if (sign) *buffer++ = '-'; - if (*fdigits) - { - if (decpt <= 0) - { - *buffer++ = '0'; - *buffer++ = '.'; - for (pos = 0; pos < -decpt; pos++) *buffer++ = '0'; - while (*fdigits) *buffer++ = *fdigits++; - } - else - { - pos = 0; - while (*fdigits) - { - if (pos++ == decpt) *buffer++ = '.'; - *buffer++ = *fdigits++; - } - } - } - else - { - *buffer++ = '0'; - if (precision > 0) - { - *buffer++ = '.'; - for (pos = 0; pos < precision; pos++) *buffer++ = '0'; - } - } - } - - *buffer = '\0'; -} - -static void decimal_point(char *buffer) -{ - while (*buffer) - { - if (*buffer == '.') return; - if (*buffer == 'e' || *buffer == 'E') break; - buffer++; - } - - if (*buffer) - { - int n = strnlen(buffer,256); - while (n > 0) - { - buffer[n + 1] = buffer[n]; - n--; - } - - *buffer = '.'; - } - else - { - *buffer++ = '.'; - *buffer = '\0'; - } -} - -static void cropzeros(char *buffer) -{ - char *stop; - - while (*buffer && *buffer != '.') buffer++; - if (*buffer++) - { - while (*buffer && *buffer != 'e' && *buffer != 'E') buffer++; - stop = buffer--; - while (*buffer == '0') buffer--; - if (*buffer == '.') buffer--; - while (buffer!=stop) - *++buffer=0; - } -} - -static char *flt(char *str, double num, int size, int precision, char fmt, int flags) -{ - char tmp[80]; - char c, sign; - int n, i; - - // Left align means no zero padding - if (flags & LEFT) flags &= ~ZEROPAD; - - // Determine padding and sign char - c = (flags & ZEROPAD) ? '0' : ' '; - sign = 0; - if (flags & SIGN) - { - if (num < 0.0) - { - sign = '-'; - num = -num; - size--; - } - else if (flags & PLUS) - { - sign = '+'; - size--; - } - else if (flags & SPACE) - { - sign = ' '; - size--; - } - } - - // Compute the precision value - if (precision < 0) - precision = 6; // Default precision: 6 - - // Convert floating point number to text - parse_float(num, tmp, fmt, precision); - - if ((flags & HEX_PREP) && precision == 0) decimal_point(tmp); - if (fmt == 'g' && !(flags & HEX_PREP)) cropzeros(tmp); - - n = strnlen(tmp,256); - - // Output number with alignment and padding - size -= n; - if (!(flags & (ZEROPAD | LEFT))) while (size-- > 0) *str++ = ' '; - if (sign) *str++ = sign; - if (!(flags & LEFT)) while (size-- > 0) *str++ = c; - for (i = 0; i < n; i++) *str++ = tmp[i]; - while (size-- > 0) *str++ = ' '; - - return str; -} - -#endif - -static int ee_vsprintf(char *buf, const char *fmt, va_list args) -{ - int len; - unsigned long num; - int i, base; - char *str; - char *s; - - int flags; // Flags to number() - - int field_width; // Width of output field - int precision; // Min. # of digits for integers; max number of chars for from string - int qualifier; // 'h', 'l', or 'L' for integer fields - - for (str = buf; *fmt; fmt++) - { - if (*fmt != '%') - { - *str++ = *fmt; - continue; - } - - // Process flags - flags = 0; -repeat: - fmt++; // This also skips first '%' - switch (*fmt) - { - case '-': flags |= LEFT; goto repeat; - case '+': flags |= PLUS; goto repeat; - case ' ': flags |= SPACE; goto repeat; - case '#': flags |= HEX_PREP; goto repeat; - case '0': flags |= ZEROPAD; goto repeat; - } - - // Get field width - field_width = -1; - if (is_digit(*fmt)) - field_width = ee_skip_atoi(&fmt); - else if (*fmt == '*') - { - fmt++; - field_width = va_arg(args, int); - if (field_width < 0) - { - field_width = -field_width; - flags |= LEFT; - } - } - - // Get the precision - precision = -1; - if (*fmt == '.') - { - ++fmt; - if (is_digit(*fmt)) - precision = ee_skip_atoi(&fmt); - else if (*fmt == '*') - { - ++fmt; - precision = va_arg(args, int); - } - if (precision < 0) precision = 0; - } - - // Get the conversion qualifier - qualifier = -1; - if (*fmt == 'l' || *fmt == 'L') - { - qualifier = *fmt; - fmt++; - } - - // Default base - base = 10; - - switch (*fmt) - { - case 'c': - if (!(flags & LEFT)) while (--field_width > 0) *str++ = ' '; - *str++ = (unsigned char) va_arg(args, int); - while (--field_width > 0) *str++ = ' '; - continue; - - case 's': - s = va_arg(args, char *); - if (!s) s = ""; - len = strnlen(s, precision); - if (!(flags & LEFT)) while (len < field_width--) *str++ = ' '; - for (i = 0; i < len; ++i) *str++ = *s++; - while (len < field_width--) *str++ = ' '; - continue; - - case 'p': - if (field_width == -1) - { - field_width = 2 * sizeof(void *); - flags |= ZEROPAD; - } - str = ee_number(str, (unsigned long) va_arg(args, void *), 16, field_width, precision, flags); - continue; - - case 'A': - flags |= UPPERCASE; - - case 'a': - if (qualifier == 'l') - str = eaddr(str, va_arg(args, unsigned char *), field_width, precision, flags); - else - str = iaddr(str, va_arg(args, unsigned char *), field_width, precision, flags); - continue; - - // Integer number formats - set up the flags and "break" - case 'o': - base = 8; - break; - - case 'X': - flags |= UPPERCASE; - - case 'x': - base = 16; - break; - - case 'd': - case 'i': - flags |= SIGN; - - case 'u': - break; - -#if defined(HAS_FLOAT) && HAS_FLOAT == 1 - - case 'f': - str = flt(str, va_arg(args, double), field_width, precision, *fmt, flags | SIGN); - continue; - -#endif - - default: - if (*fmt != '%') *str++ = '%'; - if (*fmt) - *str++ = *fmt; - else - --fmt; - continue; - } - - if (qualifier == 'l') - num = va_arg(args, unsigned long); - else if (flags & SIGN) - num = va_arg(args, int); - else - num = va_arg(args, unsigned int); - - str = ee_number(str, num, base, field_width, precision, flags); - } - - *str = '\0'; - return str - buf; -} - -void uart_send_char(char c) { - // this is Mbed OS putc to standard uart - //MBED_PRINT_CHARACTER(c); - if (c == '\n') - neo430_uart_putc('\r'); - neo430_uart_putc(c); -} - -int ee_printf(const char *fmt, ...) -{ - MBED_INITIALIZE_PRINT(); - - char buf[15*80],*p; - va_list args; - int n=0; - - va_start(args, fmt); - ee_vsprintf(buf, fmt, args); - va_end(args); - p=buf; - while (*p) { - uart_send_char(*p); - n++; - p++; - } - - return n; -} Index: neo430/sw/example/coremark/README.md =================================================================== --- neo430/sw/example/coremark/README.md (revision 196) +++ neo430/sw/example/coremark/README.md (nonexistent) @@ -1,396 +0,0 @@ - -# Introduction - -CoreMark's primary goals are simplicity and providing a method for testing only a processor's core features. For more information about EEMBC's comprehensive embedded benchmark suites, please see www.eembc.org. - -For a more compute-intensive version of CoreMark that uses larger datasets and execution loops taken from common applications, please check out EEMBC's [CoreMark-PRO](https://www.github.com/eembc/coremark-pro) benchmark, also on GitHub. - -# Building and Running - -To build and run the benchmark, type - -`> make` - -Full results are available in the files `run1.log` and `run2.log`. CoreMark result can be found in `run1.log`. - -## Cross Compiling - -For cross compile platforms please adjust `core_portme.mak`, `core_portme.h` (and possibly `core_portme.c`) according to the specific platform used. When porting to a new platform, it is recommended to copy one of the default port folders (e.g. `mkdir && cp linux/* `), adjust the porting files, and run: -~~~ -% make PORT_DIR= -~~~ - -## Make Targets -`run` - Default target, creates `run1.log` and `run2.log`. -`run1.log` - Run the benchmark with performance parameters, and output to `run1.log` -`run2.log` - Run the benchmark with validation parameters, and output to `run2.log` -`run3.log` - Run the benchmark with profile generation parameters, and output to `run3.log` -`compile` - compile the benchmark executable -`link` - link the benchmark executable -`check` - test MD5 of sources that may not be modified -`clean` - clean temporary files - -### Make flag: `ITERATIONS` -By default, the benchmark will run between 10-100 seconds. To override, use `ITERATIONS=N` -~~~ -% make ITERATIONS=10 -~~~ -Will run the benchmark for 10 iterations. It is recommended to set a specific number of iterations in certain situations e.g.: - -* Running with a simulator -* Measuring power/energy -* Timing cannot be restarted - -Minimum required run time: **Results are only valid for reporting if the benchmark ran for at least 10 secs!** - -### Make flag: `XCFLAGS` -To add compiler flags from the command line, use `XCFLAGS` e.g.: - -~~~ -% make XCFLAGS="-g -DMULTITHREAD=4 -DUSE_FORK=1" -~~~ - -### Make flag: `CORE_DEBUG` - -Define to compile for a debug run if you get incorrect CRC. - -~~~ -% make XCFLAGS="-DCORE_DEBUG=1" -~~~ - -### Make flag: `REBUILD` - -Force a rebuild of the executable. - -## Systems Without `make` -The following files need to be compiled: -* `core_list_join.c` -* `core_main.c` -* `core_matrix.c` -* `core_state.c` -* `core_util.c` -* `PORT_DIR/core_portme.c` - -For example: -~~~ -% gcc -O2 -o coremark.exe core_list_join.c core_main.c core_matrix.c core_state.c core_util.c simple/core_portme.c -DPERFORMANCE_RUN=1 -DITERATIONS=1000 -% ./coremark.exe > run1.log -~~~ -The above will compile the benchmark for a performance run and 1000 iterations. Output is redirected to `run1.log`. - -# Parallel Execution -Use `XCFLAGS=-DMULTITHREAD=N` where N is number of threads to run in parallel. Several implementations are available to execute in multiple contexts, or you can implement your own in `core_portme.c`. - -~~~ -% make XCFLAGS="-DMULTITHREAD=4 -DUSE_PTHREAD" -~~~ - -Above will compile the benchmark for execution on 4 cores, using POSIX Threads API. - -# Run Parameters for the Benchmark Executable -CoreMark's executable takes several parameters as follows (but only if `main()` accepts arguments): -1st - A seed value used for initialization of data. -2nd - A seed value used for initialization of data. -3rd - A seed value used for initialization of data. -4th - Number of iterations (0 for auto : default value) -5th - Reserved for internal use. -6th - Reserved for internal use. -7th - For malloc users only, ovreride the size of the input data buffer. - -The run target from make will run coremark with 2 different data initialization seeds. - -## Alternative parameters: -If not using `malloc` or command line arguments are not supported, the buffer size -for the algorithms must be defined via the compiler define `TOTAL_DATA_SIZE`. -`TOTAL_DATA_SIZE` must be set to 2000 bytes (default) for standard runs. -The default for such a target when testing different configurations could be: - -~~~ -% make XCFLAGS="-DTOTAL_DATA_SIZE=6000 -DMAIN_HAS_NOARGC=1" -~~~ - -# Submitting Results - -CoreMark results can be submitted on the web. Open a web browser and go to the [submission page](https://www.eembc.org/coremark/submit.php). After registering an account you may enter a score. - -# Run Rules -What is and is not allowed. - -## Required -1. The benchmark needs to run for at least 10 seconds. -2. All validation must succeed for seeds `0,0,0x66` and `0x3415,0x3415,0x66`, buffer size of 2000 bytes total. - * If not using command line arguments to main: -~~~ - % make XCFLAGS="-DPERFORMANCE_RUN=1" REBUILD=1 run1.log - % make XCFLAGS="-DVALIDATION_RUN=1" REBUILD=1 run2.log -~~~ -3. If using profile guided optimization, profile must be generated using seeds of `8,8,8`, and buffer size of 1200 bytes total. -~~~ - % make XCFLAGS="-DTOTAL_DATA_SIZE=1200 -DPROFILE_RUN=1" REBUILD=1 run3.log -~~~ -4. All source files must be compiled with the same flags. -5. All data type sizes must match size in bits such that: - * `ee_u8` is an unsigned 8-bit datatype. - * `ee_s16` is a signed 16-bit datatype. - * `ee_u16` is an unsigned 16-bit datatype. - * `ee_s32` is a signed 32-bit datatype. - * `ee_u32` is an unsigned 32-bit datatype. - -## Allowed - -1. Changing number of iterations -2. Changing toolchain and build/load/run options -3. Changing method of acquiring a data memory block -5. Changing the method of acquiring seed values -6. Changing implementation `in core_portme.c` -7. Changing configuration values in `core_portme.h` -8. Changing `core_portme.mak` - -## NOT ALLOWED -1. Changing of source file other then `core_portme*` (use `make check` to validate) - -# Reporting rules -Use the following syntax to report results on a data sheet: - -CoreMark 1.0 : N / C [/ P] [/ M] - -N - Number of iterations per second with seeds 0,0,0x66,size=2000) - -C - Compiler version and flags - -P - Parameters such as data and code allocation specifics - -* This parameter *may* be omitted if all data was allocated on the heap in RAM. -* This parameter *may not* be omitted when reporting CoreMark/MHz - -M - Type of parallel execution (if used) and number of contexts -* This parameter may be omitted if parallel execution was not used. - -e.g.: - -~~~ -CoreMark 1.0 : 128 / GCC 4.1.2 -O2 -fprofile-use / Heap in TCRAM / FORK:2 -~~~ -or -~~~ -CoreMark 1.0 : 1400 / GCC 3.4 -O4 -~~~ - -If reporting scaling results, the results must be reported as follows: - -CoreMark/MHz 1.0 : N / C / P [/ M] - -P - When reporting scaling results, memory parameter must also indicate memory frequency:core frequency ratio. -1. If the core has cache and cache frequency to core frequency ratio is configurable, that must also be included. - -e.g.: - -~~~ -CoreMark/MHz 1.0 : 1.47 / GCC 4.1.2 -O2 / DDR3(Heap) 30:1 Memory 1:1 Cache -~~~ - -# Log File Format -The log files have the following format - -~~~ -2K performance run parameters for coremark. (Run type) -CoreMark Size : 666 (Buffer size) -Total ticks : 25875 (platform dependent value) -Total time (secs) : 25.875000 (actual time in seconds) -Iterations/Sec : 3864.734300 (Performance value to report) -Iterations : 100000 (number of iterations used) -Compiler version : GCC3.4.4 (Compiler and version) -Compiler flags : -O2 (Compiler and linker flags) -Memory location : Code in flash, data in on chip RAM -seedcrc : 0xe9f5 (identifier for the input seeds) -[0]crclist : 0xe714 (validation for list part) -[0]crcmatrix : 0x1fd7 (validation for matrix part) -[0]crcstate : 0x8e3a (validation for state part) -[0]crcfinal : 0x33ff (iteration dependent output) -Correct operation validated. See README.md for run and reporting rules. (*Only when run is successful*) -CoreMark 1.0 : 3864.734300 / GCC3.4.4 -O2 / Heap (*Only on a successful performance run*) -~~~ - -# Theory of Operation - -This section describes the initial goals of CoreMark and their implementation. - -## Small and easy to understand - -* X number of source code lines for timed portion of the benchmark. -* Meaningful names for variables and functions. -* Comments for each block of code more than 10 lines long. - -## Portability - -A thin abstraction layer will be provided for I/O and timing in a separate file. All I/O and timing of the benchmark will be done through this layer. - -### Code / data size - -* Compile with gcc on x86 and make sure all sizes are according to requirements. -* If dynamic memory allocation is used, take total memory allocated into account as well. -* Avoid recursive functions and keep track of stack usage. -* Use the same memory block as data site for all algorithms, and initialize the data before each algorithm – while this means that initialization with data happens during the timed portion, it will only happen once during the timed portion and so have negligible effect on the results. - -## Controlled output - -This may be the most difficult goal. Compilers are constantly improving and getting better at analyzing code. To create work that cannot be computed at compile time and must be computed at run time, we will rely on two assumptions: - -* Some system functions (e.g. time, scanf) and parameters cannot be computed at compile time. In most cases, marking a variable volatile means the compiler is force to read this variable every time it is read. This will be used to introduce a factor into the input that cannot be precomputed at compile time. Since the results are input dependent, that will make sure that computation has to happen at run time. - -* Either a system function or I/O (e.g. scanf) or command line parameters or volatile variables will be used before the timed portion to generate data which is not available at compile time. Specific method used is not relevant as long as it can be controlled, and that it cannot be computed or eliminated by the compiler at compile time. E.g. if the clock() functions is a compiler stub, it may not be used. The derived values will be reported on the output so that verification can be done on a different machine. - -* We cannot rely on command line parameters since some embedded systems do not have the capability to provide command line parameters. All 3 methods above will be implemented (time based, scanf and command line parameters) and all 3 are valid if the compiler cannot determine the value at compile time. - -* It is important to note that The actual values that are to be supplied at run time will be standardized. The methodology is not intended to provide random data, but simply to provide controlled data that cannot be precomputed at compile time. - -* Printed results must be valid at run time. This will be used to make sure the computation has been executed. - -* Some embedded systems do not provide “printf” or other I/O functionality. All I/O will be done through a thin abstraction interface to allow execution on such systems (e.g. allow output via JTAG). - -## Key Algorithms - -### Linked List - -The following linked list structure will be used: - -~~~ -typedef struct list_data_s { - ee_s16 data16; - ee_s16 idx; -} list_data; - -typedef struct list_head_s { - struct list_head_s *next; - struct list_data_s *info; -} list_head; -~~~ - -While adding a level of indirection accessing the data, this structure is realistic and used in many embedded applications for small to medium lists. - -The list itself will be initialized on a block of memory that will be passed in to the initialization function. While in general linked lists use malloc for new nodes, embedded applications sometime control the memory for small data structures such as arrays and lists directly to avoid the overhead of system calls, so this approach is realistic. - -The linked list will be initialized such that 1/4 of the list pointers point to sequential areas in memory, and 3/4 of the list pointers are distributed in a non sequential manner. This is done to emulate a linked list that had add/remove happen for a while disrupting the neat order, and then a series of adds that are likely to come from sequential memory locations. - -For the benchmark itself: -- Multiple find operations are going to be performed. These find operations may result in the whole list being traversed. The result of each find will become part of the output chain. -- The list will be sorted using merge sort based on the data16 value, and then derive CRC of the data16 item in order for part of the list. The CRC will become part of the output chain. -- The list will be sorted again using merge sort based on the idx value. This sort will guarantee that the list is returned to the primary state before leaving the function, so that multiple iterations of the function will have the same result. CRC of the data16 for part of the list will again be calculated and become part of the output chain. - -The actual `data16` in each cell will be pseudo random based on a single 16b input that cannot be determined at compile time. In addition, the part of the list which is used for CRC will also be passed to the function, and determined based on an input that cannot be determined at run time. - -### Matrix Multiply - -This very simple algorithm forms the basis of many more complex algorithms. The tight inner loop is the focus of many optimizations (compiler as well as hardware based) and is thus relevant for embedded processing. - -The total available data space will be divided to 3 parts: -1. NxN matrix A. -2. NxN matrix B. -3. NxN matrix C. - -E.g. for 2K we will have 3 12x12 matrices (assuming data type of 32b 12(len)*12(wid)*4(size)*3(num) =1728 bytes). - -Matrix A will be initialized with small values (upper 3/4 of the bits all zero). -Matrix B will be initialized with medium values (upper half of the bits all zero). -Matrix C will be used for the result. - -For the benchmark itself: -- Multiple A by a constant into C, add the upper bits of each of the values in the result matrix. The result will become part of the output chain. -- Multiple A by column X of B into C, add the upper bits of each of the values in the result matrix. The result will become part of the output chain. -- Multiple A by B into C, add the upper bits of each of the values in the result matrix. The result will become part of the output chain. - -The actual values for A and B must be derived based on input that is not available at compile time. - -### State Machine - -This part of the code needs to exercise switch and if statements. As such, we will use a small Moore state machine. In particular, this will be a state machine that identifies string input as numbers and divides them according to format. - -The state machine will parse the input string until either a “,” separator or end of input is encountered. An invalid number will cause the state machine to return invalid state and a valid number will cause the state machine to return with type of number format (int/float/scientific). - -This code will perform a realistic task, be small enough to easily understand, and exercise the required functionality. The other option used in embedded systems is a mealy based state machine, which is driven by a table. The table then determines the number of states and complexity of transitions. This approach, however, tests mainly the load/store and function call mechanisms and less the handling of branches. If analysis of the final results shows that the load/store functionality of the processor is not exercised thoroughly, it may be a good addition to the benchmark (codesize allowing). - -For input, the memory block will be initialized with comma separated values of mixed formats, as well as invalid inputs. - -For the benchmark itself: -- Invoke the state machine on all of the input and count final states and state transitions. CRC of all final states and transitions will become part of the output chain. -- Modify the input at intervals (inject errors) and repeat the state machine operation. -- Modify the input back to original form. - -The actual input must be initialized based on data that cannot be determined at compile time. In addition the intervals for modification of the input and the actual modification must be based on input that cannot be determined at compile time. - -# Validation - -This release was tested on the following platforms: -* x86 cygwin and gcc 3.4 (Quad, dual and single core systems) -* x86 linux (Ubuntu/Fedora) and gcc (4.2/4.1) (Quad and single core systems) -* MIPS64 BE linux and gcc 3.4 16 cores system -* MIPS32 BE linux with CodeSourcery compiler 4.2-177 on Malta/Linux with a 1004K 3-core system -* PPC simulator with gcc 4.2.2 (No OS) -* PPC 64b BE linux (yellowdog) with gcc 3.4 and 4.1 (Dual core system) -* BF533 with VDSP50 -* Renesas R8C/H8 MCU with HEW 4.05 -* NXP LPC1700 armcc v4.0.0.524 -* NEC 78K with IAR v4.61 -* ARM simulator with armcc v4 - -# Memory Analysis - -Valgrind 3.4.0 used and no errors reported. - -# Balance Analysis - -Number of instructions executed for each function tested with cachegrind and found balanced with gcc and -O0. - -# Statistics - -Lines: -~~~ -Lines Blank Cmnts Source AESL -===== ===== ===== ===== ========== ======================================= - 469 66 170 251 627.5 core_list_join.c (C) - 330 18 54 268 670.0 core_main.c (C) - 256 32 80 146 365.0 core_matrix.c (C) - 240 16 51 186 465.0 core_state.c (C) - 165 11 20 134 335.0 core_util.c (C) - 150 23 36 98 245.0 coremark.h (C) - 1610 166 411 1083 2707.5 ----- Benchmark ----- (6 files) - 293 15 74 212 530.0 linux/core_portme.c (C) - 235 30 104 104 260.0 linux/core_portme.h (C) - 528 45 178 316 790.0 ----- Porting ----- (2 files) - -* For comparison, here are the stats for Dhrystone -Lines Blank Cmnts Source AESL -===== ===== ===== ===== ========== ======================================= - 311 15 242 54 135.0 dhry.h (C) - 789 132 119 553 1382.5 dhry_1.c (C) - 186 26 68 107 267.5 dhry_2.c (C) - 1286 173 429 714 1785.0 ----- C ----- (3 files) -~~~ - -# Credits -Many thanks to all of the individuals who helped with the development or testing of CoreMark including (Sorted by company name; note that company names may no longer be accurate as this was written in 2009). -* Alan Anderson, ADI -* Adhikary Rajiv, ADI -* Elena Stohr, ARM -* Ian Rickards, ARM -* Andrew Pickard, ARM -* Trent Parker, CAVIUM -* Shay Gal-On, EEMBC -* Markus Levy, EEMBC -* Peter Torelli, EEMBC -* Ron Olson, IBM -* Eyal Barzilay, MIPS -* Jens Eltze, NEC -* Hirohiko Ono, NEC -* Ulrich Drees, NEC -* Frank Roscheda, NEC -* Rob Cosaro, NXP -* Shumpei Kawasaki, RENESAS - -# Legal -Please refer to LICENSE.md in this reposity for a description of your rights to use this code. - -# Copyright -Copyright © 2009 EEMBC All rights reserved. -CoreMark is a trademark of EEMBC and EEMBC is a registered trademark of the Embedded Microprocessor Benchmark Consortium. - Index: neo430/sw/example/coremark/core_main.c =================================================================== --- neo430/sw/example/coremark/core_main.c (revision 196) +++ neo430/sw/example/coremark/core_main.c (nonexistent) @@ -1,370 +0,0 @@ -/* -Copyright 2018 Embedded Microprocessor Benchmark Consortium (EEMBC) - -Licensed under the Apache License, Version 2.0 (the "License"); -you may not use this file except in compliance with the License. -You may obtain a copy of the License at - - http://www.apache.org/licenses/LICENSE-2.0 - -Unless required by applicable law or agreed to in writing, software -distributed under the License is distributed on an "AS IS" BASIS, -WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -See the License for the specific language governing permissions and -limitations under the License. - -Original Author: Shay Gal-on -*/ - -/* File: core_main.c - This file contains the framework to acquire a block of memory, seed initial parameters, tun t he benchmark and report the results. -*/ -#include "coremark.h" - -/* Function: iterate - Run the benchmark for a specified number of iterations. - - Operation: - For each type of benchmarked algorithm: - a - Initialize the data block for the algorithm. - b - Execute the algorithm N times. - - Returns: - NULL. -*/ -static ee_u16 list_known_crc[] = {(ee_u16)0xd4b0,(ee_u16)0x3340,(ee_u16)0x6a79,(ee_u16)0xe714,(ee_u16)0xe3c1}; -static ee_u16 matrix_known_crc[] = {(ee_u16)0xbe52,(ee_u16)0x1199,(ee_u16)0x5608,(ee_u16)0x1fd7,(ee_u16)0x0747}; -static ee_u16 state_known_crc[] = {(ee_u16)0x5e47,(ee_u16)0x39bf,(ee_u16)0xe5a4,(ee_u16)0x8e3a,(ee_u16)0x8d84}; -void *iterate(void *pres) { - ee_u32 i; - ee_u16 crc; - core_results *res=(core_results *)pres; - ee_u32 iterations=res->iterations; - res->crc=0; - res->crclist=0; - res->crcmatrix=0; - res->crcstate=0; - - for (i=0; icrc=crcu16(crc,res->crc); - crc=core_bench_list(res,-1); - res->crc=crcu16(crc,res->crc); - if (i==0) res->crclist=res->crc; - } - return NULL; -} - -#if (SEED_METHOD==SEED_ARG) -ee_s32 get_seed_args(int i, int argc, char *argv[]); -#define get_seed(x) (ee_s16)get_seed_args(x,argc,argv) -#define get_seed_32(x) get_seed_args(x,argc,argv) -#else /* via function or volatile */ -ee_s32 get_seed_32(int i); -#define get_seed(x) (ee_s16)get_seed_32(x) -#endif - -#if (MEM_METHOD==MEM_STATIC) -ee_u8 static_memblk[TOTAL_DATA_SIZE]; -#endif -char *mem_name[3] = {"Static","Heap","Stack"}; -/* Function: main - Main entry routine for the benchmark. - This function is responsible for the following steps: - - 1 - Initialize input seeds from a source that cannot be determined at compile time. - 2 - Initialize memory block for use. - 3 - Run and time the benchmark. - 4 - Report results, testing the validity of the output if the seeds are known. - - Arguments: - 1 - first seed : Any value - 2 - second seed : Must be identical to first for iterations to be identical - 3 - third seed : Any value, should be at least an order of magnitude less then the input size, but bigger then 32. - 4 - Iterations : Special, if set to 0, iterations will be automatically determined such that the benchmark will run between 10 to 100 secs - -*/ - -#if MAIN_HAS_NOARGC -MAIN_RETURN_TYPE main(void) { - int argc=0; - char *argv[1]; -#else -MAIN_RETURN_TYPE main(int argc, char *argv[]) { -#endif - -// ----------------------------------------------- -#ifndef NEO430_HWMUL_ABI_OVERRIDE -#pragma warning ("Using SW multiplication. Use >>make clean compile CC_USER_FLAGS+=-DNEO430_HWMUL_ABI_OVERRIDE<< to use the NEO430 MULDIV unit instead (experimental!)") -#else -#pragma warning ("Using NEO430 MULDIV unit for multiplications (highly experimental!)") -#endif - -#ifndef RUN_COREMARK - #pragma warning ("COREMARK HAS NOT BEEN COMPILED! Use >>make clean compile CC_USER_FLAGS+=-DRUN_COREMARK<< to compile it.") - return 0; -#endif -// ----------------------------------------------- - - ee_u16 i,j=0,num_algorithms=0; - ee_s16 known_id=-1,total_errors=0; - ee_u16 seedcrc=0; - CORE_TICKS total_time; - core_results results[MULTITHREAD]; -#if (MEM_METHOD==MEM_STACK) - ee_u8 stack_memblock[TOTAL_DATA_SIZE*MULTITHREAD]; -#endif - /* first call any initializations needed */ - portable_init(&(results[0].port), &argc, argv); - /* First some checks to make sure benchmark will run ok */ - if (sizeof(struct list_head_s)>128) { - ee_printf("list_head structure too big for comparable data!\n"); - return MAIN_RETURN_VAL; - } - results[0].seed1=get_seed(1); - results[0].seed2=get_seed(2); - results[0].seed3=get_seed(3); - results[0].iterations=get_seed_32(4); -#if CORE_DEBUG - results[0].iterations=1; -#endif - results[0].execs=get_seed_32(5); - if (results[0].execs==0) { /* if not supplied, execute all algorithms */ - results[0].execs=ALL_ALGORITHMS_MASK; - } - /* put in some default values based on one seed only for easy testing */ - if ((results[0].seed1==0) && (results[0].seed2==0) && (results[0].seed3==0)) { /* validation run */ - results[0].seed1=0; - results[0].seed2=0; - results[0].seed3=0x66; - } - if ((results[0].seed1==1) && (results[0].seed2==0) && (results[0].seed3==0)) { /* perfromance run */ - results[0].seed1=0x3415; - results[0].seed2=0x3415; - results[0].seed3=0x66; - } -#if (MEM_METHOD==MEM_STATIC) - results[0].memblock[0]=(void *)static_memblk; - results[0].size=TOTAL_DATA_SIZE; - results[0].err=0; - #if (MULTITHREAD>1) - #error "Cannot use a static data area with multiple contexts!" - #endif -#elif (MEM_METHOD==MEM_MALLOC) - for (i=0 ; i1) - if (default_num_contexts>MULTITHREAD) { - default_num_contexts=MULTITHREAD; - } - for (i=0 ; i=0) { - for (i=0 ; i 0) - ee_printf("Iterations/Sec : %f\n",default_num_contexts*results[0].iterations/time_in_secs(total_time)); -#else - ee_printf("Total time (secs): %d\n",time_in_secs(total_time)); - if (time_in_secs(total_time) > 0) - ee_printf("Iterations/Sec : %d\n",default_num_contexts*results[0].iterations/time_in_secs(total_time)); -#endif - if (time_in_secs(total_time) < 10) { - ee_printf("ERROR! Must execute for at least 10 secs for a valid result!\n"); - total_errors++; - } - - ee_printf("Iterations : %lu\n", (long unsigned) default_num_contexts*results[0].iterations); - ee_printf("Compiler version : %s\n",COMPILER_VERSION); - ee_printf("Compiler flags : %s\n",COMPILER_FLAGS); -#if (MULTITHREAD>1) - ee_printf("Parallel %s : %d\n",PARALLEL_METHOD,default_num_contexts); -#endif - ee_printf("Memory location : %s\n",MEM_LOCATION); - /* output for verification */ - ee_printf("seedcrc : 0x%04x\n",seedcrc); - if (results[0].execs & ID_LIST) - for (i=0 ; i1) - ee_printf(" / %d:%s",default_num_contexts,PARALLEL_METHOD); -#endif - ee_printf("\n"); - } -#endif - } - if (total_errors>0) - ee_printf("Errors detected\n"); - if (total_errors<0) - ee_printf("Cannot validate operation for these seed values, please compare with results on a known platform.\n"); - -#if (MEM_METHOD==MEM_MALLOC) - for (i=0 ; i>(from)) & (~(0xffffffff << (to)))) - -#if CORE_DEBUG -void printmat(MATDAT *A, ee_u32 N, char *name) { - ee_u32 i,j; - ee_printf("Matrix %s [%dx%d]:\n",name,N,N); - for (i=0; i N times, - changing the matrix values slightly by a constant amount each time. -*/ -ee_u16 core_bench_matrix(mat_params *p, ee_s16 seed, ee_u16 crc) { - ee_u32 N=p->N; - MATRES *C=p->C; - MATDAT *A=p->A; - MATDAT *B=p->B; - MATDAT val=(MATDAT)seed; - - crc=crc16(matrix_test(N,C,A,B,val),crc); - - return crc; -} - -/* Function: matrix_test - Perform matrix manipulation. - - Parameters: - N - Dimensions of the matrix. - C - memory for result matrix. - A - input matrix - B - operator matrix (not changed during operations) - - Returns: - A CRC value that captures all results calculated in the function. - In particular, crc of the value calculated on the result matrix - after each step by . - - Operation: - - 1 - Add a constant value to all elements of a matrix. - 2 - Multiply a matrix by a constant. - 3 - Multiply a matrix by a vector. - 4 - Multiply a matrix by a matrix. - 5 - Add a constant value to all elements of a matrix. - - After the last step, matrix A is back to original contents. -*/ -ee_s16 matrix_test(ee_u32 N, MATRES *C, MATDAT *A, MATDAT *B, MATDAT val) { - ee_u16 crc=0; - MATDAT clipval=matrix_big(val); - - matrix_add_const(N,A,val); /* make sure data changes */ -#if CORE_DEBUG - printmat(A,N,"matrix_add_const"); -#endif - matrix_mul_const(N,C,A,val); - crc=crc16(matrix_sum(N,C,clipval),crc); -#if CORE_DEBUG - printmatC(C,N,"matrix_mul_const"); -#endif - matrix_mul_vect(N,C,A,B); - crc=crc16(matrix_sum(N,C,clipval),crc); -#if CORE_DEBUG - printmatC(C,N,"matrix_mul_vect"); -#endif - matrix_mul_matrix(N,C,A,B); - crc=crc16(matrix_sum(N,C,clipval),crc); -#if CORE_DEBUG - printmatC(C,N,"matrix_mul_matrix"); -#endif - matrix_mul_matrix_bitextract(N,C,A,B); - crc=crc16(matrix_sum(N,C,clipval),crc); -#if CORE_DEBUG - printmatC(C,N,"matrix_mul_matrix_bitextract"); -#endif - - matrix_add_const(N,A,-val); /* return matrix to initial value */ - return crc; -} - -/* Function : matrix_init - Initialize the memory block for matrix benchmarking. - - Parameters: - blksize - Size of memory to be initialized. - memblk - Pointer to memory block. - seed - Actual values chosen depend on the seed parameter. - p - pointers to containing initialized matrixes. - - Returns: - Matrix dimensions. - - Note: - The seed parameter MUST be supplied from a source that cannot be determined at compile time -*/ -ee_u32 core_init_matrix(ee_u32 blksize, void *memblk, ee_s32 seed, mat_params *p) { - ee_u32 N=0; - MATDAT *A; - MATDAT *B; - ee_s32 order=1; - MATDAT val; - ee_u32 i=0,j=0; - if (seed==0) - seed=1; - while (jA=A; - p->B=B; - p->C=(MATRES *)align_mem(B+N*N); - p->N=N; -#if CORE_DEBUG - printmat(A,N,"A"); - printmat(B,N,"B"); -#endif - return N; -} - -/* Function: matrix_sum - Calculate a function that depends on the values of elements in the matrix. - - For each element, accumulate into a temporary variable. - - As long as this value is under the parameter clipval, - add 1 to the result if the element is bigger then the previous. - - Otherwise, reset the accumulator and add 10 to the result. -*/ -ee_s16 matrix_sum(ee_u32 N, MATRES *C, MATDAT clipval) { - MATRES tmp=0,prev=0,cur=0; - ee_s16 ret=0; - ee_u32 i,j; - for (i=0; iclipval) { - ret+=10; - tmp=0; - } else { - ret += (cur>prev) ? 1 : 0; - } - prev=cur; - } - } - return ret; -} - -/* Function: matrix_mul_const - Multiply a matrix by a constant. - This could be used as a scaler for instance. -*/ -void matrix_mul_const(ee_u32 N, MATRES *C, MATDAT *A, MATDAT val) { - ee_u32 i,j; - for (i=0; i # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = core_list_join.c core_main.c core_matrix.c core_portme.c core_state.c core_util.c ee_printf.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/coremark/core_portme.c =================================================================== --- neo430/sw/example/coremark/core_portme.c (revision 196) +++ neo430/sw/example/coremark/core_portme.c (nonexistent) @@ -1,180 +0,0 @@ -/* -Copyright 2018 Embedded Microprocessor Benchmark Consortium (EEMBC) - -Licensed under the Apache License, Version 2.0 (the "License"); -you may not use this file except in compliance with the License. -You may obtain a copy of the License at - - http://www.apache.org/licenses/LICENSE-2.0 - -Unless required by applicable law or agreed to in writing, software -distributed under the License is distributed on an "AS IS" BASIS, -WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -See the License for the specific language governing permissions and -limitations under the License. - -Original Author: Shay Gal-on - -Modified for neo430 by Stephan Nolting -*/ - -#include -#include -#include "coremark.h" -#include "core_portme.h" - -#if VALIDATION_RUN - volatile ee_s32 seed1_volatile=0x3415; - volatile ee_s32 seed2_volatile=0x3415; - volatile ee_s32 seed3_volatile=0x66; -#endif -#if PERFORMANCE_RUN - volatile ee_s32 seed1_volatile=0x0; - volatile ee_s32 seed2_volatile=0x0; - volatile ee_s32 seed3_volatile=0x66; -#endif -#if PROFILE_RUN - volatile ee_s32 seed1_volatile=0x8; - volatile ee_s32 seed2_volatile=0x8; - volatile ee_s32 seed3_volatile=0x8; -#endif - volatile ee_s32 seed4_volatile=ITERATIONS; - volatile ee_s32 seed5_volatile=0; -/* Porting : Timing functions - How to capture time and convert to seconds must be ported to whatever is supported by the platform. - e.g. Read value from on board RTC, read value from cpu clock cycles performance counter etc. - Sample implementation for standard time.h and windows.h definitions included. -*/ -/* Define : TIMER_RES_DIVIDER - Divider to trade off timer resolution and total time that can be measured. - - Use lower values to increase resolution, but make sure that overflow does not occur. - If there are issues with the return value overflowing, increase this value. - */ -#define NSECS_PER_SEC NEO430_TIMER_F -#define CORETIMETYPE clock_t -#define GETMYTIME(_t) (*_t=clock()) -#define MYTIMEDIFF(fin,ini) ((fin)-(ini)) -#define TIMER_RES_DIVIDER 1 -#define SAMPLE_TIME_IMPLEMENTATION 1 -#define EE_TICKS_PER_SEC (NSECS_PER_SEC / TIMER_RES_DIVIDER) - -/** Define Host specific (POSIX), or target specific global time variables. */ -//static CORETIMETYPE start_time_val, stop_time_val; - -/* Function : start_time - This function will be called right before starting the timed portion of the benchmark. - - Implementation may be capturing a system timer (as implemented in the example code) - or zeroing some system parameters - e.g. setting the cpu clocks cycles to 0. -*/ -void start_time(void) { - neo430_timer_run(); - //GETMYTIME(&start_time_val ); -} -/* Function : stop_time - This function will be called right after ending the timed portion of the benchmark. - - Implementation may be capturing a system timer (as implemented in the example code) - or other system parameters - e.g. reading the current value of cpu cycles counter. -*/ -void stop_time(void) { - neo430_timer_pause(); - //GETMYTIME(&stop_time_val ); -} -/* Function : get_time - Return an abstract "ticks" number that signifies time on the system. - - Actual value returned may be cpu cycles, milliseconds or any other value, - as long as it can be converted to seconds by . - This methodology is taken to accomodate any hardware or simulated platform. - The sample implementation returns millisecs by default, - and the resolution is controlled by -*/ -CORE_TICKS get_time(void) { - CORE_TICKS elapsed = (CORE_TICKS)neo430_ticks; - //CORE_TICKS elapsed=(CORE_TICKS)(MYTIMEDIFF(stop_time_val, start_time_val)); - return elapsed; -} -/* Function : time_in_secs - Convert the value returned by get_time to seconds. - - The type is used to accomodate systems with no support for floating point. - Default implementation implemented by the EE_TICKS_PER_SEC macro above. -*/ -secs_ret time_in_secs(CORE_TICKS ticks) { - secs_ret retval=((secs_ret)ticks) / (secs_ret)EE_TICKS_PER_SEC; - return retval; -} - -ee_u32 default_num_contexts=1; - -/* Function : portable_init - Target specific initialization code - Test for some common mistakes. -*/ -void portable_init(core_portable *p, int *argc, char *argv[]) -{ - // setup neo UART - neo430_uart_setup(BAUD_RATE); // baud rate - - - // check if TIMER unit was synthesized, exit if no TIMER is available - if (!(SYS_FEATURES & (1<portable_id=1; -} -/* Function : portable_fini - Target specific final code -*/ -void portable_fini(core_portable *p) -{ - p->portable_id=0; -} - - -/* ------------------------------------------------------------ - * INFO Timer interrupt handler - * ------------------------------------------------------------ */ -void __attribute__((__interrupt__)) timer_irq_handler(void) { - - neo430_ticks++; -} - Index: neo430/sw/example/coremark/LICENSE.md =================================================================== --- neo430/sw/example/coremark/LICENSE.md (revision 196) +++ neo430/sw/example/coremark/LICENSE.md (nonexistent) @@ -1,100 +0,0 @@ -# COREMARK® ACCEPTABLE USE AGREEMENT - -This ACCEPTABLE USE AGREEMENT (this “Agreement”) is offered by Embedded Microprocessor Benchmark Consortium, a California nonprofit corporation (“Licensor”), to users of its CoreMark® software (“Licensee”) exclusively on the following terms. - -Licensor offers benchmarking software (“Software”) pursuant to an open source license, but carefully controls use of its benchmarks and their associated goodwill. Licensor has registered its trademark in one of the benchmarks available through the Software, COREMARK, Ser. No. 85/487,290; Reg. No. 4,179,307 (the “Trademark”), and promotes the use of a standard metric as a benchmark for assessing the performance of embedded systems. Solely on the terms described herein, Licensee may use and display the Trademark in connection with the generation of data regarding measurement and analysis of computer and embedded system benchmarking via the Software (the “Licensed Use”). - -## Article 1 – License Grant. -1.1. License. Subject to the terms and conditions of this Agreement, Licensor hereby grants to Licensee, and Licensee hereby accepts from Licensor, a personal, non-exclusive, royalty-free, revocable right and license to use and display the Trademark during the term of this Agreement (the “Term”), solely and exclusively in connection with the Licensed Use. During the Term, Licensee (i) shall not modify or otherwise create derivative works of the Trademark, and (ii) may use the Trademark only to the extent permitted under this License. Neither Licensee nor any affiliate or agent thereof shall otherwise use the Trademark without the prior express written consent of Licensor, which may be withheld in its sole and absolute discretion. All rights not expressly granted to Licensee hereunder shall remain the exclusive property of Licensor. - -1.2. Modifications to the Software. Licensee shall not use the Trademark in connection with any use of a modified, derivative, or otherwise altered copy of the Software. - -1.3. Licensor’s Use. Nothing in this Agreement shall preclude Licensor or any of its successors or assigns from using or permitting other entities to use the Trademark, whether or not such entity directly or indirectly competes or conflicts with Licensee’s Licensed Use in any manner. - -1.4. Term and Termination. This Agreement is perpetual unless terminated by either of the parties. Licensee may terminate this Agreement for convenience, without cause or liability, for any reason or for no reason whatsoever, upon ten (10) business days written notice. Licensor may terminate this Agreement effective immediately upon notice of breach. Upon termination, Licensee shall immediately remove all implementations of the Trademark from the Licensed Use, and delete all digitals files and records of all materials related to the Trademark. - -## Article 2 – Ownership. -2.1. Ownership. Licensee acknowledges and agrees that Licensor is the owner of all right, title, and interest in and to the Trademark, and all such right, title, and interest shall remain with Licensor. Licensee shall not contest, dispute, challenge, oppose, or seek to cancel Licensor’s right, title, and interest in and to the Trademark. Licensee shall not prosecute any application for registration of the Trademark. Licensee shall display appropriate notices regarding ownership of the Trademark in connection with the Licensed Use. - -2.2. Goodwill. Licensee acknowledges that Licensee shall not acquire any right, title, or interest in the Trademark by virtue of this Agreement other than the license granted hereunder, and disclaims any such right, title, interest, or ownership. All goodwill and reputation generated by Licensee’s use of the Trademark shall inure to the exclusive benefit of Licensor. Licensee shall not by any act or omission use the Trademark in any manner that disparages or reflects adversely on Licensor or its Licensed Use or reputation. Licensee shall not take any action that would interfere with or prejudice Licensor’s ownership or registration of the Trademark, the validity of the Trademark or the validity of the license granted by this Agreement. If Licensor determines and notifies Licensee that any act taken in connection with the Licensed Use (i) is inaccurate, unlawful or offensive to good taste; (ii) fails to provide for proper trademark notices, or (iii) otherwise violates Licensee’s obligations under this Agreement, the license granted under this Agreement shall terminate. - -## Article 3 – Indemnification. -3.1. Indemnification Generally. Licensee agrees to indemnify, defend, and hold harmless (collectively “indemnify” or “indemnification”) Licensor, including Licensor’s members, managers, officers, and employees (collectively “Related Persons”), from and against, and pay or reimburse Licensor and such Related Persons for, any and all third-party actions, claims, demands, proceedings, investigations, inquiries (collectively, “Claims”), and any and all liabilities, obligations, fines, deficiencies, costs, expenses, royalties, losses, and damages (including reasonable outside counsel fees and expenses) associated with such Claims, to the extent that such Claim arises out of (i) Licensee’s material breach of this Agreement, or (ii) any allegation(s) that Licensee’s actions infringe or violate any third-party intellectual property right, including without limitation, any U.S. copyright, patent, or trademark, or are otherwise found to be tortious or criminal (whether or not such indemnified person is a named party in a legal proceeding). - -3.2. Notice and Defense of Claims. Licensor shall promptly notify Licensee of any Claim for which indemnification is sought, following actual knowledge of such Claim, provided however that the failure to give such notice shall not relieve Licensee of its obligations hereunder except to the extent that Licensee is materially prejudiced by such failure. In the event that any third-party Claim is brought, Licensee shall have the right and option to undertake and control the defense of such action with counsel of its choice, provided however that (i) Licensor at its own expense may participate and appear on an equal footing with Licensee in the defense of any such Claim, (ii) Licensor may undertake and control such defense in the event of the material failure of Licensee to undertake and control the same; and (iii) the defense of any Claim relating to the intellectual property rights of Licensor or its licensors and any related counterclaims shall be solely controlled by Licensor with counsel of its choice. Licensee shall not consent to judgment or concede or settle or compromise any Claim without the prior written approval of Licensor (whose approval shall not be unreasonably withheld), unless such concession or settlement or compromise includes a full and unconditional release of Licensor and any applicable Related Persons from all liabilities in respect of such Claim. - -## Article 4 – Miscellaneous. -4.1. Relationship of the Parties. This Agreement does not create a partnership, franchise, joint venture, agency, fiduciary, or employment relationship between the parties. - -4.2. No Third-Party Beneficiaries. Except for the rights of Related Persons under Article 3 (Indemnification), there are no third-party beneficiaries to this Agreement. - -4.3. Assignment. Licensee’s rights hereunder are non-assignable, and may not be sublicensed. - -4.4. Equitable Relief. Licensee acknowledges that the remedies available at law for any breach of this Agreement will, by their nature, be inadequate. Accordingly, Licensor may obtain injunctive relief or other equitable relief to restrain a breach or threatened breach of this Agreement or to specifically enforce this Agreement, without proving that any monetary damages have been sustained, and without the requirement of posting of a bond prior to obtaining such equitable relief. - -4.5. Governing Law. This Agreement will be interpreted, construed, and enforced in all respects in accordance with the laws of the State of California, without reference to its conflict of law principles. - -4.6. Attorneys’ Fees. If any legal action, arbitration or other proceeding is brought for the enforcement of this Agreement, or because of an alleged dispute, breach, default, or misrepresentation in connection with any of the provisions of this Agreement, the successful or prevailing party shall be entitled to recover its reasonable attorneys’ fees and other reasonable costs incurred in that action or proceeding, in addition to any other relief to which it may be entitled. - -4.7. Amendment; Waiver. This Agreement may not be amended, nor may any rights under it be waived, except in writing by Licensor. - -4.8. Severability. If any provision of this Agreement is held by a court of competent jurisdiction to be contrary to law, the provision shall be modified by the court and interpreted so as best to accomplish the objectives of the original provision to the fullest extent -permitted by law, and the remaining provisions of this Agreement shall remain in effect. - -4.9. Entire Agreement. This Agreement constitutes the entire agreement between the parties and supersedes all prior and contemporaneous agreements, proposals or representations, written or oral, concerning its subject matter. - - -# Apache License - -Version 2.0, January 2004 - -http://www.apache.org/licenses/ - -## TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION - -1. Definitions. - -"License" shall mean the terms and conditions for use, reproduction, and distribution as defined by Sections 1 through 9 of this document. - -"Licensor" shall mean the copyright owner or entity authorized by the copyright owner that is granting the License. - -"Legal Entity" shall mean the union of the acting entity and all other entities that control, are controlled by, or are under common control with that entity. For the purposes of this definition, "control" means (i) the power, direct or indirect, to cause the direction or management of such entity, whether by contract or otherwise, or (ii) ownership of fifty percent (50%) or more of the outstanding shares, or (iii) beneficial ownership of such entity. - -"You" (or "Your") shall mean an individual or Legal Entity exercising permissions granted by this License. - -"Source" form shall mean the preferred form for making modifications, including but not limited to software source code, documentation source, and configuration files. - -"Object" form shall mean any form resulting from mechanical transformation or translation of a Source form, including but not limited to compiled object code, generated documentation, and conversions to other media types. - -"Work" shall mean the work of authorship, whether in Source or Object form, made available under the License, as indicated by a copyright notice that is included in or attached to the work (an example is provided in the Appendix below). - -"Derivative Works" shall mean any work, whether in Source or Object form, that is based on (or derived from) the Work and for which the editorial revisions, annotations, elaborations, or other modifications represent, as a whole, an original work of authorship. For the purposes of this License, Derivative Works shall not include works that remain separable from, or merely link (or bind by name) to the interfaces of, the Work and Derivative Works thereof. - -"Contribution" shall mean any work of authorship, including the original version of the Work and any modifications or additions to that Work or Derivative Works thereof, that is intentionally submitted to Licensor for inclusion in the Work by the copyright owner or by an individual or Legal Entity authorized to submit on behalf of the copyright owner. For the purposes of this definition, "submitted" means any form of electronic, verbal, or written communication sent to the Licensor or its representatives, including but not limited to communication on electronic mailing lists, source code control systems, and issue tracking systems that are managed by, or on behalf of, the Licensor for the purpose of discussing and improving the Work, but excluding communication that is conspicuously marked or otherwise designated in writing by the copyright owner as "Not a Contribution." - -"Contributor" shall mean Licensor and any individual or Legal Entity on behalf of whom a Contribution has been received by Licensor and subsequently incorporated within the Work. - -2. Grant of Copyright License. Subject to the terms and conditions of this License, each Contributor hereby grants to You a perpetual, worldwide, non-exclusive, no-charge, royalty-free, irrevocable copyright license to reproduce, prepare Derivative Works of, publicly display, publicly perform, sublicense, and distribute the Work and such Derivative Works in Source or Object form. - -3. Grant of Patent License. Subject to the terms and conditions of this License, each Contributor hereby grants to You a perpetual, worldwide, non-exclusive, no-charge, royalty-free, irrevocable (except as stated in this section) patent license to make, have made, use, offer to sell, sell, import, and otherwise transfer the Work, where such license applies only to those patent claims licensable by such Contributor that are necessarily infringed by their Contribution(s) alone or by combination of their Contribution(s) with the Work to which such Contribution(s) was submitted. If You institute patent litigation against any entity (including a cross-claim or counterclaim in a lawsuit) alleging that the Work or a Contribution incorporated within the Work constitutes direct or contributory patent infringement, then any patent licenses granted to You under this License for that Work shall terminate as of the date such litigation is filed. - -4. Redistribution. You may reproduce and distribute copies of the Work or Derivative Works thereof in any medium, with or without modifications, and in Source or Object form, provided that You meet the following conditions: - - You must give any other recipients of the Work or Derivative Works a copy of this License; and - You must cause any modified files to carry prominent notices stating that You changed the files; and - You must retain, in the Source form of any Derivative Works that You distribute, all copyright, patent, trademark, and attribution notices from the Source form of the Work, excluding those notices that do not pertain to any part of the Derivative Works; and - If the Work includes a "NOTICE" text file as part of its distribution, then any Derivative Works that You distribute must include a readable copy of the attribution notices contained within such NOTICE file, excluding those notices that do not pertain to any part of the Derivative Works, in at least one of the following places: within a NOTICE text file distributed as part of the Derivative Works; within the Source form or documentation, if provided along with the Derivative Works; or, within a display generated by the Derivative Works, if and wherever such third-party notices normally appear. The contents of the NOTICE file are for informational purposes only and do not modify the License. You may add Your own attribution notices within Derivative Works that You distribute, alongside or as an addendum to the NOTICE text from the Work, provided that such additional attribution notices cannot be construed as modifying the License. - - You may add Your own copyright statement to Your modifications and may provide additional or different license terms and conditions for use, reproduction, or distribution of Your modifications, or for any such Derivative Works as a whole, provided Your use, reproduction, and distribution of the Work otherwise complies with the conditions stated in this License. - -5. Submission of Contributions. Unless You explicitly state otherwise, any Contribution intentionally submitted for inclusion in the Work by You to the Licensor shall be under the terms and conditions of this License, without any additional terms or conditions. Notwithstanding the above, nothing herein shall supersede or modify the terms of any separate license agreement you may have executed with Licensor regarding such Contributions. - -6. Trademarks. This License does not grant permission to use the trade names, trademarks, service marks, or product names of the Licensor, except as required for reasonable and customary use in describing the origin of the Work and reproducing the content of the NOTICE file. - -7. Disclaimer of Warranty. Unless required by applicable law or agreed to in writing, Licensor provides the Work (and each Contributor provides its Contributions) on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied, including, without limitation, any warranties or conditions of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A PARTICULAR PURPOSE. You are solely responsible for determining the appropriateness of using or redistributing the Work and assume any risks associated with Your exercise of permissions under this License. - -8. Limitation of Liability. In no event and under no legal theory, whether in tort (including negligence), contract, or otherwise, unless required by applicable law (such as deliberate and grossly negligent acts) or agreed to in writing, shall any Contributor be liable to You for damages, including any direct, indirect, special, incidental, or consequential damages of any character arising as a result of this License or out of the use or inability to use the Work (including but not limited to damages for loss of goodwill, work stoppage, computer failure or malfunction, or any and all other commercial damages or losses), even if such Contributor has been advised of the possibility of such damages. - -9. Accepting Warranty or Additional Liability. While redistributing the Work or Derivative Works thereof, You may choose to offer, and charge a fee for, acceptance of support, warranty, indemnity, or other liability obligations and/or rights consistent with this License. However, in accepting such obligations, You may act only on Your own behalf and on Your sole responsibility, not on behalf of any other Contributor, and only if You agree to indemnify, defend, and hold each Contributor harmless for any liability incurred by, or claims asserted against, such Contributor by reason of your accepting any such warranty or additional liability. - -END OF TERMS AND CONDITIONS Index: neo430/sw/example/coremark/neo430.README.md =================================================================== --- neo430/sw/example/coremark/neo430.README.md (revision 196) +++ neo430/sw/example/coremark/neo430.README.md (nonexistent) @@ -1,2 +0,0 @@ -This program requires at least 16kB of IMEM and 8kB of DMEM. -This program also requires the TIMER unit to be synthesized. \ No newline at end of file Index: neo430/sw/example/coremark/core_list_join.c =================================================================== --- neo430/sw/example/coremark/core_list_join.c (revision 196) +++ neo430/sw/example/coremark/core_list_join.c (nonexistent) @@ -1,495 +0,0 @@ -/* -Copyright 2018 Embedded Microprocessor Benchmark Consortium (EEMBC) - -Licensed under the Apache License, Version 2.0 (the "License"); -you may not use this file except in compliance with the License. -You may obtain a copy of the License at - - http://www.apache.org/licenses/LICENSE-2.0 - -Unless required by applicable law or agreed to in writing, software -distributed under the License is distributed on an "AS IS" BASIS, -WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -See the License for the specific language governing permissions and -limitations under the License. - -Original Author: Shay Gal-on -*/ - -#include "coremark.h" -/* -Topic: Description - Benchmark using a linked list. - - Linked list is a common data structure used in many applications. - - For our purposes, this will excercise the memory units of the processor. - In particular, usage of the list pointers to find and alter data. - - We are not using Malloc since some platforms do not support this library. - - Instead, the memory block being passed in is used to create a list, - and the benchmark takes care not to add more items then can be - accomodated by the memory block. The porting layer will make sure - that we have a valid memory block. - - All operations are done in place, without using any extra memory. - - The list itself contains list pointers and pointers to data items. - Data items contain the following: - - idx - An index that captures the initial order of the list. - data - Variable data initialized based on the input parameters. The 16b are divided as follows: - o Upper 8b are backup of original data. - o Bit 7 indicates if the lower 7 bits are to be used as is or calculated. - o Bits 0-2 indicate type of operation to perform to get a 7b value. - o Bits 3-6 provide input for the operation. - -*/ - -/* local functions */ - -list_head *core_list_find(list_head *list,list_data *info); -list_head *core_list_reverse(list_head *list); -list_head *core_list_remove(list_head *item); -list_head *core_list_undo_remove(list_head *item_removed, list_head *item_modified); -list_head *core_list_insert_new(list_head *insert_point - , list_data *info, list_head **memblock, list_data **datablock - , list_head *memblock_end, list_data *datablock_end); -typedef ee_s32(*list_cmp)(list_data *a, list_data *b, core_results *res); -list_head *core_list_mergesort(list_head *list, list_cmp cmp, core_results *res); - -ee_s16 calc_func(ee_s16 *pdata, core_results *res) { - ee_s16 data=*pdata; - ee_s16 retval; - ee_u8 optype=(data>>7) & 1; /* bit 7 indicates if the function result has been cached */ - if (optype) /* if cached, use cache */ - return (data & 0x007f); - else { /* otherwise calculate and cache the result */ - ee_s16 flag=data & 0x7; /* bits 0-2 is type of function to perform */ - ee_s16 dtype=((data>>3) & 0xf); /* bits 3-6 is specific data for the operation */ - dtype |= dtype << 4; /* replicate the lower 4 bits to get an 8b value */ - switch (flag) { - case 0: - if (dtype<0x22) /* set min period for bit corruption */ - dtype=0x22; - retval=core_bench_state(res->size,res->memblock[3],res->seed1,res->seed2,dtype,res->crc); - if (res->crcstate==0) - res->crcstate=retval; - break; - case 1: - retval=core_bench_matrix(&(res->mat),dtype,res->crc); - if (res->crcmatrix==0) - res->crcmatrix=retval; - break; - default: - retval=data; - break; - } - res->crc=crcu16(retval,res->crc); - retval &= 0x007f; - *pdata = (data & 0xff00) | 0x0080 | retval; /* cache the result */ - return retval; - } -} -/* Function: cmp_complex - Compare the data item in a list cell. - - Can be used by mergesort. -*/ -ee_s32 cmp_complex(list_data *a, list_data *b, core_results *res) { - ee_s16 val1=calc_func(&(a->data16),res); - ee_s16 val2=calc_func(&(b->data16),res); - return val1 - val2; -} - -/* Function: cmp_idx - Compare the idx item in a list cell, and regen the data. - - Can be used by mergesort. -*/ -ee_s32 cmp_idx(list_data *a, list_data *b, core_results *res) { - if (res==NULL) { - a->data16 = (a->data16 & 0xff00) | (0x00ff & (a->data16>>8)); - b->data16 = (b->data16 & 0xff00) | (0x00ff & (b->data16>>8)); - } - return a->idx - b->idx; -} - -void copy_info(list_data *to,list_data *from) { - to->data16=from->data16; - to->idx=from->idx; -} - -/* Benchmark for linked list: - - Try to find multiple data items. - - List sort - - Operate on data from list (crc) - - Single remove/reinsert - * At the end of this function, the list is back to original state -*/ -ee_u16 core_bench_list(core_results *res, ee_s16 finder_idx) { - ee_u16 retval=0; - ee_u16 found=0,missed=0; - list_head *list=res->list; - ee_s16 find_num=res->seed3; - list_head *this_find; - list_head *finder, *remover; - list_data info; - ee_s16 i; - - info.idx=finder_idx; - /* find values in the list, and change the list each time (reverse and cache if value found) */ - for (i=0; inext->info->data16 >> 8) & 1; - } - else { - found++; - if (this_find->info->data16 & 0x1) /* use found value */ - retval+=(this_find->info->data16 >> 9) & 1; - /* and cache next item at the head of the list (if any) */ - if (this_find->next != NULL) { - finder = this_find->next; - this_find->next = finder->next; - finder->next=list->next; - list->next=finder; - } - } - if (info.idx>=0) - info.idx++; -#if CORE_DEBUG - ee_printf("List find %d: [%d,%d,%d]\n",i,retval,missed,found); -#endif - } - retval+=found*4-missed; - /* sort the list by data content and remove one item*/ - if (finder_idx>0) - list=core_list_mergesort(list,cmp_complex,res); - remover=core_list_remove(list->next); - /* CRC data content of list from location of index N forward, and then undo remove */ - finder=core_list_find(list,&info); - if (!finder) - finder=list->next; - while (finder) { - retval=crc16(list->info->data16,retval); - finder=finder->next; - } -#if CORE_DEBUG - ee_printf("List sort 1: %04x\n",retval); -#endif - remover=core_list_undo_remove(remover,list->next); - /* sort the list by index, in effect returning the list to original state */ - list=core_list_mergesort(list,cmp_idx,NULL); - /* CRC data content of list */ - finder=list->next; - while (finder) { - retval=crc16(list->info->data16,retval); - finder=finder->next; - } -#if CORE_DEBUG - ee_printf("List sort 2: %04x\n",retval); -#endif - return retval; -} -/* Function: core_list_init - Initialize list with data. - - Parameters: - blksize - Size of memory to be initialized. - memblock - Pointer to memory block. - seed - Actual values chosen depend on the seed parameter. - The seed parameter MUST be supplied from a source that cannot be determined at compile time - - Returns: - Pointer to the head of the list. - -*/ -list_head *core_list_init(ee_u32 blksize, list_head *memblock, ee_s16 seed) { - /* calculated pointers for the list */ - ee_u32 per_item=16+sizeof(struct list_data_s); - ee_u32 size=(blksize/per_item)-2; /* to accomodate systems with 64b pointers, and make sure same code is executed, set max list elements */ - list_head *memblock_end=memblock+size; - list_data *datablock=(list_data *)(memblock_end); - list_data *datablock_end=datablock+size; - /* some useful variables */ - ee_u32 i; - list_head *finder,*list=memblock; - list_data info; - - /* create a fake items for the list head and tail */ - list->next=NULL; - list->info=datablock; - list->info->idx=0x0000; - list->info->data16=(ee_s16)0x8080; - memblock++; - datablock++; - info.idx=0x7fff; - info.data16=(ee_s16)0xffff; - core_list_insert_new(list,&info,&memblock,&datablock,memblock_end,datablock_end); - - /* then insert size items */ - for (i=0; inext; - i=1; - while (finder->next!=NULL) { - if (iinfo->idx=i++; - else { - ee_u16 pat=(ee_u16)(i++ ^ seed); /* get a pseudo random number */ - finder->info->idx=0x3fff & (((i & 0x07) << 8) | pat); /* make sure the mixed items end up after the ones in sequence */ - } - finder=finder->next; - } - list = core_list_mergesort(list,cmp_idx,NULL); -#if CORE_DEBUG - ee_printf("Initialized list:\n"); - finder=list; - while (finder) { - ee_printf("[%04x,%04x]",finder->info->idx,(ee_u16)finder->info->data16); - finder=finder->next; - } - ee_printf("\n"); -#endif - return list; -} - -/* Function: core_list_insert - Insert an item to the list - - Parameters: - insert_point - where to insert the item. - info - data for the cell. - memblock - pointer for the list header - datablock - pointer for the list data - memblock_end - end of region for list headers - datablock_end - end of region for list data - - Returns: - Pointer to new item. -*/ -list_head *core_list_insert_new(list_head *insert_point, list_data *info, list_head **memblock, list_data **datablock - , list_head *memblock_end, list_data *datablock_end) { - list_head *newitem; - - if ((*memblock+1) >= memblock_end) - return NULL; - if ((*datablock+1) >= datablock_end) - return NULL; - - newitem=*memblock; - (*memblock)++; - newitem->next=insert_point->next; - insert_point->next=newitem; - - newitem->info=*datablock; - (*datablock)++; - copy_info(newitem->info,info); - - return newitem; -} - -/* Function: core_list_remove - Remove an item from the list. - - Operation: - For a singly linked list, remove by copying the data from the next item - over to the current cell, and unlinking the next item. - - Note: - since there is always a fake item at the end of the list, no need to check for NULL. - - Returns: - Removed item. -*/ -list_head *core_list_remove(list_head *item) { - list_data *tmp; - list_head *ret=item->next; - /* swap data pointers */ - tmp=item->info; - item->info=ret->info; - ret->info=tmp; - /* and eliminate item */ - item->next=item->next->next; - ret->next=NULL; - return ret; -} - -/* Function: core_list_undo_remove - Undo a remove operation. - - Operation: - Since we want each iteration of the benchmark to be exactly the same, - we need to be able to undo a remove. - Link the removed item back into the list, and switch the info items. - - Parameters: - item_removed - Return value from the - item_modified - List item that was modified during - - Returns: - The item that was linked back to the list. - -*/ -list_head *core_list_undo_remove(list_head *item_removed, list_head *item_modified) { - list_data *tmp; - /* swap data pointers */ - tmp=item_removed->info; - item_removed->info=item_modified->info; - item_modified->info=tmp; - /* and insert item */ - item_removed->next=item_modified->next; - item_modified->next=item_removed; - return item_removed; -} - -/* Function: core_list_find - Find an item in the list - - Operation: - Find an item by idx (if not 0) or specific data value - - Parameters: - list - list head - info - idx or data to find - - Returns: - Found item, or NULL if not found. -*/ -list_head *core_list_find(list_head *list,list_data *info) { - if (info->idx>=0) { - while (list && (list->info->idx != info->idx)) - list=list->next; - return list; - } else { - while (list && ((list->info->data16 & 0xff) != info->data16)) - list=list->next; - return list; - } -} -/* Function: core_list_reverse - Reverse a list - - Operation: - Rearrange the pointers so the list is reversed. - - Parameters: - list - list head - info - idx or data to find - - Returns: - Found item, or NULL if not found. -*/ - -list_head *core_list_reverse(list_head *list) { - list_head *next=NULL, *tmp; - while (list) { - tmp=list->next; - list->next=next; - next=list; - list=tmp; - } - return next; -} -/* Function: core_list_mergesort - Sort the list in place without recursion. - - Description: - Use mergesort, as for linked list this is a realistic solution. - Also, since this is aimed at embedded, care was taken to use iterative rather then recursive algorithm. - The sort can either return the list to original order (by idx) , - or use the data item to invoke other other algorithms and change the order of the list. - - Parameters: - list - list to be sorted. - cmp - cmp function to use - - Returns: - New head of the list. - - Note: - We have a special header for the list that will always be first, - but the algorithm could theoretically modify where the list starts. - - */ -list_head *core_list_mergesort(list_head *list, list_cmp cmp, core_results *res) { - list_head *p, *q, *e, *tail; - ee_s32 insize, nmerges, psize, qsize, i; - - insize = 1; - - while (1) { - p = list; - list = NULL; - tail = NULL; - - nmerges = 0; /* count number of merges we do in this pass */ - - while (p) { - nmerges++; /* there exists a merge to be done */ - /* step `insize' places along from p */ - q = p; - psize = 0; - for (i = 0; i < insize; i++) { - psize++; - q = q->next; - if (!q) break; - } - - /* if q hasn't fallen off end, we have two lists to merge */ - qsize = insize; - - /* now we have two lists; merge them */ - while (psize > 0 || (qsize > 0 && q)) { - - /* decide whether next element of merge comes from p or q */ - if (psize == 0) { - /* p is empty; e must come from q. */ - e = q; q = q->next; qsize--; - } else if (qsize == 0 || !q) { - /* q is empty; e must come from p. */ - e = p; p = p->next; psize--; - } else if (cmp(p->info,q->info,res) <= 0) { - /* First element of p is lower (or same); e must come from p. */ - e = p; p = p->next; psize--; - } else { - /* First element of q is lower; e must come from q. */ - e = q; q = q->next; qsize--; - } - - /* add the next element to the merged list */ - if (tail) { - tail->next = e; - } else { - list = e; - } - tail = e; - } - - /* now p has stepped `insize' places along, and q has too */ - p = q; - } - - tail->next = NULL; - - /* If we have done only one merge, we're finished. */ - if (nmerges <= 1) /* allow for nmerges==0, the empty list case */ - return list; - - /* Otherwise repeat, merging lists twice the size */ - insize *= 2; - } -#if COMPILER_REQUIRES_SORT_RETURN - return list; -#endif -} Index: neo430/sw/example/coremark/core_portme.h =================================================================== --- neo430/sw/example/coremark/core_portme.h (revision 196) +++ neo430/sw/example/coremark/core_portme.h (nonexistent) @@ -1,230 +0,0 @@ -/* -Copyright 2018 Embedded Microprocessor Benchmark Consortium (EEMBC) - -Licensed under the Apache License, Version 2.0 (the "License"); -you may not use this file except in compliance with the License. -You may obtain a copy of the License at - - http://www.apache.org/licenses/LICENSE-2.0 - -Unless required by applicable law or agreed to in writing, software -distributed under the License is distributed on an "AS IS" BASIS, -WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -See the License for the specific language governing permissions and -limitations under the License. - -Original Author: Shay Gal-on - -Modified for neo430 by Stephan Nolting -*/ - -/* Topic : Description - This file contains configuration constants required to execute on different platforms -*/ -#ifndef CORE_PORTME_H -#define CORE_PORTME_H - - -// NEO430 libraries -#include -#include - -// Manual NEO430 config: -#define BAUD_RATE (19200) -#define ITERATIONS (2000) -#define NEO430_TIMER_F (10) // Hz -#define FLAGS_STR "-> see makefile" // compiler optimization - -// For debugging -#define xstr(a) str(a) -#define str(a) #a - - -/************************/ -/* Data types and settings */ -/************************/ -/* Configuration : HAS_FLOAT - Define to 1 if the platform supports floating point. -*/ -#ifndef HAS_FLOAT -#define HAS_FLOAT 0 -#endif -/* Configuration : HAS_TIME_H - Define to 1 if platform has the time.h header file, - and implementation of functions thereof. -*/ -#ifndef HAS_TIME_H -#define HAS_TIME_H 0 -#endif -/* Configuration : USE_CLOCK - Define to 1 if platform has the time.h header file, - and implementation of functions thereof. -*/ -#ifndef USE_CLOCK -#define USE_CLOCK 0 -#endif -/* Configuration : HAS_STDIO - Define to 1 if the platform has stdio.h. -*/ -#ifndef HAS_STDIO -#define HAS_STDIO 0 -#endif -/* Configuration : HAS_PRINTF - Define to 1 if the platform has stdio.h and implements the printf function. -*/ -#ifndef HAS_PRINTF -#define HAS_PRINTF 0 -#endif - -/* Configuration : CORE_TICKS - Define type of return from the timing functions. - */ -#include -typedef clock_t CORE_TICKS; - -/* Definitions : COMPILER_VERSION, COMPILER_FLAGS, MEM_LOCATION - Initialize these strings per platform -*/ -#ifndef COMPILER_VERSION - #ifdef __GNUC__ - #define COMPILER_VERSION "GCC"__VERSION__ - #else - #define COMPILER_VERSION "Please put compiler version here (e.g. gcc 4.1)" - #endif -#endif -#ifndef COMPILER_FLAGS - #define COMPILER_FLAGS FLAGS_STR /* "Please put compiler flags here (e.g. -o3)" */ -#endif -#ifndef MEM_LOCATION - #define MEM_LOCATION "STACK" -#endif - -/* Data Types : - To avoid compiler issues, define the data types that need ot be used for 8b, 16b and 32b in . - - *Imprtant* : - ee_ptr_int needs to be the data type used to hold pointers, otherwise coremark may fail!!! -*/ -typedef signed int ee_s16; -typedef unsigned int ee_u16; -typedef signed long ee_s32; -typedef double ee_f32; -typedef unsigned char ee_u8; -typedef unsigned long ee_u32; -typedef ee_u16 ee_ptr_int; -typedef size_t ee_size_t; -//typedef signed short ee_s16; -//typedef unsigned short ee_u16; -//typedef signed int ee_s32; -//typedef double ee_f32; -//typedef unsigned char ee_u8; -//typedef unsigned int ee_u32; -//typedef ee_u32 ee_ptr_int; -//typedef size_t ee_size_t; -/* align_mem : - This macro is used to align an offset to point to a 32b value. It is used in the Matrix algorithm to initialize the input memory blocks. -*/ -#define align_mem(x) (void *)(4 + (((ee_ptr_int)(x) - 1) & ~3)) - -/* Configuration : SEED_METHOD - Defines method to get seed values that cannot be computed at compile time. - - Valid values : - SEED_ARG - from command line. - SEED_FUNC - from a system function. - SEED_VOLATILE - from volatile variables. -*/ -#ifndef SEED_METHOD -#define SEED_METHOD SEED_VOLATILE -#endif - -/* Configuration : MEM_METHOD - Defines method to get a block of memry. - - Valid values : - MEM_MALLOC - for platforms that implement malloc and have malloc.h. - MEM_STATIC - to use a static memory array. - MEM_STACK - to allocate the data block on the stack (NYI). -*/ -#ifndef MEM_METHOD -#define MEM_METHOD MEM_STACK -#endif - -/* Configuration : MULTITHREAD - Define for parallel execution - - Valid values : - 1 - only one context (default). - N>1 - will execute N copies in parallel. - - Note : - If this flag is defined to more then 1, an implementation for launching parallel contexts must be defined. - - Two sample implementations are provided. Use or to enable them. - - It is valid to have a different implementation of and in , - to fit a particular architecture. -*/ -#ifndef MULTITHREAD -#define MULTITHREAD 1 -#define USE_PTHREAD 0 -#define USE_FORK 0 -#define USE_SOCKET 0 -#endif - -/* Configuration : MAIN_HAS_NOARGC - Needed if platform does not support getting arguments to main. - - Valid values : - 0 - argc/argv to main is supported - 1 - argc/argv to main is not supported - - Note : - This flag only matters if MULTITHREAD has been defined to a value greater then 1. -*/ -#ifndef MAIN_HAS_NOARGC -#define MAIN_HAS_NOARGC 1 -#endif - -/* Configuration : MAIN_HAS_NORETURN - Needed if platform does not support returning a value from main. - - Valid values : - 0 - main returns an int, and return value will be 0. - 1 - platform does not support returning a value from main -*/ -#ifndef MAIN_HAS_NORETURN -#define MAIN_HAS_NORETURN 0 -#endif - -/* Variable : default_num_contexts - Not used for this simple port, must cintain the value 1. -*/ -extern ee_u32 default_num_contexts; - -typedef struct CORE_PORTABLE_S { - ee_u8 portable_id; -} core_portable; - -/* target specific init/fini */ -void portable_init(core_portable *p, int *argc, char *argv[]); -void portable_fini(core_portable *p); - -// special printf -int ee_printf(const char *fmt, ...); - -// timer IRQ for measurement -volatile uint16_t neo430_ticks; -void __attribute__((__interrupt__)) timer_irq_handler(void); - -#if !defined(PROFILE_RUN) && !defined(PERFORMANCE_RUN) && !defined(VALIDATION_RUN) -#if (TOTAL_DATA_SIZE==1200) -#define PROFILE_RUN 1 -#elif (TOTAL_DATA_SIZE==2000) -#define PERFORMANCE_RUN 1 -#else -#define VALIDATION_RUN 1 -#endif -#endif - -#endif /* CORE_PORTME_H */ Index: neo430/sw/example/wb_terminal/main.c =================================================================== --- neo430/sw/example/wb_terminal/main.c (revision 196) +++ neo430/sw/example/wb_terminal/main.c (nonexistent) @@ -1,356 +0,0 @@ -// ################################################################################################# -// # < Wishbone bus explorer > # -// # ********************************************************************************************* # -// # Manual access to the registers of modules, which are connected to the Wishbone bus. This tool # -// # uses NONBLOCKING Wishbone transactions. # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include -#include - -// Global variables -uint8_t wb_config = 0; - -// Prototypes -void setup_wb(void); -void read_wb_address(void); -void write_wb_address(void); -void dump_wb(void); - -// Configuration -#define MAX_CMD_LENGTH 16 -#define BAUD_RATE 19200 - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - char buffer[MAX_CMD_LENGTH]; - uint16_t length = 0; - uint16_t selection = 0; - - neo430_uart_br_print("\n--------------------------------------\n" - "--- Wishbone Bus Explorer Terminal ---\n" - "--------------------------------------\n\n"); - - // check if WB unit was synthesized, exit if no WB is available - if (!(SYS_FEATURES & (1< "); - length = neo430_uart_scan(buffer, MAX_CMD_LENGTH, 1); - neo430_uart_br_print("\n"); - - if (!length) // nothing to be done - continue; - - // decode input - selection = 0; - if (!strcmp(buffer, "help")) - selection = 1; - if (!strcmp(buffer, "setup")) - selection = 2; - if (!strcmp(buffer, "read")) - selection = 3; - if (!strcmp(buffer, "write")) - selection = 4; - if (!strcmp(buffer, "dump")) - selection = 5; - if (!strcmp(buffer, "reset")) - selection = 6; - if (!strcmp(buffer, "exit")) - selection = 7; - - // execute command - switch(selection) { - - case 1: // print help menu - neo430_uart_br_print("Available commands:\n" - " help - show this text\n" - " setup - configure WB interface\n" - " read - read from WB address\n" - " write - write to WB address\n" - " dump - dump data from WB addresses\n" - " reset - perform soft-reset\n" - " exit - exit program and return to bootloader\n"); - break; - - case 2: // setup Wishbone adapter - setup_wb(); - break; - - case 3: // read from address - read_wb_address(); - break; - - case 4: // write to address - write_wb_address(); - break; - - case 5: // dump data - dump_wb(); - break; - - case 6: // restart - while ((UART_CT & (1< # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/crc_test/Makefile =================================================================== --- neo430/sw/example/crc_test/Makefile (revision 196) +++ neo430/sw/example/crc_test/Makefile (nonexistent) @@ -1,210 +0,0 @@ -################################################################################################# -# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/crc_test/main.c =================================================================== --- neo430/sw/example/crc_test/main.c (revision 196) +++ neo430/sw/example/crc_test/main.c (nonexistent) @@ -1,102 +0,0 @@ -// ################################################################################################# -// # < CRC unit test program > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include -#include - -// Configuration -#define BAUD_RATE 19200 - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - char buffer[256]; - - // CRC results were validated using http://crccalc.com/ - - // setup UART - neo430_uart_setup(BAUD_RATE); - - neo430_uart_br_print("\n<<< CRC16/CRC32 module test >>>\n"); - - // check if CRC unit was synthesized, exit if not available - if (!(SYS_FEATURES & (1<> 16)); - neo430_uart_print_hex_word((uint16_t)crc32_res); - - neo430_uart_br_print("\n"); - } - - return 0; -} - Index: neo430/sw/example/prime_numbers/Makefile =================================================================== --- neo430/sw/example/prime_numbers/Makefile (revision 196) +++ neo430/sw/example/prime_numbers/Makefile (nonexistent) @@ -1,210 +0,0 @@ -################################################################################################# -# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/prime_numbers/main.c =================================================================== --- neo430/sw/example/prime_numbers/main.c (revision 196) +++ neo430/sw/example/prime_numbers/main.c (nonexistent) @@ -1,89 +0,0 @@ -// ################################################################################################# -// # < Computes and prints prime numbers > # -// # ********************************************************************************************* # -// # Computes all primes numbers between 3 and 2^32-1 using the harderr # -// # approximation way ;) The printed numbers are formated using sprintf from # -// # the C std library # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // intro text - neo430_printf("\n\nGenerating prime numbers between 3 and %n", 0xFFFFFFFF); - neo430_printf(".\n" - "Press any key to start.\n" - "You can abort the program by pressing any key again.\n"); - - // wait for any key - while(!neo430_uart_char_received()); - - uint32_t n = 0, i = 0; - uint8_t is_prime = 0; - - // generate candidates - for (n=3; n!=0xFFFFFFFF; n+=2) { - - // check if prime - is_prime = 1; - for (i=2; i<=(n>>1); i++) { - if (n%i == 0) { - is_prime = 0; - break; - } - } - - // output prime number in decimal representation - if (is_prime) - neo430_printf("%n, ", n); - - // abort? - if (neo430_uart_char_received()) // any key input? - neo430_soft_reset(); - } - - return 0; -} Index: neo430/sw/example/pwm_demo/Makefile =================================================================== --- neo430/sw/example/pwm_demo/Makefile (revision 196) +++ neo430/sw/example/pwm_demo/Makefile (nonexistent) @@ -1,210 +0,0 @@ -################################################################################################# -# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/pwm_demo/main.c =================================================================== --- neo430/sw/example/pwm_demo/main.c (revision 196) +++ neo430/sw/example/pwm_demo/main.c (nonexistent) @@ -1,100 +0,0 @@ -// ################################################################################################# -// # < PWM controller demo program > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 -#define PWM_MAX 63 - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - neo430_uart_br_print("\n<<< PWM controller demo >>>\n"); - - // check if PWM unit was synthesized, exit if no PWM controller is available - if (!(SYS_FEATURES & (1< # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/trng_test/main.c =================================================================== --- neo430/sw/example/trng_test/main.c (revision 196) +++ neo430/sw/example/trng_test/main.c (nonexistent) @@ -1,163 +0,0 @@ -// ################################################################################################# -// # < TRNG test program > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 -#define NUM_SAMPLES 2000000000 -#define TRNG_TAP_MASK 0b01010001000000 // highly experimental! - -// Global variables -uint32_t rnd_hist[256]; - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // intro text - neo430_printf("\n<<< TRNG Test >>>\n"); - - // check if TRNG was synthesized, exit if not available - if (!(SYS_FEATURES & (1< "); - char cmd = neo430_uart_getc(); - neo430_uart_putc(cmd); // echo - neo430_printf("\n"); - - // output RND data - if (cmd == 'a') { - uint32_t num_samples = 0; - while(1) { - rnd_status = neo430_trng_get(&rnd_data); - if (rnd_status) { - neo430_printf("\nTRNG error!\n"); - break; - } - neo430_printf("%u ", (uint16_t)rnd_data); - num_samples++; - if (neo430_uart_char_received()) { // abort when key pressed - neo430_printf("\nNumber of samples: %n\n", num_samples); - break; - } - } - } - - // compute histogram - else if (cmd == 'b') { - // clear histogram memory - uint16_t i; - for (i=0; i<256; i++) { - rnd_hist[i] = 0; - } - - // generate histogram - neo430_printf("Sampling data (%n samples). This may take some time...\n", (uint32_t)NUM_SAMPLES); - uint32_t j; - for (j=0; j # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/gpio_interrupt/main.c =================================================================== --- neo430/sw/example/gpio_interrupt/main.c (revision 196) +++ neo430/sw/example/gpio_interrupt/main.c (nonexistent) @@ -1,100 +0,0 @@ -// ################################################################################################# -// # < GPIO interrupt example program > # -// # ********************************************************************************************* # -// # Prints a message whenever a GPIO input pin goes HIGH. Uses the PIO pin-change interrupt. # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 - -// Function prototypes -void __attribute__((__interrupt__)) gpio_irq_handler(void); - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // intro text - neo430_uart_br_print("\nGPIO pin change interrupt demo program\n\n"); - - // check if GPIO present - if (!(SYS_FEATURES & (1< # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/cfu_test/main.c =================================================================== --- neo430/sw/example/cfu_test/main.c (revision 196) +++ neo430/sw/example/cfu_test/main.c (nonexistent) @@ -1,122 +0,0 @@ -// ################################################################################################# -// # < Custom Functions Unit Test Program > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 - -// Prototypes -void verify16(char *s, uint16_t a, uint16_t b); - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // intro text - neo430_uart_br_print("\nCustom Functions Unit (CFU) test program\n"); - - // check if CFU present - if (!(SYS_FEATURES & (1< # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#------------------------------------------------------------------------------- -# Make defaults and targets -#------------------------------------------------------------------------------- -.SUFFIXES: -.DEFAULT_GOAL := help - -TOPTARGETS := compile clean_all - -SUBDIRS := $(wildcard */.) -# ignore dummy folders (starting with '~') -DUMMYDIRS := $(wildcard ~*/.) -SUBDIRS := $(filter-out $(DUMMYDIRS), $(SUBDIRS)) - -$(TOPTARGETS): $(SUBDIRS) -$(SUBDIRS): - @set -e - @$(MAKE) -C $@ $(MAKECMDGOALS) - -.PHONY: $(TOPTARGETS) $(SUBDIRS) - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "Compile / clean up ALL project folders in this directory" - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile all projects" - @echo " clean_all - clean up everything" - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/nested_irqs/makefile =================================================================== --- neo430/sw/example/nested_irqs/makefile (revision 196) +++ neo430/sw/example/nested_irqs/makefile (nonexistent) @@ -1,210 +0,0 @@ -################################################################################################# -# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/nested_irqs/main.c =================================================================== --- neo430/sw/example/nested_irqs/main.c (revision 196) +++ neo430/sw/example/nested_irqs/main.c (nonexistent) @@ -1,144 +0,0 @@ -// ################################################################################################# -// # < Nested IRQs example > # -// # ********************************************************************************************* # -// # Generates a run time clock using the timer IRQ. # -// # Whenever a char via the UART is received, the according ISR show the current time. # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 - -// Function prototypes -void __attribute__((__interrupt__)) timer_irq_handler(void); -void __attribute__((__interrupt__)) uart_irq_handler(void); - -// Variable -volatile uint64_t time_ms; - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - - // check if TIMER unit was synthesized, exit if no TIMER is available - if (!(SYS_FEATURES & (1< # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/hw_analysis/main.c =================================================================== --- neo430/sw/example/hw_analysis/main.c (revision 196) +++ neo430/sw/example/hw_analysis/main.c (nonexistent) @@ -1,172 +0,0 @@ -// ################################################################################################# -// # < Processor hardware analysis tool > # -// # ********************************************************************************************* # -// # Prints various information from the system. # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include -#include - -// Configuration -#define BAUD_RATE 19200 - -// Prototypes -void print_state(uint16_t d); - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // intro text - neo430_printf("\nNEO430 Hardware Analysis Tool\n\n"); - - // General information - // -------------------------------------------- - // HW version - neo430_printf("Hardware version: 0x%x\n", HW_VERSION); - - // HW user code - neo430_printf("User code: 0x%x\n", USER_CODE); - - // Clock speed - uint32_t clock = CLOCKSPEED_32bit; - neo430_printf("Clock speed: %n Hz\n", clock); - - // ROM/IMEM - neo430_printf("IMEM/ROM: %u bytes @ 0x%x\n", IMEM_SIZE, IMEM_ADDR_BASE); - - // RAM/DMEM - neo430_printf("DMEM/RAM: %u bytes @ 0x%x\n", DMEM_SIZE, DMEM_ADDR_BASE); - - // UART baud rate - neo430_printf("UART Baud rate: %n\n", neo430_uart_get_baudrate()); - - - // System features - // -------------------------------------------- - uint16_t ft = SYS_FEATURES; - neo430_printf("\nSystem features\n"); - - // CFU - neo430_printf("- Multiplier/Divider: "); - print_state(ft & (1< # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/blink_led/main.c =================================================================== --- neo430/sw/example/blink_led/main.c (revision 196) +++ neo430/sw/example/blink_led/main.c (nonexistent) @@ -1,64 +0,0 @@ -// ################################################################################################# -// # < Blinking LED example program > # -// # ********************************************************************************************* # -// # Displays an 8-bit counter on the high-active LEDs connected to the parallel output port. # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // intro text - neo430_uart_br_print("\nBlinking LED demo program\n"); - - uint16_t i = 0; - while (1) { - neo430_gpio_port_set(0x00FF & (i++)); // set output port and increment counter - neo430_cpu_delay_ms(200); // wait 200ms - } - - return 0; -} Index: neo430/sw/example/gpio_pwm_demo/main.c =================================================================== --- neo430/sw/example/gpio_pwm_demo/main.c (revision 196) +++ neo430/sw/example/gpio_pwm_demo/main.c (nonexistent) @@ -1,108 +0,0 @@ -// ################################################################################################# -// # < Use the PWM controller to modulate the GPIO output port > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 -#define PWM_MAX 63 - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - neo430_uart_br_print("\n<<< PWM GPIO modulation demo >>>\n"); - - // check if PWM unit was synthesized, exit if not - if (!(SYS_FEATURES & (1<>4) & 0x00FF); - cnt++; - - neo430_cpu_delay_ms(8); - } - - return 0; -} Index: neo430/sw/example/gpio_pwm_demo/makefile =================================================================== --- neo430/sw/example/gpio_pwm_demo/makefile (revision 196) +++ neo430/sw/example/gpio_pwm_demo/makefile (nonexistent) @@ -1,210 +0,0 @@ -################################################################################################# -# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/uart_irq/Makefile =================================================================== --- neo430/sw/example/uart_irq/Makefile (revision 196) +++ neo430/sw/example/uart_irq/Makefile (nonexistent) @@ -1,210 +0,0 @@ -################################################################################################# -# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/uart_irq/main.c =================================================================== --- neo430/sw/example/uart_irq/main.c (revision 196) +++ neo430/sw/example/uart_irq/main.c (nonexistent) @@ -1,200 +0,0 @@ -// ################################################################################################# -// # < UART interrupt example > # -// # ********************************************************************************************* # -// # UART RECEIVE is conducted using the UART RX interrupt # -// # UART TRANSMIT is conducted by using the timer interrupt # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include -#include - -// Configuration -#define BAUD_RATE 19200 -#define UART_FIFO_SIZE 512 // must be a power of two! -#define UART_FIFO_MASK (UART_FIFO_SIZE-1) - -// Types -struct uart_fifo { - uint8_t data[UART_FIFO_SIZE]; - uint16_t get_pnt; - uint16_t put_pnt; -}; - -// Global vars -volatile struct uart_fifo uart_rtx_fifo; - -// Function prototypes -void __attribute__((__interrupt__)) uart_irq_handler(void); -void __attribute__((__interrupt__)) timer_irq_handler(void); -void __attribute__((__interrupt__)) gpio_irq_handler(void); - -void fifo_put_string(volatile struct uart_fifo *fifo, char *s); -uint8_t fifo_put(volatile struct uart_fifo *fifo, uint8_t c); -uint8_t fifo_get(volatile struct uart_fifo *fifo, uint8_t *c); - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - - // init fifo - uart_rtx_fifo.get_pnt = 0; - uart_rtx_fifo.put_pnt = 0; - - // deactivate all LEDs - GPIO_OUTPUT = 0; - - // set address of UART, TIMER and GPIO IRQ handlers - IRQVEC_SERIAL = (uint16_t)(&uart_irq_handler); - IRQVEC_TIMER = (uint16_t)(&timer_irq_handler); - IRQVEC_GPIO = (uint16_t)(&gpio_irq_handler); - - // configure GPIO pin-change interrupt - GPIO_IRQMASK = 0xFFFF; // use all input pins as trigger - - // configure UART RX interrupt - UART_CT |= (1<put_pnt + 1) & UART_FIFO_MASK); - - if (fifo->get_pnt == next) - return 1; // fifo full - - fifo->data[fifo->put_pnt & UART_FIFO_MASK] = c; - fifo->put_pnt = next; - - return 0; -} - - -/* ------------------------------------------------------------ - * INFO Read from buffer, returns 0 if success - * ------------------------------------------------------------ */ -uint8_t fifo_get(volatile struct uart_fifo *fifo, uint8_t *c) { - - if (fifo->get_pnt == fifo->put_pnt) - return 1; // fifo empty - - *c = fifo->data[fifo->get_pnt]; - - fifo->get_pnt = (fifo->get_pnt+1) & UART_FIFO_MASK; - - return 0; -} - - - -/* ------------------------------------------------------------ - * INFO GPIO pin-change interrupt handler - * ------------------------------------------------------------ */ -void __attribute__((__interrupt__)) gpio_irq_handler(void) { - - GPIO_OUTPUT = (GPIO_OUTPUT + 1) & 0x00FF; // increment LED counter -} Index: neo430/sw/example/exirq_test/makefile =================================================================== --- neo430/sw/example/exirq_test/makefile (revision 196) +++ neo430/sw/example/exirq_test/makefile (nonexistent) @@ -1,210 +0,0 @@ -################################################################################################# -# < NEO430 Application Compile Script - Linux / Windows Powershell / Windows Linux Subsystem > # -# ********************************************************************************************* # -# BSD 3-Clause License # -# # -# Copyright (c) 2020, Stephan Nolting. All rights reserved. # -# # -# Redistribution and use in source and binary forms, with or without modification, are # -# permitted provided that the following conditions are met: # -# # -# 1. Redistributions of source code must retain the above copyright notice, this list of # -# conditions and the following disclaimer. # -# # -# 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -# conditions and the following disclaimer in the documentation and/or other materials # -# provided with the distribution. # -# # -# 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -# endorse or promote products derived from this software without specific prior written # -# permission. # -# # -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -# OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -# MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -# COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -# EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -# GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -# AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -# NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -# OF THE POSSIBILITY OF SUCH DAMAGE. # -# ********************************************************************************************* # -# The NEO430 Processor - https://github.com/stnolting/neo430 # -################################################################################################# - - -#******************************************************************************* -# USER CONFIGURATION -#******************************************************************************* -# Compiler effort (-Os = optimize for size) -EFFORT = -Os - -# User's application sources (add additional files here) -APP_SRC = main.c - -# User's application include folders (don't forget the '-I' before each entry) -APP_INC = -I . - -# Relative or absolute path to the NEO430 home folder -NEO430_HOME = ../../.. -#******************************************************************************* - - - -#------------------------------------------------------------------------------- -# NEO430 framework -#------------------------------------------------------------------------------- -# Path to NEO430 linker script and startup file -NEO430_COM_PATH=$(NEO430_HOME)/sw/common -# Path to main NEO430 library include files -NEO430_INC_PATH=$(NEO430_HOME)/sw/lib/neo430/include -# Path to main NEO430 library source files -NEO430_SRC_PATH=$(NEO430_HOME)/sw/lib/neo430/source -# Path to NEO430 executable generator -NEO430_EXE_PATH=$(NEO430_HOME)/sw/tools/image_gen -# Path to NEO430 core rtl folder -NEO430_RTL_PATH=$(NEO430_HOME)/rtl/core - - -#------------------------------------------------------------------------------- -# Add NEO430 sources to input SRCs -#------------------------------------------------------------------------------- -APP_SRC += $(wildcard $(NEO430_SRC_PATH)/*.c) - - -#------------------------------------------------------------------------------- -# Make defaults -#------------------------------------------------------------------------------- -.SUFFIXES: -.PHONY: all -.DEFAULT_GOAL := help - - -#------------------------------------------------------------------------------- -# Application output definitions -#------------------------------------------------------------------------------- -APP_BIN = main.bin -APP_ASM = main.s - -compile: $(APP_ASM) $(APP_BIN) -install: $(APP_ASM) neo430_application_image.vhd -all: $(APP_ASM) $(APP_BIN) neo430_application_image.vhd - -# define all object files -OBJ = $(APP_SRC:.c=.o) - - -#------------------------------------------------------------------------------- -# Tools -#------------------------------------------------------------------------------- -#C ompiler tools -AS = msp430-elf-as -CC = msp430-elf-gcc -LD = msp430-elf-ld -STRIP = msp430-elf-strip -OBJDUMP = msp430-elf-objdump -OBJCOPY = msp430-elf-objcopy -SIZE = msp430-elf-size -IMAGE_GEN = $(NEO430_EXE_PATH)/image_gen - -# Compiler flags -CC_OPTS = -mcpu=msp430 -pipe -Wall -Xassembler --mY -fno-delete-null-pointer-checks -CC_OPTS += -Wl,-static -mrelax -minrt -nostartfiles -fdata-sections -ffunction-sections -Xlinker --gc-sections -# Use NEO430 multiplier? (still experimental!) -ifeq (,$(findstring NEO430_HWMUL_ABI_OVERRIDE,$(CC_USER_FLAGS))) - CC_OPTS += -mhwmult=none -else - CC_OPTS += -mhwmult=16bit -endif -# Add user flags if available -CC_OPTS += ${CC_USER_FLAGS} - -# Linker flags -LD_OPTS = -mcpu=msp430 -Wl,--gc-sections -mrelax -minrt -nostartfiles - - -#------------------------------------------------------------------------------- -# PC Host Compiler -#------------------------------------------------------------------------------- -CC_X86 = g++ -Wall -O -g - - -#------------------------------------------------------------------------------- -# Tool Targets -#------------------------------------------------------------------------------- -# install/compile tools -$(IMAGE_GEN): $(NEO430_EXE_PATH)/main.cpp - @echo Compiling $(IMAGE_GEN) - @$(CC_X86) $< -o $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# Application Targets -#------------------------------------------------------------------------------- -# Assemble startup code -crt0.elf: $(NEO430_COM_PATH)/crt0.asm - @$(AS) -mY -mcpu=msp430 $< -o $@ - -# Compile app sources -$(OBJ): %.o : %.c crt0.elf - @$(CC) -c $(CC_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) $< -o $@ - -# Link object files -main.elf: $(OBJ) - @$(CC) $(LD_OPTS) $(EFFORT) -I $(NEO430_INC_PATH) $(APP_INC) -T $(NEO430_COM_PATH)/neo430_linker_script.x $(OBJ) -o $@ -lm - @echo Memory utilization: - @$(SIZE) main.elf - -# Generate final executable (from .image section only) -image.dat: main.elf - @$(OBJCOPY) -I elf32-little $< -j .text -O binary text.dat - @$(OBJCOPY) -I elf32-little $< -j .rodata -O binary rodata.dat - @$(OBJCOPY) -I elf32-little $< -j .data -O binary data.dat - @cat text.dat rodata.dat data.dat > $@ - @rm -f text.dat rodata.dat data.dat - -# Assembly listing file (for debugging) -$(APP_ASM): main.elf - @$(OBJDUMP) -D -S -z $< > $@ - @if grep -qR "dadd" $@; then echo "NEO430: WARNING! 'DADD' instruction might be used!"; fi - -# Generate NEO430 executable image for bootloader update -$(APP_BIN): image.dat $(IMAGE_GEN) - @set -e - @$(IMAGE_GEN) -app_bin $< $@ - -# Generate NEO430 executable VHDL boot image -neo430_application_image.vhd: image.dat $(IMAGE_GEN) - @$(IMAGE_GEN) -app_img $< $@ - @echo Installing application image to $(NEO430_RTL_PATH)/neo430_application_image.vhd - @cp neo430_application_image.vhd $(NEO430_RTL_PATH)/. - @rm -f neo430_application_image.vhd - - -#------------------------------------------------------------------------------- -# Help -#------------------------------------------------------------------------------- -help: - @echo "NEO430 Application Compilation Script" - @echo "Make sure to add the msp430-gcc bin folder to your system's PATH variable." - @echo "Targets:" - @echo " help - show this text" - @echo " compile - compile and generate *.bin executable for upload via bootloader" - @echo " install - compile, generate and install VHDL boot image" - @echo " all - compile and generate *.bin executable for upload via bootloader and generate and install VHDL boot image" - @echo " clean - clean up project" - @echo " clean_all - clean up project, core libraries and helper tools" - - -#------------------------------------------------------------------------------- -# Clean up -#------------------------------------------------------------------------------- -clean: - @rm -f *.elf *.o *.dat *.vhd *.s *.bin - -clean_all: - @rm -f $(OBJ) *.elf *.dat *.bin *.vhd *.s $(IMAGE_GEN) - - -#------------------------------------------------------------------------------- -# eof Index: neo430/sw/example/exirq_test/main.c =================================================================== --- neo430/sw/example/exirq_test/main.c (revision 196) +++ neo430/sw/example/exirq_test/main.c (nonexistent) @@ -1,146 +0,0 @@ -// ################################################################################################# -// # < External Interrupts Controller test program > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - - -// Libraries -#include - -// Configuration -#define BAUD_RATE 19200 - -// Prototypes -void ext_irq_ch0_handler(void); -void ext_irq_ch1_handler(void); -void ext_irq_ch2_handler(void); -void ext_irq_ch3_handler(void); - -void ext_irq_ch7_handler(void); - - -/* ------------------------------------------------------------ - * INFO Main function - * ------------------------------------------------------------ */ -int main(void) { - - // setup UART - neo430_uart_setup(BAUD_RATE); - - // intro text - neo430_uart_br_print("\n<<< EXIRQ Test >>>\n"); - - // check if EXIRQ was synthesized, exit if not available - if (!(SYS_FEATURES & (1<= '0') && (c <= '7')) { - c = c - '0'; - neo430_exirq_sw_irq((uint8_t)c); // trigger according IRQ by software - } - } - - return 0; -} - - -// handler functions for the external interrupt channels: -// - must not have parameters nor a return value -// - should not use the interrupt attribute, as they can be normal functions called by the actual interrupt handler - -void ext_irq_ch0_handler(void) { - - neo430_gpio_pin_toggle(0); -} - - -void ext_irq_ch1_handler(void) { - - neo430_gpio_pin_toggle(1); -} - - -void ext_irq_ch2_handler(void) { - - neo430_gpio_pin_toggle(2); -} - - -void ext_irq_ch3_handler(void) { - - neo430_gpio_pin_toggle(3); -} - - -void ext_irq_ch7_handler(void) { - - neo430_gpio_pin_toggle(7); -} - Index: neo430/sw/tools/image_gen/main.cpp =================================================================== --- neo430/sw/tools/image_gen/main.cpp (revision 196) +++ neo430/sw/tools/image_gen/main.cpp (nonexistent) @@ -1,213 +0,0 @@ -// ################################################################################################# -// # < NEO430 Boot image generator > # -// # ********************************************************************************************* # -// # BSD 3-Clause License # -// # # -// # Copyright (c) 2020, Stephan Nolting. All rights reserved. # -// # # -// # Redistribution and use in source and binary forms, with or without modification, are # -// # permitted provided that the following conditions are met: # -// # # -// # 1. Redistributions of source code must retain the above copyright notice, this list of # -// # conditions and the following disclaimer. # -// # # -// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # -// # conditions and the following disclaimer in the documentation and/or other materials # -// # provided with the distribution. # -// # # -// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # -// # endorse or promote products derived from this software without specific prior written # -// # permission. # -// # # -// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # -// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # -// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # -// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # -// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # -// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # -// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # -// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # -// # OF THE POSSIBILITY OF SUCH DAMAGE. # -// # ********************************************************************************************* # -// # The NEO430 Processor - https://github.com/stnolting/neo430 # -// ################################################################################################# - -#include -#include -#include -#include - -int main(int argc, char *argv[]) { - - if (argc != 4) { - printf("<<< NEO430 executable image generator >>>\n" - "Three arguments are required.\n" - "1st: Option\n" - " -app_bin : Generate application executable binary (with header!) \n" - " -app_img : Generate application raw executable memory image (text file, no header!)\n" - " -bld_img : Generate bootloader raw executable memory image (text file, no header!)\n" - "2nd: Input file (raw binary image)\n" - "3rd: Output file\n"); - return 1; - } - - FILE *input, *output; - unsigned char buffer[2]; - char tmp_string[512]; - uint16_t tmp = 0, size = 0, checksum = 0; - int i = 0; - int option = 0; - - if (strcmp(argv[1], "-app_bin") == 0) - option = 1; - else if (strcmp(argv[1], "-app_img") == 0) - option = 2; - else if (strcmp(argv[1], "-bld_img") == 0) - option = 3; - else { - printf("Invalid option!"); - return 2; - } - - // open input file - input = fopen(argv[2], "rb"); - if(input == NULL){ - printf("Input file error!"); - return 3; - } - - // open output file - output = fopen(argv[3], "wb"); - if(output == NULL){ - printf("Output file error!"); - return 4; - } - - -// ------------------------------------------------------------ -// Generate BINARY executable (with header!!!) for bootloader upload -// ------------------------------------------------------------ - if (option == 1) { - // reserve space for header - fputc(char(0), output); - fputc(char(0), output); - fputc(char(0), output); - fputc(char(0), output); - fputc(char(0), output); - fputc(char(0), output); - - buffer[0] = 0; - buffer[1] = 0; - - checksum = 0; - size = 0; - rewind(input); - while(fread(&buffer, sizeof(unsigned char), 2, input) != 0) { - tmp = (((uint16_t)buffer[1] << 8) | buffer[0]); - checksum = checksum ^ tmp; - fputc(buffer[1], output); - fputc(buffer[0], output); - size += 2; - } - - // build header - rewind(output); - fputc((unsigned char)(0xCA), output); - fputc((unsigned char)(0xFE), output); - fputc((unsigned char)((size & 0xFF00) >> 8), output); - fputc((unsigned char)((size & 0x00FF) >> 0), output); - fputc((unsigned char)((checksum & 0xFF00) >> 8), output); - fputc((unsigned char)((checksum & 0x00FF) >> 0), output); - } - - -// ------------------------------------------------------------ -// Generate APPLICATION's executable memory init file (no header!!!) -// ------------------------------------------------------------ - if (option == 2) { - - // header - sprintf(tmp_string, "-- The NEO430 Processor Project, by Stephan Nolting\r\n" - "-- Auto-generated memory init file (for APPLICATION)\r\n" - "\r\n" - "library ieee;\r\n" - "use ieee.std_logic_1164.all;\r\n" - "\r\n" - "package neo430_application_image is\r\n" - "\r\n" - " type application_init_image_t is array (0 to 65535) of std_ulogic_vector(15 downto 0);\r\n" - " constant application_init_image : application_init_image_t := (\r\n"); - fputs(tmp_string, output); - - // data - buffer[0] = 0; - buffer[1] = 0; - i = 0; - while(fread(&buffer, sizeof(unsigned char), 2, input) != 0) { - tmp = (((uint16_t)buffer[1] << 8) | buffer[0]); - sprintf(tmp_string, " %06d => x\"%04x\",\r\n", i, tmp); - fputs(tmp_string, output); - buffer[0] = 0; - buffer[1] = 0; - i++; - } - - sprintf(tmp_string, " others => x\"0000\"\r\n"); - fputs(tmp_string, output); - - // end - sprintf(tmp_string, " );\r\n" - "\r\n" - "end neo430_application_image;\r\n"); - fputs(tmp_string, output); - } - - -// ------------------------------------------------------------ -// Generate BOOTLOADER's executable memory init file (no header!!!) -// ------------------------------------------------------------ - if (option == 3) { - - // header - sprintf(tmp_string, "-- The NEO430 Processor Project, by Stephan Nolting\r\n" - "-- Auto-generated memory init file (for BOOTLOADER)\r\n" - "\r\n" - "library ieee;\r\n" - "use ieee.std_logic_1164.all;\r\n" - "\r\n" - "package neo430_bootloader_image is\r\n" - "\r\n" - " type bootloader_init_image_t is array (0 to 65535) of std_ulogic_vector(15 downto 0);\r\n" - " constant bootloader_init_image : bootloader_init_image_t := (\r\n"); - fputs(tmp_string, output); - - // data - buffer[0] = 0; - buffer[1] = 0; - i = 0; - while(fread(&buffer, sizeof(unsigned char), 2, input) != 0) { - tmp = (((uint16_t)buffer[1] << 8) | buffer[0]); - sprintf(tmp_string, " %06d => x\"%04x\",\r\n", i, tmp); - fputs(tmp_string, output); - buffer[0] = 0; - buffer[1] = 0; - i++; - } - - sprintf(tmp_string, " others => x\"0000\"\r\n"); - fputs(tmp_string, output); - - // end - sprintf(tmp_string, " );\r\n" - "\r\n" - "end neo430_bootloader_image;\r\n"); - fputs(tmp_string, output); - } - - - fclose(input); - fclose(output); - - return 0; -} -

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.