OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /neo430
    from Rev 179 to Rev 180
    Reverse comparison

Rev 179 → Rev 180

/trunk/neo430/rtl/core/neo430_bootloader_image.vhd
12,7 → 12,7
000001 => x"bffe",
000002 => x"5211",
000003 => x"fffa",
000004 => x"3d8c",
000004 => x"3d8b",
000005 => x"4303",
000006 => x"1300",
000007 => x"120f",
24,7 → 24,7
000013 => x"c004",
000014 => x"435c",
000015 => x"12b0",
000016 => x"f63a",
000016 => x"f638",
000017 => x"413b",
000018 => x"413c",
000019 => x"413d",
32,9 → 32,9
000021 => x"413f",
000022 => x"1300",
000023 => x"403c",
000024 => x"f67e",
000024 => x"f67c",
000025 => x"12b0",
000026 => x"f566",
000026 => x"f564",
000027 => x"403d",
000028 => x"ffa0",
000029 => x"4d2c",
46,9 → 46,9
000035 => x"4030",
000036 => x"f044",
000037 => x"403c",
000038 => x"f68b",
000038 => x"f689",
000039 => x"12b0",
000040 => x"f566",
000040 => x"f564",
000041 => x"4130",
000042 => x"120a",
000043 => x"1209",
59,13 → 59,13
000048 => x"407a",
000049 => x"0020",
000050 => x"4038",
000051 => x"f566",
000051 => x"f564",
000052 => x"4037",
000053 => x"f5d4",
000053 => x"f5d2",
000054 => x"4036",
000055 => x"f536",
000055 => x"f534",
000056 => x"403c",
000057 => x"f6ed",
000057 => x"f6eb",
000058 => x"1288",
000059 => x"4a09",
000060 => x"5039",
73,7 → 73,7
000062 => x"490c",
000063 => x"1287",
000064 => x"403c",
000065 => x"f6ef",
000065 => x"f6ed",
000066 => x"1288",
000067 => x"4075",
000068 => x"0020",
85,7 → 85,7
000074 => x"9a09",
000075 => x"23f9",
000076 => x"12b0",
000077 => x"f556",
000077 => x"f554",
000078 => x"930c",
000079 => x"2006",
000080 => x"930a",
95,7 → 95,7
000084 => x"4030",
000085 => x"f070",
000086 => x"4030",
000087 => x"f664",
000087 => x"f662",
000088 => x"120a",
000089 => x"1209",
000090 => x"1208",
109,12 → 109,12
000098 => x"d39a",
000099 => x"0000",
000100 => x"4039",
000101 => x"f61e",
000101 => x"f61c",
000102 => x"407c",
000103 => x"0006",
000104 => x"1289",
000105 => x"4038",
000106 => x"f616",
000106 => x"f614",
000107 => x"1288",
000108 => x"d39a",
000109 => x"0000",
122,7 → 122,7
000111 => x"1289",
000112 => x"470c",
000113 => x"12b0",
000114 => x"f64c",
000114 => x"f64a",
000115 => x"1289",
000116 => x"470c",
000117 => x"1289",
143,7 → 143,7
000132 => x"b317",
000133 => x"23f6",
000134 => x"4030",
000135 => x"f664",
000135 => x"f662",
000136 => x"120a",
000137 => x"1209",
000138 => x"1208",
152,7 → 152,7
000141 => x"4d0c",
000142 => x"427d",
000143 => x"12b0",
000144 => x"f678",
000144 => x"f676",
000145 => x"403a",
000146 => x"f0b0",
000147 => x"4c4d",
163,7 → 163,7
000152 => x"531c",
000153 => x"128a",
000154 => x"4030",
000155 => x"f66a",
000155 => x"f668",
000156 => x"120a",
000157 => x"1209",
000158 => x"4c09",
170,13 → 170,13
000159 => x"d392",
000160 => x"ffa4",
000161 => x"403a",
000162 => x"f61e",
000162 => x"f61c",
000163 => x"407c",
000164 => x"0003",
000165 => x"128a",
000166 => x"490c",
000167 => x"12b0",
000168 => x"f64c",
000168 => x"f64a",
000169 => x"128a",
000170 => x"490c",
000171 => x"128a",
184,10 → 184,10
000173 => x"128a",
000174 => x"4c0a",
000175 => x"12b0",
000176 => x"f616",
000176 => x"f614",
000177 => x"4a4c",
000178 => x"4030",
000179 => x"f66c",
000179 => x"f66a",
000180 => x"120a",
000181 => x"1209",
000182 => x"1208",
195,7 → 195,7
000184 => x"934d",
000185 => x"200b",
000186 => x"4039",
000187 => x"f54a",
000187 => x"f548",
000188 => x"1289",
000189 => x"4c4a",
000190 => x"1289",
202,9 → 202,9
000191 => x"4c4d",
000192 => x"4a4c",
000193 => x"12b0",
000194 => x"f650",
000194 => x"f64e",
000195 => x"4030",
000196 => x"f66a",
000196 => x"f668",
000197 => x"4039",
000198 => x"f138",
000199 => x"1289",
216,16 → 216,16
000205 => x"f17e",
000206 => x"4c4a",
000207 => x"403c",
000208 => x"f6f3",
000208 => x"f6f1",
000209 => x"12b0",
000210 => x"f566",
000210 => x"f564",
000211 => x"4a4c",
000212 => x"12b0",
000213 => x"f5b8",
000213 => x"f5b6",
000214 => x"4302",
000215 => x"435c",
000216 => x"12b0",
000217 => x"f634",
000217 => x"f632",
000218 => x"4030",
000219 => x"f1b4",
000220 => x"120a",
234,18 → 234,18
000223 => x"1207",
000224 => x"1206",
000225 => x"403a",
000226 => x"f566",
000226 => x"f564",
000227 => x"403c",
000228 => x"f6fa",
000228 => x"f6f8",
000229 => x"128a",
000230 => x"12b0",
000231 => x"f54a",
000231 => x"f548",
000232 => x"4a08",
000233 => x"907c",
000234 => x"0079",
000235 => x"2034",
000236 => x"403c",
000237 => x"f709",
000237 => x"f707",
000238 => x"128a",
000239 => x"403a",
000240 => x"ffa4",
252,12 → 252,12
000241 => x"d39a",
000242 => x"0000",
000243 => x"4039",
000244 => x"f61e",
000244 => x"f61c",
000245 => x"407c",
000246 => x"0006",
000247 => x"1289",
000248 => x"4037",
000249 => x"f616",
000249 => x"f614",
000250 => x"1287",
000251 => x"d39a",
000252 => x"0000",
294,10 → 294,10
000283 => x"426c",
000284 => x"128a",
000285 => x"403c",
000286 => x"f716",
000286 => x"f714",
000287 => x"1288",
000288 => x"4030",
000289 => x"f666",
000289 => x"f664",
000290 => x"4c06",
000291 => x"5326",
000292 => x"4c2d",
317,22 → 317,22
000306 => x"1204",
000307 => x"8221",
000308 => x"4c47",
000309 => x"4216",
000309 => x"4218",
000310 => x"fff2",
000311 => x"f036",
000311 => x"f038",
000312 => x"0100",
000313 => x"9306",
000313 => x"9308",
000314 => x"2403",
000315 => x"435c",
000316 => x"12b0",
000317 => x"f19c",
000318 => x"4035",
000319 => x"f566",
000318 => x"4036",
000319 => x"f564",
000320 => x"9307",
000321 => x"200e",
000322 => x"403c",
000323 => x"f719",
000324 => x"1285",
000323 => x"f717",
000324 => x"1286",
000325 => x"4039",
000326 => x"f168",
000327 => x"474d",
345,7 → 345,7
000334 => x"4030",
000335 => x"f278",
000336 => x"403c",
000337 => x"f72d",
000337 => x"f72b",
000338 => x"4030",
000339 => x"f288",
000340 => x"474d",
357,289 → 357,289
000346 => x"1289",
000347 => x"4c81",
000348 => x"0002",
000349 => x"421e",
000349 => x"421f",
000350 => x"fff6",
000351 => x"9a0e",
000352 => x"2814",
000351 => x"9a0f",
000352 => x"2811",
000353 => x"c312",
000354 => x"100a",
000355 => x"4a04",
000356 => x"5a04",
000357 => x"4348",
000358 => x"480c",
000359 => x"503c",
000360 => x"0006",
000361 => x"9408",
000362 => x"200d",
000363 => x"c312",
000364 => x"100e",
000365 => x"9e0a",
000366 => x"2814",
000367 => x"9116",
000368 => x"0002",
000369 => x"2419",
000370 => x"427c",
000357 => x"4805",
000358 => x"9504",
000359 => x"200d",
000360 => x"c312",
000361 => x"100f",
000362 => x"9f0a",
000363 => x"2817",
000364 => x"9118",
000365 => x"0002",
000366 => x"241b",
000367 => x"427c",
000368 => x"4030",
000369 => x"f278",
000370 => x"426c",
000371 => x"4030",
000372 => x"f278",
000373 => x"426c",
000374 => x"4030",
000375 => x"f278",
000376 => x"474d",
000377 => x"4e81",
000373 => x"474d",
000374 => x"450c",
000375 => x"503c",
000376 => x"0006",
000377 => x"4f81",
000378 => x"0000",
000379 => x"1289",
000380 => x"ec06",
000381 => x"4c88",
000380 => x"ec08",
000381 => x"4c85",
000382 => x"0000",
000383 => x"5328",
000384 => x"412e",
000383 => x"5325",
000384 => x"412f",
000385 => x"4030",
000386 => x"f2cc",
000387 => x"4a0c",
000388 => x"5a0c",
000389 => x"540c",
000390 => x"438c",
000391 => x"0000",
000392 => x"531a",
000393 => x"4030",
000394 => x"f2da",
000395 => x"403c",
000396 => x"f716",
000397 => x"1285",
000398 => x"5221",
000399 => x"4030",
000400 => x"f662",
000401 => x"120a",
000402 => x"1209",
000403 => x"1208",
000404 => x"1207",
000405 => x"1206",
000406 => x"1205",
000407 => x"12b0",
000408 => x"f65a",
000409 => x"4032",
000410 => x"c000",
000411 => x"4382",
000412 => x"ffec",
000413 => x"4382",
000414 => x"ff90",
000415 => x"4382",
000416 => x"ffe0",
000417 => x"4382",
000418 => x"ffe8",
000419 => x"4382",
000420 => x"ffee",
000421 => x"40b2",
000422 => x"f00e",
000423 => x"c000",
000424 => x"40b2",
000425 => x"f00a",
000426 => x"c006",
000427 => x"4382",
000428 => x"ffaa",
000429 => x"435c",
000430 => x"12b0",
000431 => x"f634",
000432 => x"403c",
000433 => x"4b00",
000434 => x"434d",
000435 => x"12b0",
000436 => x"f4b6",
000437 => x"12b0",
000438 => x"f560",
000439 => x"407c",
000440 => x"0003",
000441 => x"12b0",
000442 => x"f5ee",
000443 => x"434c",
000444 => x"12b0",
000445 => x"f61e",
000446 => x"4382",
000447 => x"ffb0",
000448 => x"4038",
000449 => x"fffe",
000450 => x"482c",
000389 => x"438c",
000390 => x"0000",
000391 => x"531a",
000392 => x"4030",
000393 => x"f2d4",
000394 => x"403c",
000395 => x"f714",
000396 => x"1286",
000397 => x"5221",
000398 => x"4030",
000399 => x"f660",
000400 => x"120a",
000401 => x"1209",
000402 => x"1208",
000403 => x"1207",
000404 => x"1206",
000405 => x"1205",
000406 => x"12b0",
000407 => x"f658",
000408 => x"4032",
000409 => x"c000",
000410 => x"4382",
000411 => x"ffec",
000412 => x"4382",
000413 => x"ff90",
000414 => x"4382",
000415 => x"ffe0",
000416 => x"4382",
000417 => x"ffe8",
000418 => x"4382",
000419 => x"ffee",
000420 => x"40b2",
000421 => x"f00e",
000422 => x"c000",
000423 => x"40b2",
000424 => x"f00a",
000425 => x"c006",
000426 => x"4382",
000427 => x"ffaa",
000428 => x"435c",
000429 => x"12b0",
000430 => x"f632",
000431 => x"403c",
000432 => x"4b00",
000433 => x"434d",
000434 => x"12b0",
000435 => x"f4b4",
000436 => x"12b0",
000437 => x"f55e",
000438 => x"407c",
000439 => x"0003",
000440 => x"12b0",
000441 => x"f5ec",
000442 => x"434c",
000443 => x"12b0",
000444 => x"f61c",
000445 => x"4382",
000446 => x"ffb0",
000447 => x"4038",
000448 => x"fffe",
000449 => x"482c",
000450 => x"5c0c",
000451 => x"5c0c",
000452 => x"5c0c",
000453 => x"533c",
000454 => x"4c82",
000455 => x"ffb4",
000456 => x"40b2",
000457 => x"00ff",
000458 => x"ffb0",
000459 => x"4382",
000460 => x"c004",
000461 => x"12b0",
000462 => x"f646",
000463 => x"12b0",
000464 => x"f640",
000465 => x"403a",
000466 => x"f566",
000467 => x"403c",
000468 => x"f739",
000469 => x"128a",
000470 => x"4039",
000471 => x"f5d4",
000472 => x"421c",
000473 => x"fff0",
000474 => x"1289",
000475 => x"403c",
000476 => x"f76d",
000477 => x"128a",
000478 => x"421c",
000479 => x"fff4",
000480 => x"1289",
000481 => x"403c",
000482 => x"f776",
000483 => x"128a",
000484 => x"482c",
000485 => x"1289",
000486 => x"421c",
000487 => x"fffc",
000488 => x"1289",
000489 => x"403c",
000490 => x"f77f",
000491 => x"128a",
000492 => x"421c",
000493 => x"fff6",
000494 => x"1289",
000495 => x"403c",
000496 => x"f788",
000497 => x"128a",
000498 => x"421c",
000499 => x"fffa",
000500 => x"1289",
000501 => x"403c",
000502 => x"f791",
000503 => x"128a",
000504 => x"421c",
000505 => x"fff2",
000506 => x"1289",
000507 => x"403c",
000508 => x"f79a",
000509 => x"128a",
000510 => x"4a09",
000511 => x"403e",
000512 => x"c004",
000513 => x"403d",
000514 => x"ffa2",
000515 => x"4e2c",
000516 => x"903c",
000517 => x"0020",
000518 => x"2008",
000519 => x"435c",
000520 => x"12b0",
000521 => x"f258",
000522 => x"403c",
000523 => x"f6ed",
000524 => x"1289",
000525 => x"12b0",
000526 => x"f02e",
000527 => x"4d2c",
000528 => x"930c",
000529 => x"37f1",
000530 => x"4038",
000531 => x"f04a",
000532 => x"1288",
000533 => x"4036",
000534 => x"f54a",
000535 => x"4035",
000536 => x"f536",
000537 => x"4037",
000538 => x"f258",
000539 => x"403c",
000540 => x"f7c1",
000541 => x"1289",
000542 => x"1286",
000543 => x"4c4a",
000544 => x"1285",
000545 => x"403c",
000546 => x"f6ed",
000547 => x"1289",
000548 => x"907a",
000549 => x"0072",
000550 => x"2004",
000551 => x"4030",
000552 => x"f000",
000553 => x"4030",
000554 => x"f436",
000555 => x"907a",
000556 => x"0068",
000557 => x"2003",
000558 => x"1288",
000559 => x"4030",
000560 => x"f436",
000561 => x"907a",
000562 => x"0064",
000563 => x"2004",
000564 => x"12b0",
000565 => x"f054",
000566 => x"4030",
000567 => x"f436",
000568 => x"907a",
000569 => x"0075",
000570 => x"2004",
000571 => x"434c",
000572 => x"1287",
000573 => x"4030",
000574 => x"f436",
000575 => x"907a",
000576 => x"0070",
000577 => x"2004",
000578 => x"12b0",
000579 => x"f1b8",
000580 => x"4030",
000581 => x"f436",
000582 => x"907a",
000583 => x"0065",
000584 => x"2003",
000585 => x"435c",
000586 => x"4030",
000587 => x"f478",
000588 => x"907a",
000589 => x"0073",
000590 => x"27be",
000591 => x"907a",
000592 => x"0063",
000593 => x"2005",
000594 => x"403c",
000595 => x"f7c9",
000596 => x"1289",
000597 => x"4030",
000598 => x"f436",
000599 => x"403c",
000600 => x"f7f6",
000601 => x"4030",
000602 => x"f4a8",
000603 => x"120a",
000604 => x"1209",
000605 => x"421a",
000606 => x"fffc",
000607 => x"421b",
000608 => x"fffe",
000609 => x"4c0e",
000610 => x"5c0e",
000611 => x"4d0f",
000612 => x"6d0f",
000613 => x"434c",
000614 => x"4f09",
000615 => x"9f0b",
000616 => x"2804",
000617 => x"9b09",
000618 => x"201b",
000619 => x"9e0a",
000620 => x"2c19",
000621 => x"434a",
000622 => x"4079",
000623 => x"0003",
000624 => x"407d",
000625 => x"00ff",
000626 => x"9c0d",
000627 => x"2817",
000628 => x"4382",
000629 => x"ffa0",
000630 => x"4a0d",
000631 => x"5a0d",
000452 => x"533c",
000453 => x"4c82",
000454 => x"ffb4",
000455 => x"40b2",
000456 => x"00ff",
000457 => x"ffb0",
000458 => x"4382",
000459 => x"c004",
000460 => x"12b0",
000461 => x"f644",
000462 => x"12b0",
000463 => x"f63e",
000464 => x"403a",
000465 => x"f564",
000466 => x"403c",
000467 => x"f737",
000468 => x"128a",
000469 => x"4039",
000470 => x"f5d2",
000471 => x"421c",
000472 => x"fff0",
000473 => x"1289",
000474 => x"403c",
000475 => x"f76b",
000476 => x"128a",
000477 => x"421c",
000478 => x"fff4",
000479 => x"1289",
000480 => x"403c",
000481 => x"f774",
000482 => x"128a",
000483 => x"482c",
000484 => x"1289",
000485 => x"421c",
000486 => x"fffc",
000487 => x"1289",
000488 => x"403c",
000489 => x"f77d",
000490 => x"128a",
000491 => x"421c",
000492 => x"fff6",
000493 => x"1289",
000494 => x"403c",
000495 => x"f786",
000496 => x"128a",
000497 => x"421c",
000498 => x"fffa",
000499 => x"1289",
000500 => x"403c",
000501 => x"f78f",
000502 => x"128a",
000503 => x"421c",
000504 => x"fff2",
000505 => x"1289",
000506 => x"403c",
000507 => x"f798",
000508 => x"128a",
000509 => x"4a09",
000510 => x"403e",
000511 => x"c004",
000512 => x"403d",
000513 => x"ffa2",
000514 => x"4e2c",
000515 => x"903c",
000516 => x"0020",
000517 => x"2008",
000518 => x"435c",
000519 => x"12b0",
000520 => x"f258",
000521 => x"403c",
000522 => x"f6eb",
000523 => x"1289",
000524 => x"12b0",
000525 => x"f02e",
000526 => x"4d2c",
000527 => x"930c",
000528 => x"37f1",
000529 => x"4038",
000530 => x"f04a",
000531 => x"1288",
000532 => x"4036",
000533 => x"f548",
000534 => x"4035",
000535 => x"f534",
000536 => x"4037",
000537 => x"f258",
000538 => x"403c",
000539 => x"f7bf",
000540 => x"1289",
000541 => x"1286",
000542 => x"4c4a",
000543 => x"1285",
000544 => x"403c",
000545 => x"f6eb",
000546 => x"1289",
000547 => x"907a",
000548 => x"0072",
000549 => x"2004",
000550 => x"4030",
000551 => x"f000",
000552 => x"4030",
000553 => x"f434",
000554 => x"907a",
000555 => x"0068",
000556 => x"2003",
000557 => x"1288",
000558 => x"4030",
000559 => x"f434",
000560 => x"907a",
000561 => x"0064",
000562 => x"2004",
000563 => x"12b0",
000564 => x"f054",
000565 => x"4030",
000566 => x"f434",
000567 => x"907a",
000568 => x"0075",
000569 => x"2004",
000570 => x"434c",
000571 => x"1287",
000572 => x"4030",
000573 => x"f434",
000574 => x"907a",
000575 => x"0070",
000576 => x"2004",
000577 => x"12b0",
000578 => x"f1b8",
000579 => x"4030",
000580 => x"f434",
000581 => x"907a",
000582 => x"0065",
000583 => x"2003",
000584 => x"435c",
000585 => x"4030",
000586 => x"f476",
000587 => x"907a",
000588 => x"0073",
000589 => x"27be",
000590 => x"907a",
000591 => x"0063",
000592 => x"2005",
000593 => x"403c",
000594 => x"f7c7",
000595 => x"1289",
000596 => x"4030",
000597 => x"f434",
000598 => x"403c",
000599 => x"f7f4",
000600 => x"4030",
000601 => x"f4a6",
000602 => x"120a",
000603 => x"1209",
000604 => x"421a",
000605 => x"fffc",
000606 => x"421b",
000607 => x"fffe",
000608 => x"4c0e",
000609 => x"5c0e",
000610 => x"4d0f",
000611 => x"6d0f",
000612 => x"434c",
000613 => x"4f09",
000614 => x"9f0b",
000615 => x"2804",
000616 => x"9b09",
000617 => x"201b",
000618 => x"9e0a",
000619 => x"2c19",
000620 => x"434a",
000621 => x"4079",
000622 => x"0003",
000623 => x"407d",
000624 => x"00ff",
000625 => x"9c0d",
000626 => x"2817",
000627 => x"4382",
000628 => x"ffa0",
000629 => x"4a0d",
000630 => x"5a0d",
000631 => x"5d0d",
000632 => x"5d0d",
000633 => x"5d0d",
000634 => x"5d0d",
646,133 → 646,133
000635 => x"5d0d",
000636 => x"5d0d",
000637 => x"5d0d",
000638 => x"5d0d",
000639 => x"dc0d",
000640 => x"d03d",
000641 => x"1000",
000642 => x"4d82",
000643 => x"ffa0",
000644 => x"4030",
000645 => x"f66c",
000646 => x"8e0a",
000647 => x"7f0b",
000648 => x"531c",
000649 => x"4030",
000650 => x"f4ce",
000651 => x"936a",
000652 => x"2402",
000653 => x"926a",
000654 => x"2008",
000655 => x"490d",
000656 => x"12b0",
000657 => x"f678",
000658 => x"535a",
000659 => x"f03a",
000660 => x"00ff",
000661 => x"4030",
000662 => x"f4e0",
000663 => x"c312",
000664 => x"100c",
000665 => x"4030",
000666 => x"f524",
000667 => x"f03c",
000668 => x"00ff",
000669 => x"403e",
000670 => x"ffa0",
000671 => x"4e2d",
000672 => x"930d",
000673 => x"3bfd",
000674 => x"4c82",
000675 => x"ffa2",
000676 => x"4130",
000677 => x"403d",
000678 => x"ffa2",
000679 => x"4d2c",
000680 => x"930c",
000681 => x"37fd",
000682 => x"4130",
000683 => x"421c",
000684 => x"ffa2",
000685 => x"f03c",
000686 => x"8000",
000687 => x"4130",
000688 => x"421c",
000689 => x"ffa2",
000690 => x"4130",
000691 => x"120a",
000692 => x"1209",
000693 => x"1208",
000694 => x"1207",
000695 => x"4c09",
000696 => x"4038",
000697 => x"f536",
000698 => x"4077",
000699 => x"000d",
000700 => x"496a",
000701 => x"930a",
000702 => x"2002",
000703 => x"4030",
000704 => x"f668",
000705 => x"903a",
000706 => x"000a",
000707 => x"2002",
000708 => x"474c",
000709 => x"1288",
000710 => x"4a4c",
000711 => x"1288",
000712 => x"5319",
000713 => x"4030",
000714 => x"f578",
000715 => x"f07c",
000716 => x"000f",
000717 => x"407d",
000718 => x"0009",
000719 => x"9c4d",
000720 => x"2805",
000721 => x"503c",
000722 => x"0030",
000723 => x"12b0",
000724 => x"f536",
000725 => x"4130",
000726 => x"507c",
000727 => x"0057",
000728 => x"f03c",
000729 => x"00ff",
000730 => x"4030",
000731 => x"f5a6",
000732 => x"120a",
000733 => x"1209",
000734 => x"4c49",
000735 => x"490c",
000736 => x"426d",
000737 => x"12b0",
000738 => x"f678",
000739 => x"403a",
000740 => x"f596",
000741 => x"128a",
000742 => x"494c",
000743 => x"128a",
000744 => x"4030",
000745 => x"f66c",
000746 => x"120a",
000747 => x"1209",
000748 => x"4c09",
000749 => x"427d",
000750 => x"12b0",
000751 => x"f678",
000752 => x"403a",
000753 => x"f5b8",
000754 => x"128a",
000755 => x"494c",
000756 => x"128a",
000757 => x"4030",
000758 => x"f66c",
000759 => x"f03c",
000760 => x"00ff",
000761 => x"403d",
000762 => x"ffa4",
000763 => x"438d",
000764 => x"0000",
000638 => x"dc0d",
000639 => x"d03d",
000640 => x"1000",
000641 => x"4d82",
000642 => x"ffa0",
000643 => x"4030",
000644 => x"f66a",
000645 => x"8e0a",
000646 => x"7f0b",
000647 => x"531c",
000648 => x"4030",
000649 => x"f4cc",
000650 => x"936a",
000651 => x"2402",
000652 => x"926a",
000653 => x"2008",
000654 => x"490d",
000655 => x"12b0",
000656 => x"f676",
000657 => x"535a",
000658 => x"f03a",
000659 => x"00ff",
000660 => x"4030",
000661 => x"f4de",
000662 => x"c312",
000663 => x"100c",
000664 => x"4030",
000665 => x"f522",
000666 => x"f03c",
000667 => x"00ff",
000668 => x"403e",
000669 => x"ffa0",
000670 => x"4e2d",
000671 => x"930d",
000672 => x"3bfd",
000673 => x"4c82",
000674 => x"ffa2",
000675 => x"4130",
000676 => x"403d",
000677 => x"ffa2",
000678 => x"4d2c",
000679 => x"930c",
000680 => x"37fd",
000681 => x"4130",
000682 => x"421c",
000683 => x"ffa2",
000684 => x"f03c",
000685 => x"8000",
000686 => x"4130",
000687 => x"421c",
000688 => x"ffa2",
000689 => x"4130",
000690 => x"120a",
000691 => x"1209",
000692 => x"1208",
000693 => x"1207",
000694 => x"4c09",
000695 => x"4038",
000696 => x"f534",
000697 => x"4077",
000698 => x"000d",
000699 => x"496a",
000700 => x"930a",
000701 => x"2002",
000702 => x"4030",
000703 => x"f666",
000704 => x"903a",
000705 => x"000a",
000706 => x"2002",
000707 => x"474c",
000708 => x"1288",
000709 => x"4a4c",
000710 => x"1288",
000711 => x"5319",
000712 => x"4030",
000713 => x"f576",
000714 => x"f07c",
000715 => x"000f",
000716 => x"407d",
000717 => x"0009",
000718 => x"9c4d",
000719 => x"2805",
000720 => x"503c",
000721 => x"0030",
000722 => x"12b0",
000723 => x"f534",
000724 => x"4130",
000725 => x"507c",
000726 => x"0057",
000727 => x"f03c",
000728 => x"00ff",
000729 => x"4030",
000730 => x"f5a4",
000731 => x"120a",
000732 => x"1209",
000733 => x"4c49",
000734 => x"490c",
000735 => x"426d",
000736 => x"12b0",
000737 => x"f676",
000738 => x"403a",
000739 => x"f594",
000740 => x"128a",
000741 => x"494c",
000742 => x"128a",
000743 => x"4030",
000744 => x"f66a",
000745 => x"120a",
000746 => x"1209",
000747 => x"4c09",
000748 => x"427d",
000749 => x"12b0",
000750 => x"f676",
000751 => x"403a",
000752 => x"f5b6",
000753 => x"128a",
000754 => x"494c",
000755 => x"128a",
000756 => x"4030",
000757 => x"f66a",
000758 => x"f03c",
000759 => x"00ff",
000760 => x"403d",
000761 => x"ffa4",
000762 => x"438d",
000763 => x"0000",
000764 => x"5c0c",
000765 => x"5c0c",
000766 => x"5c0c",
000767 => x"5c0c",
781,257 → 781,256
000770 => x"5c0c",
000771 => x"5c0c",
000772 => x"5c0c",
000773 => x"5c0c",
000774 => x"d03c",
000775 => x"0040",
000776 => x"4c8d",
000777 => x"0000",
000778 => x"4130",
000779 => x"f0b2",
000780 => x"ffc0",
000781 => x"ffa4",
000782 => x"4130",
000783 => x"403d",
000784 => x"ffa6",
000785 => x"4c8d",
000786 => x"0000",
000787 => x"403e",
000788 => x"ffa4",
000789 => x"4e2c",
000790 => x"930c",
000791 => x"3bfd",
000792 => x"4d2c",
000793 => x"4130",
000794 => x"4c82",
000795 => x"ffae",
000796 => x"4130",
000797 => x"ec82",
000798 => x"ffae",
000799 => x"4130",
000800 => x"d232",
000801 => x"4303",
000802 => x"4130",
000803 => x"d032",
000804 => x"4000",
000805 => x"4130",
000806 => x"108c",
000807 => x"4130",
000808 => x"4c4e",
000809 => x"4d4c",
000810 => x"108e",
000811 => x"de0c",
000812 => x"4130",
000813 => x"40b2",
000814 => x"4700",
000815 => x"ffb8",
000816 => x"4130",
000817 => x"4134",
000818 => x"4135",
000819 => x"4136",
000820 => x"4137",
000821 => x"4138",
000822 => x"4139",
000823 => x"413a",
000824 => x"4130",
000825 => x"533d",
000826 => x"c312",
000827 => x"100c",
000828 => x"930d",
000829 => x"23fb",
000830 => x"4130",
000831 => x"6f42",
000832 => x"746f",
000833 => x"6e69",
000834 => x"2e67",
000835 => x"2e2e",
000836 => x"0a0a",
000837 => x"4300",
000838 => x"444d",
000839 => x"3a73",
000840 => x"200a",
000841 => x"3a64",
000842 => x"4420",
000843 => x"6d75",
000844 => x"2070",
000845 => x"454d",
000846 => x"0a4d",
000847 => x"6520",
000848 => x"203a",
000849 => x"6f4c",
000850 => x"6461",
000851 => x"4520",
000852 => x"5045",
000853 => x"4f52",
000854 => x"0a4d",
000855 => x"6820",
000856 => x"203a",
000857 => x"6548",
000858 => x"706c",
000859 => x"200a",
000860 => x"3a70",
000861 => x"5320",
000862 => x"6f74",
000863 => x"6572",
000864 => x"4520",
000865 => x"5045",
000866 => x"4f52",
000867 => x"0a4d",
000868 => x"7220",
000869 => x"203a",
000870 => x"6552",
000871 => x"7473",
000872 => x"7261",
000873 => x"0a74",
000874 => x"7320",
000875 => x"203a",
000876 => x"7453",
000877 => x"7261",
000878 => x"2074",
000879 => x"7061",
000880 => x"0a70",
000881 => x"7520",
000882 => x"203a",
000883 => x"7055",
000884 => x"6f6c",
000885 => x"6461",
000886 => x"0a00",
000887 => x"3a00",
000888 => x"2020",
000889 => x"0700",
000890 => x"450a",
000891 => x"5252",
000892 => x"005f",
000893 => x"7250",
000894 => x"636f",
000895 => x"6565",
000896 => x"2064",
000897 => x"7928",
000898 => x"6e2f",
000899 => x"3f29",
000900 => x"0a00",
000901 => x"7257",
000902 => x"7469",
000903 => x"6e69",
000904 => x"2e67",
000905 => x"2e2e",
000906 => x"0020",
000907 => x"4b4f",
000908 => x"4100",
000909 => x"6177",
000910 => x"7469",
000911 => x"6e69",
000912 => x"2067",
000913 => x"4942",
000914 => x"454e",
000915 => x"4558",
000916 => x"2e2e",
000917 => x"202e",
000918 => x"4c00",
000919 => x"616f",
000920 => x"6964",
000921 => x"676e",
000922 => x"2e2e",
000923 => x"202e",
000924 => x"0a00",
000925 => x"3c0a",
000926 => x"203c",
000927 => x"454e",
000928 => x"344f",
000929 => x"3033",
000930 => x"4220",
000931 => x"6f6f",
000932 => x"6c74",
000933 => x"616f",
000934 => x"6564",
000935 => x"2072",
000936 => x"3e3e",
000937 => x"0a0a",
000938 => x"4c42",
000939 => x"3a56",
000940 => x"4a20",
000941 => x"6e61",
000942 => x"3220",
000943 => x"2039",
000773 => x"d03c",
000774 => x"0040",
000775 => x"4c8d",
000776 => x"0000",
000777 => x"4130",
000778 => x"f0b2",
000779 => x"ffc0",
000780 => x"ffa4",
000781 => x"4130",
000782 => x"403d",
000783 => x"ffa6",
000784 => x"4c8d",
000785 => x"0000",
000786 => x"403e",
000787 => x"ffa4",
000788 => x"4e2c",
000789 => x"930c",
000790 => x"3bfd",
000791 => x"4d2c",
000792 => x"4130",
000793 => x"4c82",
000794 => x"ffae",
000795 => x"4130",
000796 => x"ec82",
000797 => x"ffae",
000798 => x"4130",
000799 => x"d232",
000800 => x"4303",
000801 => x"4130",
000802 => x"d032",
000803 => x"4000",
000804 => x"4130",
000805 => x"108c",
000806 => x"4130",
000807 => x"4c4e",
000808 => x"4d4c",
000809 => x"108e",
000810 => x"de0c",
000811 => x"4130",
000812 => x"40b2",
000813 => x"4700",
000814 => x"ffb8",
000815 => x"4130",
000816 => x"4134",
000817 => x"4135",
000818 => x"4136",
000819 => x"4137",
000820 => x"4138",
000821 => x"4139",
000822 => x"413a",
000823 => x"4130",
000824 => x"533d",
000825 => x"c312",
000826 => x"100c",
000827 => x"930d",
000828 => x"23fb",
000829 => x"4130",
000830 => x"6f42",
000831 => x"746f",
000832 => x"6e69",
000833 => x"2e67",
000834 => x"2e2e",
000835 => x"0a0a",
000836 => x"4300",
000837 => x"444d",
000838 => x"3a73",
000839 => x"200a",
000840 => x"3a64",
000841 => x"4420",
000842 => x"6d75",
000843 => x"2070",
000844 => x"454d",
000845 => x"0a4d",
000846 => x"6520",
000847 => x"203a",
000848 => x"6f4c",
000849 => x"6461",
000850 => x"4520",
000851 => x"5045",
000852 => x"4f52",
000853 => x"0a4d",
000854 => x"6820",
000855 => x"203a",
000856 => x"6548",
000857 => x"706c",
000858 => x"200a",
000859 => x"3a70",
000860 => x"5320",
000861 => x"6f74",
000862 => x"6572",
000863 => x"4520",
000864 => x"5045",
000865 => x"4f52",
000866 => x"0a4d",
000867 => x"7220",
000868 => x"203a",
000869 => x"6552",
000870 => x"7473",
000871 => x"7261",
000872 => x"0a74",
000873 => x"7320",
000874 => x"203a",
000875 => x"7453",
000876 => x"7261",
000877 => x"2074",
000878 => x"7061",
000879 => x"0a70",
000880 => x"7520",
000881 => x"203a",
000882 => x"7055",
000883 => x"6f6c",
000884 => x"6461",
000885 => x"0a00",
000886 => x"3a00",
000887 => x"2020",
000888 => x"0700",
000889 => x"450a",
000890 => x"5252",
000891 => x"005f",
000892 => x"7250",
000893 => x"636f",
000894 => x"6565",
000895 => x"2064",
000896 => x"7928",
000897 => x"6e2f",
000898 => x"3f29",
000899 => x"0a00",
000900 => x"7257",
000901 => x"7469",
000902 => x"6e69",
000903 => x"2e67",
000904 => x"2e2e",
000905 => x"0020",
000906 => x"4b4f",
000907 => x"4100",
000908 => x"6177",
000909 => x"7469",
000910 => x"6e69",
000911 => x"2067",
000912 => x"4942",
000913 => x"454e",
000914 => x"4558",
000915 => x"2e2e",
000916 => x"202e",
000917 => x"4c00",
000918 => x"616f",
000919 => x"6964",
000920 => x"676e",
000921 => x"2e2e",
000922 => x"202e",
000923 => x"0a00",
000924 => x"3c0a",
000925 => x"203c",
000926 => x"454e",
000927 => x"344f",
000928 => x"3033",
000929 => x"4220",
000930 => x"6f6f",
000931 => x"6c74",
000932 => x"616f",
000933 => x"6564",
000934 => x"2072",
000935 => x"3e3e",
000936 => x"0a0a",
000937 => x"4c42",
000938 => x"3a56",
000939 => x"4620",
000940 => x"6265",
000941 => x"2020",
000942 => x"2034",
000943 => x"3032",
000944 => x"3032",
000945 => x"3032",
000946 => x"480a",
000947 => x"5657",
000948 => x"203a",
000949 => x"7830",
000950 => x"0a00",
000951 => x"5355",
000952 => x"3a52",
000953 => x"3020",
000954 => x"0078",
000955 => x"430a",
000956 => x"4b4c",
000957 => x"203a",
000958 => x"7830",
000959 => x"0a00",
000960 => x"4f52",
000961 => x"3a4d",
000962 => x"3020",
000963 => x"0078",
000964 => x"520a",
000965 => x"4d41",
000966 => x"203a",
000967 => x"7830",
000968 => x"0a00",
000969 => x"5953",
000970 => x"3a53",
000971 => x"3020",
000972 => x"0078",
000973 => x"0a0a",
000974 => x"7541",
000975 => x"6f74",
000976 => x"6f62",
000977 => x"746f",
000978 => x"6920",
000979 => x"206e",
000980 => x"7338",
000981 => x"202e",
000982 => x"7250",
000983 => x"7365",
000984 => x"2073",
000985 => x"656b",
000986 => x"2079",
000987 => x"6f74",
000988 => x"6120",
000989 => x"6f62",
000990 => x"7472",
000991 => x"0a2e",
000992 => x"0a00",
000993 => x"4d43",
000994 => x"3a44",
000995 => x"203e",
000996 => x"4200",
000997 => x"2079",
000998 => x"7453",
000999 => x"7065",
001000 => x"6168",
001001 => x"206e",
001002 => x"6f4e",
001003 => x"746c",
001004 => x"6e69",
001005 => x"0a67",
001006 => x"614d",
001007 => x"6564",
001008 => x"6920",
001009 => x"206e",
001010 => x"6148",
001011 => x"6e6e",
001012 => x"766f",
001013 => x"7265",
001014 => x"202c",
001015 => x"6547",
001016 => x"6d72",
001017 => x"6e61",
001018 => x"0079",
001019 => x"6142",
001020 => x"2064",
001021 => x"4d43",
001022 => x"2144",
001023 => x"0000",
000945 => x"480a",
000946 => x"5657",
000947 => x"203a",
000948 => x"7830",
000949 => x"0a00",
000950 => x"5355",
000951 => x"3a52",
000952 => x"3020",
000953 => x"0078",
000954 => x"430a",
000955 => x"4b4c",
000956 => x"203a",
000957 => x"7830",
000958 => x"0a00",
000959 => x"4f52",
000960 => x"3a4d",
000961 => x"3020",
000962 => x"0078",
000963 => x"520a",
000964 => x"4d41",
000965 => x"203a",
000966 => x"7830",
000967 => x"0a00",
000968 => x"5953",
000969 => x"3a53",
000970 => x"3020",
000971 => x"0078",
000972 => x"0a0a",
000973 => x"7541",
000974 => x"6f74",
000975 => x"6f62",
000976 => x"746f",
000977 => x"6920",
000978 => x"206e",
000979 => x"7338",
000980 => x"202e",
000981 => x"7250",
000982 => x"7365",
000983 => x"2073",
000984 => x"656b",
000985 => x"2079",
000986 => x"6f74",
000987 => x"6120",
000988 => x"6f62",
000989 => x"7472",
000990 => x"0a2e",
000991 => x"0a00",
000992 => x"4d43",
000993 => x"3a44",
000994 => x"203e",
000995 => x"4200",
000996 => x"2079",
000997 => x"7453",
000998 => x"7065",
000999 => x"6168",
001000 => x"206e",
001001 => x"6f4e",
001002 => x"746c",
001003 => x"6e69",
001004 => x"0a67",
001005 => x"614d",
001006 => x"6564",
001007 => x"6920",
001008 => x"206e",
001009 => x"6148",
001010 => x"6e6e",
001011 => x"766f",
001012 => x"7265",
001013 => x"202c",
001014 => x"6547",
001015 => x"6d72",
001016 => x"6e61",
001017 => x"0079",
001018 => x"6142",
001019 => x"2064",
001020 => x"4d43",
001021 => x"2144",
001022 => x"0000",
others => x"0000"
);
 
/trunk/neo430/rtl/core/neo430_trng.vhd
37,7 → 37,7
-- # You should have received a copy of the GNU Lesser General Public License along with this #
-- # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
-- # ********************************************************************************************* #
-- # Stephan Nolting, Hannover, Germany 10.01.2020 #
-- # Stephan Nolting, Hannover, Germany 04.02.2020 #
-- #################################################################################################
 
library ieee;
67,9 → 67,15
-- -------------------------------------------------------------------------------------------------------
 
-- control register bits --
-- < write-only bits > --
constant ctrl_taps_00_c : natural := 0; -- -/w: TAP 0 enable
-- ...
constant ctrl_taps_13_c : natural := 13; -- -/w: TAP 13 enable
-- < read-only bits > --
constant ctrl_data_00_c : natural := 0; -- r/-: Random data bit 0
-- ...
constant ctrl_data_11_c : natural := 11; -- r/-: Random data bit 11
-- < remaining bits > --
constant ctrl_rnd_en_c : natural := 14; -- r/w: TRNG enable
constant ctrl_rnd_valid_c : natural := 15; -- r/-: Output byte valid
 
222,7 → 228,7
if rising_edge(clk_i) then
data_o <= (others => '0');
if (rden = '1') then
data_o(11 downto 0) <= rnd_data;
data_o(ctrl_data_11_c downto ctrl_data_00_c) <= rnd_data;
data_o(ctrl_rnd_en_c) <= rnd_enable;
data_o(ctrl_rnd_valid_c) <= ready_ff;
end if;
/trunk/neo430/sw/bootloader/bootloader.c
29,7 → 29,7
// # You should have received a copy of the GNU Lesser General Public License along with this #
// # source; if not, download it from https://www.gnu.org/licenses/lgpl-3.0.en.html #
// # ********************************************************************************************* #
// # Stephan Nolting, Hannover, Germany 17.01.2020 #
// # Stephan Nolting, Hannover, Germany 04.02.2020 #
// #################################################################################################
 
// Libraries
454,12 → 454,12
neo430_uart_br_print("Loading... ");
 
// check if valid image
if (get_image_word(0x0000, src) != 0xCAFE) {
if (get_image_word(0x0000, src) != 0xCAFE) { // signature
system_error(ERROR_EXECUTABLE);
}
 
// image size & check
uint16_t size = get_image_word(0x0002, src);
// image size and checksum
uint16_t size = get_image_word(0x0002, src);
uint16_t check = get_image_word(0x0004, src);
uint16_t end = IMEM_SIZE;
if (size > end)
467,27 → 467,26
 
// transfer program data
uint16_t *pnt = (uint16_t*)0x0000;
uint16_t addr = 0x0006;
uint16_t checksum = 0;
uint16_t checksum = 0x0000;
uint16_t d = 0, i = 0;
while (i < size/2) { // in words
d = get_image_word(addr, src);
d = get_image_word(2*i+0x0006, src);
checksum ^= d;
*pnt++ = d;
i++;
addr += 2;
pnt[i++] = d;
}
 
// clear rest of IMEM
while(i < end/2) // in words
while(i < end/2) { // in words
pnt[i++] = 0x0000;
}
 
// error during transfer?
if (checksum == check) {
neo430_uart_br_print("OK");
}
else
else {
system_error(ERROR_CHECKSUM);
}
}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.