OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /neorv32/trunk/rtl/core
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/neorv32_application_image.vhd
1,5 → 1,5
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
-- Auto-generated memory init file (for APPLICATION) from source file <test_exceptions/main.bin>
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
 
library ieee;
use ieee.std_logic_1164.all;
8,718 → 8,888
 
type application_init_image_t is array (0 to 65535) of std_ulogic_vector(31 downto 0);
constant application_init_image : application_init_image_t := (
00000000 => x"00930001",
00000001 => x"81130000",
00000002 => x"01930000",
00000003 => x"82130001",
00000004 => x"02930001",
00000005 => x"83130002",
00000006 => x"03930002",
00000007 => x"84130003",
00000008 => x"04930003",
00000009 => x"85130004",
00000010 => x"05930004",
00000011 => x"86130005",
00000012 => x"06930005",
00000013 => x"87130006",
00000014 => x"07930006",
00000015 => x"88130007",
00000016 => x"08930007",
00000017 => x"89130008",
00000018 => x"09930008",
00000019 => x"8a130009",
00000020 => x"0a930009",
00000021 => x"8b13000a",
00000022 => x"0b93000a",
00000023 => x"8c13000b",
00000024 => x"0c93000b",
00000025 => x"8d13000c",
00000026 => x"0d93000c",
00000027 => x"8e13000d",
00000028 => x"0e93000d",
00000029 => x"8f13000e",
00000030 => x"0f93000e",
00000031 => x"0001000f",
00000032 => x"fc5015f3",
00000033 => x"fc701673",
00000034 => x"00c58133",
00000035 => x"04131171",
00000036 => x"01970001",
00000037 => x"81938000",
00000038 => x"05977ee1",
00000039 => x"85930000",
00000040 => x"90730925",
00000041 => x"15f33055",
00000042 => x"0617fc50",
00000043 => x"06130000",
00000044 => x"06931486",
00000045 => x"c1900200",
00000046 => x"16fd0591",
00000047 => x"fed01de3",
00000048 => x"f8000593",
00000049 => x"0005a023",
00000050 => x"1de30591",
00000051 => x"55fdfeb0",
00000052 => x"f8b02c23",
00000053 => x"f8b02e23",
00000054 => x"80818593",
00000055 => x"82c18613",
00000056 => x"00c5d663",
00000057 => x"00058023",
00000058 => x"bfdd0585",
00000059 => x"00001597",
00000060 => x"a2c58593",
00000061 => x"80000617",
00000062 => x"f8c60613",
00000063 => x"80818693",
00000064 => x"00d65963",
00000065 => x"00058703",
00000066 => x"00e60023",
00000067 => x"06050585",
00000068 => x"0513bfc5",
00000069 => x"05930000",
00000070 => x"00ef0000",
00000071 => x"00730dc0",
00000072 => x"70731050",
00000073 => x"00733004",
00000074 => x"a0011050",
00000075 => x"f8810113",
00000076 => x"c20ec006",
00000077 => x"c616c412",
00000078 => x"ca1ec81a",
00000079 => x"ce26cc22",
00000080 => x"d22ed02a",
00000081 => x"d636d432",
00000082 => x"da3ed83a",
00000083 => x"de46dc42",
00000084 => x"c2cec0ca",
00000085 => x"c6d6c4d2",
00000086 => x"cadec8da",
00000087 => x"cee6cce2",
00000088 => x"d2eed0ea",
00000089 => x"d6f6d4f2",
00000090 => x"dafed8fa",
00000091 => x"342012f3",
00000092 => x"00f2f313",
00000093 => x"10f3030a",
00000094 => x"9306fc50",
00000095 => x"341010f3",
00000096 => x"0002c963",
00000097 => x"34a012f3",
00000098 => x"0022f293",
00000099 => x"90960089",
00000100 => x"0313a019",
00000101 => x"22830403",
00000102 => x"11710003",
00000103 => x"9073c006",
00000104 => x"80e73400",
00000105 => x"40820002",
00000106 => x"90730111",
00000107 => x"40823410",
00000108 => x"42224192",
00000109 => x"434242b2",
00000110 => x"446243d2",
00000111 => x"550244f2",
00000112 => x"56225592",
00000113 => x"574256b2",
00000114 => x"586257d2",
00000115 => x"490658f2",
00000116 => x"4a264996",
00000117 => x"4b464ab6",
00000118 => x"4c664bd6",
00000119 => x"5d064cf6",
00000120 => x"5e265d96",
00000121 => x"5f465eb6",
00000122 => x"01135fd6",
00000123 => x"00730781",
00000124 => x"80823020",
00000125 => x"11010000",
00000126 => x"cc22ce06",
00000127 => x"c84aca26",
00000128 => x"06632b0d",
00000129 => x"00ef0a05",
00000130 => x"02637100",
00000131 => x"21710a05",
00000132 => x"08050f63",
00000133 => x"46016515",
00000134 => x"05134581",
00000135 => x"2b39b005",
00000136 => x"557d55fd",
00000137 => x"65052141",
00000138 => x"99450513",
00000139 => x"05932361",
00000140 => x"45015a00",
00000141 => x"842a2921",
00000142 => x"5ae00593",
00000143 => x"21394505",
00000144 => x"0593942a",
00000145 => x"45095bc0",
00000146 => x"942a2111",
00000147 => x"5ca00593",
00000148 => x"2eed450d",
00000149 => x"0593942a",
00000150 => x"45115d80",
00000151 => x"942a2ec5",
00000152 => x"5e600593",
00000153 => x"26dd4515",
00000154 => x"0593942a",
00000155 => x"45195f40",
00000156 => x"45812ef1",
00000157 => x"0593942a",
00000158 => x"451d6020",
00000159 => x"45812ec1",
00000160 => x"0593942a",
00000161 => x"452d6100",
00000162 => x"458126d1",
00000163 => x"0593942a",
00000164 => x"454d61e0",
00000165 => x"45812e65",
00000166 => x"0593942a",
00000167 => x"455d63a0",
00000168 => x"942a2675",
00000169 => x"6505cc01",
00000170 => x"9c850513",
00000171 => x"40f22321",
00000172 => x"44d24462",
00000173 => x"45014942",
00000174 => x"80826105",
00000175 => x"05934581",
00000176 => x"450162c0",
00000177 => x"65c000ef",
00000178 => x"c509842a",
00000179 => x"05136505",
00000180 => x"bfe99d85",
00000181 => x"5f6000ef",
00000182 => x"05136505",
00000183 => x"a4239f05",
00000184 => x"29c98201",
00000185 => x"97824785",
00000186 => x"8281a703",
00000187 => x"123457b7",
00000188 => x"67878793",
00000189 => x"20f71963",
00000190 => x"05136505",
00000191 => x"295da005",
00000192 => x"65054905",
00000193 => x"a0c50513",
00000194 => x"8201a423",
00000195 => x"07932165",
00000196 => x"9782f000",
00000197 => x"8281a703",
00000198 => x"aabb17b7",
00000199 => x"13378793",
00000200 => x"1ef71a63",
00000201 => x"05136505",
00000202 => x"2169a005",
00000203 => x"65050905",
00000204 => x"a1c50513",
00000205 => x"8201a423",
00000206 => x"07b729b5",
00000207 => x"87938000",
00000208 => x"80e70807",
00000209 => x"a7030007",
00000210 => x"27b78281",
00000211 => x"87930199",
00000212 => x"176303b7",
00000213 => x"65051cf7",
00000214 => x"a0050513",
00000215 => x"090529a1",
00000216 => x"05136505",
00000217 => x"a423a2c5",
00000218 => x"21a98201",
00000219 => x"a7039002",
00000220 => x"27b78281",
00000221 => x"87931232",
00000222 => x"19633307",
00000223 => x"65051af7",
00000224 => x"a0050513",
00000225 => x"09052905",
00000226 => x"05136505",
00000227 => x"a423a3c5",
00000228 => x"210d8201",
00000229 => x"00101003",
00000230 => x"8281a703",
00000231 => x"babcd7b7",
00000232 => x"ccc78793",
00000233 => x"18f71a63",
00000234 => x"05136505",
00000235 => x"2119a005",
00000236 => x"65050905",
00000237 => x"a4c50513",
00000238 => x"8201a423",
00000239 => x"27832ee5",
00000240 => x"c63ef000",
00000241 => x"8281a703",
00000242 => x"def737b7",
00000243 => x"8aa78793",
00000244 => x"16f71a63",
00000245 => x"05136505",
00000246 => x"2ee9a005",
00000247 => x"65050905",
00000248 => x"a5c50513",
00000249 => x"8201a423",
00000250 => x"10a326f1",
00000251 => x"a7030000",
00000252 => x"27b78281",
00000253 => x"8793ff09",
00000254 => x"1b637dd7",
00000255 => x"650514f7",
00000256 => x"a0050513",
00000257 => x"09052e45",
00000258 => x"05136505",
00000259 => x"a423a6c5",
00000260 => x"264d8201",
00000261 => x"f0002023",
00000262 => x"8281a703",
00000263 => x"200917b7",
00000264 => x"77778793",
00000265 => x"12f71c63",
00000266 => x"05136505",
00000267 => x"2659a005",
00000268 => x"65050905",
00000269 => x"a7c50513",
00000270 => x"8201a423",
00000271 => x"00732ea5",
00000272 => x"a7030000",
00000273 => x"27b78281",
00000274 => x"87935566",
00000275 => x"1d632447",
00000276 => x"650510f7",
00000277 => x"a0050513",
00000278 => x"09052eb1",
00000279 => x"05136505",
00000280 => x"a423a8c5",
00000281 => x"26b98201",
00000282 => x"a7032169",
00000283 => x"e7b78281",
00000284 => x"8793cdec",
00000285 => x"1f63ea97",
00000286 => x"65050ef7",
00000287 => x"a0050513",
00000288 => x"09052e15",
00000289 => x"05136505",
00000290 => x"a423a9c5",
00000291 => x"261d8201",
00000292 => x"45814501",
00000293 => x"00012c01",
00000294 => x"00010001",
00000295 => x"a7030001",
00000296 => x"07b78281",
00000297 => x"87930013",
00000298 => x"1b63a537",
00000299 => x"65050cf7",
00000300 => x"a0050513",
00000301 => x"09052601",
00000302 => x"05136505",
00000303 => x"a423aac5",
00000304 => x"2ccd8201",
00000305 => x"21754501",
00000306 => x"00010001",
00000307 => x"00010001",
00000308 => x"8281a703",
00000309 => x"eef337b7",
00000310 => x"08878793",
00000311 => x"0af71863",
00000312 => x"05136505",
00000313 => x"24f9a005",
00000314 => x"65050905",
00000315 => x"864a86a2",
00000316 => x"051345b1",
00000317 => x"a423abc5",
00000318 => x"2c6d8201",
00000319 => x"6505ec51",
00000320 => x"ae050513",
00000321 => x"6505b365",
00000322 => x"a0450513",
00000323 => x"49012465",
00000324 => x"bbc54405",
00000325 => x"05136505",
00000326 => x"2c69a045",
00000327 => x"bd010405",
00000328 => x"05136505",
00000329 => x"2479a045",
00000330 => x"bd1d0405",
00000331 => x"05136505",
00000332 => x"2449a045",
00000333 => x"bd890405",
00000334 => x"05136505",
00000335 => x"2c9da045",
00000336 => x"bd850405",
00000337 => x"05136505",
00000338 => x"24ada045",
00000339 => x"bd410405",
00000340 => x"05136505",
00000341 => x"2cb9a045",
00000342 => x"b57d0405",
00000343 => x"05136505",
00000344 => x"2c89a045",
00000345 => x"b5f10405",
00000346 => x"05136505",
00000347 => x"2499a045",
00000348 => x"b5ed0405",
00000349 => x"05136505",
00000350 => x"2c2da045",
00000351 => x"b7190405",
00000352 => x"05136505",
00000353 => x"243da045",
00000354 => x"b73d0405",
00000355 => x"05136505",
00000356 => x"240da045",
00000357 => x"bf910405",
00000358 => x"05136505",
00000359 => x"b339aec5",
00000360 => x"123457b7",
00000361 => x"67878793",
00000362 => x"82f1a423",
00000363 => x"17b78082",
00000364 => x"8793aabb",
00000365 => x"a4231337",
00000366 => x"808282f1",
00000367 => x"019927b7",
00000368 => x"03b78793",
00000369 => x"82f1a423",
00000370 => x"27b78082",
00000371 => x"87931232",
00000372 => x"a4233307",
00000373 => x"808282f1",
00000374 => x"babcd7b7",
00000375 => x"ccc78793",
00000376 => x"82f1a423",
00000377 => x"37b78082",
00000378 => x"8793def7",
00000379 => x"a4238aa7",
00000380 => x"808282f1",
00000381 => x"ff0927b7",
00000382 => x"7dd78793",
00000383 => x"82f1a423",
00000384 => x"17b78082",
00000385 => x"87932009",
00000386 => x"a4237777",
00000387 => x"808282f1",
00000388 => x"556627b7",
00000389 => x"24478793",
00000390 => x"82f1a423",
00000391 => x"e7b78082",
00000392 => x"8793cdec",
00000393 => x"a423ea97",
00000394 => x"808282f1",
00000395 => x"eef337b7",
00000396 => x"08878793",
00000397 => x"82f1a423",
00000398 => x"07b78082",
00000399 => x"87930013",
00000400 => x"557da537",
00000401 => x"a42355fd",
00000402 => x"a8a982f1",
00000403 => x"c4221141",
00000404 => x"c606c226",
00000405 => x"842a479d",
00000406 => x"f36384ae",
00000407 => x"47ad02a7",
00000408 => x"02f50063",
00000409 => x"0b6347cd",
00000410 => x"47dd00f5",
00000411 => x"02f50563",
00000412 => x"450547ed",
00000413 => x"00f41c63",
00000414 => x"a011452d",
00000415 => x"2c89450d",
00000416 => x"fc501573",
00000417 => x"942a040a",
00000418 => x"4501c004",
00000419 => x"442240b2",
00000420 => x"01414492",
00000421 => x"451d8082",
00000422 => x"1573b7dd",
00000423 => x"8145fc00",
00000424 => x"80828905",
00000425 => x"f9800693",
00000426 => x"c290567d",
00000427 => x"c2ccc288",
00000428 => x"11418082",
00000429 => x"85236805",
00000430 => x"47810005",
00000431 => x"08130054",
00000432 => x"4729afc8",
00000433 => x"02e57633",
00000434 => x"00f688b3",
00000435 => x"96420785",
00000436 => x"00064603",
00000437 => x"02e55533",
00000438 => x"00c88023",
00000439 => x"fee794e3",
00000440 => x"47258636",
00000441 => x"03000513",
00000442 => x"00964803",
00000443 => x"177d87ba",
00000444 => x"83410742",
00000445 => x"00a81763",
00000446 => x"000604a3",
00000447 => x"f76d167d",
00000448 => x"97b64781",
00000449 => x"c6034701",
00000450 => x"ca090007",
00000451 => x"00170513",
00000452 => x"0023972e",
00000453 => x"171300c7",
00000454 => x"83410105",
00000455 => x"fff78613",
00000456 => x"00f69763",
00000457 => x"802395ba",
00000458 => x"01410005",
00000459 => x"87b28082",
00000460 => x"1573bfd9",
00000461 => x"8149fc00",
00000462 => x"80828905",
00000463 => x"fa002023",
00000464 => x"fc1016f3",
00000465 => x"05064701",
00000466 => x"02a6f563",
00000467 => x"47816685",
00000468 => x"e76316f9",
00000469 => x"8a0502e6",
00000470 => x"07e28985",
00000471 => x"8fd1067a",
00000472 => x"8fcd05f6",
00000473 => x"07378fd9",
00000474 => x"8fd91000",
00000475 => x"faf02023",
00000476 => x"07938082",
00000477 => x"97130017",
00000478 => x"8e890107",
00000479 => x"b7e98341",
00000480 => x"ffe78513",
00000481 => x"0fd57513",
00000482 => x"830de511",
00000483 => x"f7930785",
00000484 => x"b7c10ff7",
00000485 => x"bfdd8305",
00000486 => x"46b54729",
00000487 => x"00054783",
00000488 => x"e3910505",
00000489 => x"94638082",
00000490 => x"2e2300e7",
00000491 => x"2e23fed0",
00000492 => x"b7edfef0",
00000493 => x"d422715d",
00000494 => x"6405c2be",
00000495 => x"d226185c",
00000496 => x"cc52ce4e",
00000497 => x"c85aca56",
00000498 => x"d04ad606",
00000499 => x"dc32da2e",
00000500 => x"c0bade36",
00000501 => x"c6c6c4c2",
00000502 => x"0993c03e",
00000503 => x"4a290250",
00000504 => x"04934ab5",
00000505 => x"0b130730",
00000506 => x"04130750",
00000507 => x"4783b084",
00000508 => x"c39d0005",
00000509 => x"0d379463",
00000510 => x"00154783",
00000511 => x"00250913",
00000512 => x"06978563",
00000513 => x"02f4e463",
00000514 => x"06300713",
00000515 => x"06e78763",
00000516 => x"06900713",
00000517 => x"06e78c63",
00000518 => x"542250b2",
00000519 => x"59025492",
00000520 => x"4a6249f2",
00000521 => x"4b424ad2",
00000522 => x"80826161",
00000523 => x"09678163",
00000524 => x"07800713",
00000525 => x"fee792e3",
00000526 => x"00544782",
00000527 => x"438c8536",
00000528 => x"00478713",
00000529 => x"0613c03a",
00000530 => x"47010200",
00000531 => x"00e5d7b3",
00000532 => x"97a28bbd",
00000533 => x"0007c783",
00000534 => x"16fd0711",
00000535 => x"00f68423",
00000536 => x"fec716e3",
00000537 => x"00010623",
00000538 => x"4782a031",
00000539 => x"87134388",
00000540 => x"c03a0047",
00000541 => x"854a3715",
00000542 => x"4782bf9d",
00000543 => x"00478713",
00000544 => x"0007c783",
00000545 => x"2e23c03a",
00000546 => x"b7f5fef0",
00000547 => x"43884782",
00000548 => x"00478713",
00000549 => x"5863c03a",
00000550 => x"07930005",
00000551 => x"053302d0",
00000552 => x"2e2340a0",
00000553 => x"004cfef0",
00000554 => x"00483529",
00000555 => x"4782b7e1",
00000556 => x"8713004c",
00000557 => x"43880047",
00000558 => x"b7fdc03a",
00000559 => x"01479463",
00000560 => x"ff502e23",
00000561 => x"00150913",
00000562 => x"6073bf7d",
00000563 => x"80823004",
00000564 => x"0fb57693",
00000565 => x"87aa470d",
00000566 => x"00e68663",
00000567 => x"4505472d",
00000568 => x"00e79863",
00000569 => x"17b34505",
00000570 => x"a07300f5",
00000571 => x"45013047",
00000572 => x"47a18082",
00000573 => x"3447a073",
00000574 => x"07138082",
00000575 => x"431cf880",
00000576 => x"0087e693",
00000577 => x"8b8dc314",
00000578 => x"8713078a",
00000579 => x"97ba8081",
00000580 => x"0007a303",
00000581 => x"15738302",
00000582 => x"815dfc00",
00000583 => x"80828905",
00000584 => x"fa63479d",
00000585 => x"450500a7",
00000586 => x"45058082",
00000587 => x"442240b2",
00000588 => x"01414492",
00000589 => x"11418082",
00000590 => x"84aec226",
00000591 => x"c4226585",
00000592 => x"8fa58593",
00000593 => x"456d842a",
00000594 => x"3309c606",
00000595 => x"1713fd79",
00000596 => x"87930024",
00000597 => x"97ba8081",
00000598 => x"2703c384",
00000599 => x"0421f880",
00000600 => x"97b34785",
00000601 => x"8fd90087",
00000602 => x"0107e793",
00000603 => x"f8f02423",
00000604 => x"479dbf75",
00000605 => x"00a7ed63",
00000606 => x"f8802783",
00000607 => x"8d5d0542",
00000608 => x"000807b7",
00000609 => x"24238d5d",
00000610 => x"4501f8a0",
00000611 => x"45058082",
00000612 => x"00008082",
00000613 => x"4f454e0a",
00000614 => x"32335652",
00000615 => x"63786520",
00000616 => x"69747065",
00000617 => x"20736e6f",
00000618 => x"20646e61",
00000619 => x"65746e69",
00000620 => x"70757272",
00000621 => x"74207374",
00000622 => x"20747365",
00000623 => x"676f7270",
00000624 => x"0a6d6172",
00000625 => x"0000000a",
00000626 => x"74736e69",
00000627 => x"206c6c61",
00000628 => x"6f727265",
00000629 => x"000a2172",
00000630 => x"43494c43",
00000631 => x"736e6920",
00000632 => x"6c6c6174",
00000633 => x"72726520",
00000634 => x"0a21726f",
00000635 => x"00000000",
00000636 => x"20435845",
00000637 => x"4c415f49",
00000638 => x"3a4e4749",
00000639 => x"00000020",
00000640 => x"000a6b6f",
00000641 => x"6c696166",
00000642 => x"0000000a",
00000643 => x"20435845",
00000644 => x"43415f49",
00000645 => x"20203a43",
00000646 => x"00000020",
00000647 => x"20435845",
00000648 => x"4c495f49",
00000649 => x"3a47454c",
00000650 => x"00000020",
00000651 => x"20435845",
00000652 => x"41455242",
00000653 => x"20203a4b",
00000654 => x"00000020",
00000655 => x"20435845",
00000656 => x"4c415f4c",
00000657 => x"3a4e4749",
00000658 => x"00000020",
00000659 => x"20435845",
00000660 => x"43415f4c",
00000661 => x"20203a43",
00000662 => x"00000020",
00000663 => x"20435845",
00000664 => x"4c415f53",
00000665 => x"3a4e4749",
00000666 => x"00000020",
00000667 => x"20435845",
00000668 => x"43415f53",
00000669 => x"20203a43",
00000670 => x"00000020",
00000671 => x"20435845",
00000672 => x"43564e45",
00000673 => x"3a4c4c41",
00000674 => x"00000020",
00000675 => x"20515249",
00000676 => x"3a49534d",
00000677 => x"20202020",
00000678 => x"00000020",
00000679 => x"20515249",
00000680 => x"3a49544d",
00000681 => x"20202020",
00000682 => x"00000020",
00000683 => x"20515249",
00000684 => x"3a49454d",
00000685 => x"20202020",
00000686 => x"00000020",
00000687 => x"65540a0a",
00000688 => x"3a737473",
00000689 => x"0a692520",
00000690 => x"203a4b4f",
00000691 => x"25202020",
00000692 => x"41460a69",
00000693 => x"203a4c49",
00000694 => x"0a692520",
00000695 => x"0000000a",
00000696 => x"54534554",
00000697 => x"214b4f20",
00000698 => x"0000000a",
00000699 => x"54534554",
00000700 => x"49414620",
00000701 => x"2144454c",
00000702 => x"0000000a",
00000703 => x"33323130",
00000704 => x"37363534",
00000705 => x"00003938",
00000706 => x"33323130",
00000707 => x"37363534",
00000708 => x"62613938",
00000709 => x"66656463",
00000710 => x"0000007f",
00000711 => x"00008067",
00000000 => x"00000013",
00000001 => x"00000093",
00000002 => x"00008113",
00000003 => x"00010193",
00000004 => x"00018213",
00000005 => x"00020293",
00000006 => x"00028313",
00000007 => x"00030393",
00000008 => x"00038413",
00000009 => x"00040493",
00000010 => x"00048513",
00000011 => x"00050593",
00000012 => x"00058613",
00000013 => x"00060693",
00000014 => x"00068713",
00000015 => x"00070793",
00000016 => x"00078813",
00000017 => x"00080893",
00000018 => x"00088913",
00000019 => x"00090993",
00000020 => x"00098a13",
00000021 => x"000a0a93",
00000022 => x"000a8b13",
00000023 => x"000b0b93",
00000024 => x"000b8c13",
00000025 => x"000c0c93",
00000026 => x"000c8d13",
00000027 => x"000d0d93",
00000028 => x"000d8e13",
00000029 => x"000e0e93",
00000030 => x"000e8f13",
00000031 => x"000f0f93",
00000032 => x"00000013",
00000033 => x"fc5025f3",
00000034 => x"fc702673",
00000035 => x"00c58133",
00000036 => x"ffc10113",
00000037 => x"00010413",
00000038 => x"80000197",
00000039 => x"7e818193",
00000040 => x"00000597",
00000041 => x"0b458593",
00000042 => x"30559073",
00000043 => x"fc5025f3",
00000044 => x"00000617",
00000045 => x"1f060613",
00000046 => x"02000693",
00000047 => x"00c5a023",
00000048 => x"00458593",
00000049 => x"fff68693",
00000050 => x"fed01ae3",
00000051 => x"f8000593",
00000052 => x"0005a023",
00000053 => x"00458593",
00000054 => x"feb01ce3",
00000055 => x"fff00593",
00000056 => x"f8b02c23",
00000057 => x"f8b02e23",
00000058 => x"80000597",
00000059 => x"f9858593",
00000060 => x"80000617",
00000061 => x"f9060613",
00000062 => x"00c5d863",
00000063 => x"00058023",
00000064 => x"00158593",
00000065 => x"ff5ff06f",
00000066 => x"00001597",
00000067 => x"cc058593",
00000068 => x"80000617",
00000069 => x"f7060613",
00000070 => x"80000697",
00000071 => x"f6868693",
00000072 => x"00d65c63",
00000073 => x"00058703",
00000074 => x"00e60023",
00000075 => x"00158593",
00000076 => x"00160613",
00000077 => x"fedff06f",
00000078 => x"00000513",
00000079 => x"00000593",
00000080 => x"164000ef",
00000081 => x"10500073",
00000082 => x"30047073",
00000083 => x"10500073",
00000084 => x"0000006f",
00000085 => x"f8810113",
00000086 => x"00112023",
00000087 => x"00312223",
00000088 => x"00412423",
00000089 => x"00512623",
00000090 => x"00612823",
00000091 => x"00712a23",
00000092 => x"00812c23",
00000093 => x"00912e23",
00000094 => x"02a12023",
00000095 => x"02b12223",
00000096 => x"02c12423",
00000097 => x"02d12623",
00000098 => x"02e12823",
00000099 => x"02f12a23",
00000100 => x"03012c23",
00000101 => x"03112e23",
00000102 => x"05212023",
00000103 => x"05312223",
00000104 => x"05412423",
00000105 => x"05512623",
00000106 => x"05612823",
00000107 => x"05712a23",
00000108 => x"05812c23",
00000109 => x"05912e23",
00000110 => x"07a12023",
00000111 => x"07b12223",
00000112 => x"07c12423",
00000113 => x"07d12623",
00000114 => x"07e12823",
00000115 => x"07f12a23",
00000116 => x"342022f3",
00000117 => x"00f2f313",
00000118 => x"00231313",
00000119 => x"fc5020f3",
00000120 => x"00130333",
00000121 => x"341020f3",
00000122 => x"0002cc63",
00000123 => x"34a022f3",
00000124 => x"0022f293",
00000125 => x"00208093",
00000126 => x"005080b3",
00000127 => x"0080006f",
00000128 => x"04030313",
00000129 => x"00032283",
00000130 => x"ffc10113",
00000131 => x"00112023",
00000132 => x"000280e7",
00000133 => x"00012083",
00000134 => x"00410113",
00000135 => x"34109073",
00000136 => x"00012083",
00000137 => x"00412183",
00000138 => x"00812203",
00000139 => x"00c12283",
00000140 => x"01012303",
00000141 => x"01412383",
00000142 => x"01812403",
00000143 => x"01c12483",
00000144 => x"02012503",
00000145 => x"02412583",
00000146 => x"02812603",
00000147 => x"02c12683",
00000148 => x"03012703",
00000149 => x"03412783",
00000150 => x"03812803",
00000151 => x"03c12883",
00000152 => x"04012903",
00000153 => x"04412983",
00000154 => x"04812a03",
00000155 => x"04c12a83",
00000156 => x"05012b03",
00000157 => x"05412b83",
00000158 => x"05812c03",
00000159 => x"05c12c83",
00000160 => x"06012d03",
00000161 => x"06412d83",
00000162 => x"06812e03",
00000163 => x"06c12e83",
00000164 => x"07012f03",
00000165 => x"07412f83",
00000166 => x"07810113",
00000167 => x"30200073",
00000168 => x"00008067",
00000169 => x"ff010113",
00000170 => x"00112623",
00000171 => x"00812423",
00000172 => x"6cc000ef",
00000173 => x"04050663",
00000174 => x"2c8000ef",
00000175 => x"00005537",
00000176 => x"00000613",
00000177 => x"00000593",
00000178 => x"b0050513",
00000179 => x"408000ef",
00000180 => x"00001537",
00000181 => x"adc50513",
00000182 => x"498000ef",
00000183 => x"00000513",
00000184 => x"6ac000ef",
00000185 => x"00000413",
00000186 => x"0ff47513",
00000187 => x"6a0000ef",
00000188 => x"0c800513",
00000189 => x"6d0000ef",
00000190 => x"00140413",
00000191 => x"fedff06f",
00000192 => x"00c12083",
00000193 => x"00812403",
00000194 => x"01010113",
00000195 => x"00008067",
00000196 => x"00001537",
00000197 => x"ff010113",
00000198 => x"af850513",
00000199 => x"00112623",
00000200 => x"00812423",
00000201 => x"00912223",
00000202 => x"01212023",
00000203 => x"49c000ef",
00000204 => x"c81025f3",
00000205 => x"c0102673",
00000206 => x"00001537",
00000207 => x"b2050513",
00000208 => x"488000ef",
00000209 => x"34202473",
00000210 => x"34102973",
00000211 => x"34a024f3",
00000212 => x"04045663",
00000213 => x"00001537",
00000214 => x"b3850513",
00000215 => x"46c000ef",
00000216 => x"00001537",
00000217 => x"00090593",
00000218 => x"b5050513",
00000219 => x"45c000ef",
00000220 => x"00001537",
00000221 => x"b7050513",
00000222 => x"450000ef",
00000223 => x"00b00793",
00000224 => x"0487e063",
00000225 => x"00001737",
00000226 => x"00241793",
00000227 => x"d7c70713",
00000228 => x"00e787b3",
00000229 => x"0007a783",
00000230 => x"00078067",
00000231 => x"00001537",
00000232 => x"b4450513",
00000233 => x"424000ef",
00000234 => x"0024f793",
00000235 => x"00079663",
00000236 => x"ffc90913",
00000237 => x"fadff06f",
00000238 => x"ffe90913",
00000239 => x"fa5ff06f",
00000240 => x"800007b7",
00000241 => x"00778713",
00000242 => x"10e40e63",
00000243 => x"00b78713",
00000244 => x"12e40063",
00000245 => x"00378793",
00000246 => x"10f40063",
00000247 => x"00001537",
00000248 => x"00040593",
00000249 => x"cd450513",
00000250 => x"3e0000ef",
00000251 => x"0100006f",
00000252 => x"00001537",
00000253 => x"b7850513",
00000254 => x"3d0000ef",
00000255 => x"00001537",
00000256 => x"d6850513",
00000257 => x"0240006f",
00000258 => x"00001537",
00000259 => x"b9850513",
00000260 => x"fe9ff06f",
00000261 => x"00001537",
00000262 => x"bb450513",
00000263 => x"3ac000ef",
00000264 => x"00001537",
00000265 => x"bc850513",
00000266 => x"3a0000ef",
00000267 => x"343025f3",
00000268 => x"00001537",
00000269 => x"ce450513",
00000270 => x"390000ef",
00000271 => x"00001537",
00000272 => x"00048593",
00000273 => x"cec50513",
00000274 => x"0024f493",
00000275 => x"37c000ef",
00000276 => x"00049863",
00000277 => x"00001537",
00000278 => x"d0850513",
00000279 => x"36c000ef",
00000280 => x"341025f3",
00000281 => x"00001537",
00000282 => x"d1850513",
00000283 => x"35c000ef",
00000284 => x"00812403",
00000285 => x"00c12083",
00000286 => x"00412483",
00000287 => x"00012903",
00000288 => x"00001537",
00000289 => x"d4050513",
00000290 => x"01010113",
00000291 => x"33c0006f",
00000292 => x"00001537",
00000293 => x"be050513",
00000294 => x"f61ff06f",
00000295 => x"00001537",
00000296 => x"bf450513",
00000297 => x"f55ff06f",
00000298 => x"00001537",
00000299 => x"c0c50513",
00000300 => x"f49ff06f",
00000301 => x"00001537",
00000302 => x"c2050513",
00000303 => x"f3dff06f",
00000304 => x"00001537",
00000305 => x"c3c50513",
00000306 => x"f31ff06f",
00000307 => x"00001537",
00000308 => x"c5050513",
00000309 => x"f25ff06f",
00000310 => x"00001537",
00000311 => x"c6c50513",
00000312 => x"f19ff06f",
00000313 => x"00001537",
00000314 => x"c8850513",
00000315 => x"f0dff06f",
00000316 => x"00001537",
00000317 => x"cac50513",
00000318 => x"f01ff06f",
00000319 => x"ff010113",
00000320 => x"00812423",
00000321 => x"00912223",
00000322 => x"00112623",
00000323 => x"00700793",
00000324 => x"00050413",
00000325 => x"00058493",
00000326 => x"02a7fc63",
00000327 => x"00b00793",
00000328 => x"02f50863",
00000329 => x"01300793",
00000330 => x"02f50063",
00000331 => x"01700793",
00000332 => x"04f50463",
00000333 => x"01b00793",
00000334 => x"00100513",
00000335 => x"02f41463",
00000336 => x"00b00513",
00000337 => x"0080006f",
00000338 => x"00300513",
00000339 => x"448000ef",
00000340 => x"fc502573",
00000341 => x"00241413",
00000342 => x"00a40433",
00000343 => x"00942023",
00000344 => x"00000513",
00000345 => x"00c12083",
00000346 => x"00812403",
00000347 => x"00412483",
00000348 => x"01010113",
00000349 => x"00008067",
00000350 => x"00700513",
00000351 => x"fd1ff06f",
00000352 => x"ff010113",
00000353 => x"00812423",
00000354 => x"00912223",
00000355 => x"01212023",
00000356 => x"00112623",
00000357 => x"00000413",
00000358 => x"02000493",
00000359 => x"00040513",
00000360 => x"00140413",
00000361 => x"31000593",
00000362 => x"0ff47413",
00000363 => x"f51ff0ef",
00000364 => x"fe9416e3",
00000365 => x"00c12083",
00000366 => x"00812403",
00000367 => x"00412483",
00000368 => x"00012903",
00000369 => x"01010113",
00000370 => x"00008067",
00000371 => x"fd010113",
00000372 => x"02812423",
00000373 => x"02912223",
00000374 => x"03212023",
00000375 => x"01312e23",
00000376 => x"01412c23",
00000377 => x"02112623",
00000378 => x"01512a23",
00000379 => x"00001a37",
00000380 => x"00050493",
00000381 => x"00058413",
00000382 => x"00058523",
00000383 => x"00000993",
00000384 => x"00410913",
00000385 => x"daca0a13",
00000386 => x"00a00593",
00000387 => x"00048513",
00000388 => x"468000ef",
00000389 => x"00aa0533",
00000390 => x"00054783",
00000391 => x"01390ab3",
00000392 => x"00048513",
00000393 => x"00fa8023",
00000394 => x"00a00593",
00000395 => x"404000ef",
00000396 => x"00198993",
00000397 => x"00a00793",
00000398 => x"00050493",
00000399 => x"fcf996e3",
00000400 => x"00090693",
00000401 => x"00900713",
00000402 => x"03000613",
00000403 => x"0096c583",
00000404 => x"00070793",
00000405 => x"fff70713",
00000406 => x"01071713",
00000407 => x"01075713",
00000408 => x"00c59a63",
00000409 => x"000684a3",
00000410 => x"fff68693",
00000411 => x"fe0710e3",
00000412 => x"00000793",
00000413 => x"00f907b3",
00000414 => x"00000593",
00000415 => x"0007c703",
00000416 => x"00070c63",
00000417 => x"00158693",
00000418 => x"00b405b3",
00000419 => x"00e58023",
00000420 => x"01069593",
00000421 => x"0105d593",
00000422 => x"fff78713",
00000423 => x"02f91863",
00000424 => x"00b40433",
00000425 => x"00040023",
00000426 => x"02c12083",
00000427 => x"02812403",
00000428 => x"02412483",
00000429 => x"02012903",
00000430 => x"01c12983",
00000431 => x"01812a03",
00000432 => x"01412a83",
00000433 => x"03010113",
00000434 => x"00008067",
00000435 => x"00070793",
00000436 => x"fadff06f",
00000437 => x"fa002023",
00000438 => x"fc1026f3",
00000439 => x"00000713",
00000440 => x"00151513",
00000441 => x"04a6f263",
00000442 => x"000016b7",
00000443 => x"00000793",
00000444 => x"ffe68693",
00000445 => x"04e6e463",
00000446 => x"00167613",
00000447 => x"0015f593",
00000448 => x"01879793",
00000449 => x"01e61613",
00000450 => x"00c7e7b3",
00000451 => x"01d59593",
00000452 => x"00b7e7b3",
00000453 => x"00e7e7b3",
00000454 => x"10000737",
00000455 => x"00e7e7b3",
00000456 => x"faf02023",
00000457 => x"00008067",
00000458 => x"00170793",
00000459 => x"01079713",
00000460 => x"40a686b3",
00000461 => x"01075713",
00000462 => x"fadff06f",
00000463 => x"ffe78513",
00000464 => x"0fd57513",
00000465 => x"00051a63",
00000466 => x"00375713",
00000467 => x"00178793",
00000468 => x"0ff7f793",
00000469 => x"fa1ff06f",
00000470 => x"00175713",
00000471 => x"ff1ff06f",
00000472 => x"fa002783",
00000473 => x"fe07cee3",
00000474 => x"faa02223",
00000475 => x"00008067",
00000476 => x"ff010113",
00000477 => x"00812423",
00000478 => x"01212023",
00000479 => x"00112623",
00000480 => x"00912223",
00000481 => x"00050413",
00000482 => x"00a00913",
00000483 => x"00044483",
00000484 => x"00140413",
00000485 => x"00049e63",
00000486 => x"00c12083",
00000487 => x"00812403",
00000488 => x"00412483",
00000489 => x"00012903",
00000490 => x"01010113",
00000491 => x"00008067",
00000492 => x"01249663",
00000493 => x"00d00513",
00000494 => x"fa9ff0ef",
00000495 => x"00048513",
00000496 => x"fa1ff0ef",
00000497 => x"fc9ff06f",
00000498 => x"fa010113",
00000499 => x"02912a23",
00000500 => x"04f12a23",
00000501 => x"000014b7",
00000502 => x"04410793",
00000503 => x"02812c23",
00000504 => x"03212823",
00000505 => x"03412423",
00000506 => x"03512223",
00000507 => x"03612023",
00000508 => x"01712e23",
00000509 => x"02112e23",
00000510 => x"03312623",
00000511 => x"01812c23",
00000512 => x"00050413",
00000513 => x"04b12223",
00000514 => x"04c12423",
00000515 => x"04d12623",
00000516 => x"04e12823",
00000517 => x"05012c23",
00000518 => x"05112e23",
00000519 => x"00f12023",
00000520 => x"02500a13",
00000521 => x"00a00a93",
00000522 => x"07300913",
00000523 => x"07500b13",
00000524 => x"07800b93",
00000525 => x"db848493",
00000526 => x"00044c03",
00000527 => x"020c0463",
00000528 => x"134c1263",
00000529 => x"00144783",
00000530 => x"00240993",
00000531 => x"09278c63",
00000532 => x"04f96263",
00000533 => x"06300713",
00000534 => x"0ae78463",
00000535 => x"06900713",
00000536 => x"0ae78c63",
00000537 => x"03c12083",
00000538 => x"03812403",
00000539 => x"03412483",
00000540 => x"03012903",
00000541 => x"02c12983",
00000542 => x"02812a03",
00000543 => x"02412a83",
00000544 => x"02012b03",
00000545 => x"01c12b83",
00000546 => x"01812c03",
00000547 => x"06010113",
00000548 => x"00008067",
00000549 => x"0b678c63",
00000550 => x"fd7796e3",
00000551 => x"00012783",
00000552 => x"00410693",
00000553 => x"00068513",
00000554 => x"0007a583",
00000555 => x"00478713",
00000556 => x"00e12023",
00000557 => x"02000613",
00000558 => x"00000713",
00000559 => x"00e5d7b3",
00000560 => x"00f7f793",
00000561 => x"00f487b3",
00000562 => x"0007c783",
00000563 => x"00470713",
00000564 => x"fff68693",
00000565 => x"00f68423",
00000566 => x"fec712e3",
00000567 => x"00010623",
00000568 => x"0140006f",
00000569 => x"00012783",
00000570 => x"0007a503",
00000571 => x"00478713",
00000572 => x"00e12023",
00000573 => x"e7dff0ef",
00000574 => x"00098413",
00000575 => x"f3dff06f",
00000576 => x"00012783",
00000577 => x"0007c503",
00000578 => x"00478713",
00000579 => x"00e12023",
00000580 => x"e51ff0ef",
00000581 => x"fe5ff06f",
00000582 => x"00012783",
00000583 => x"0007a403",
00000584 => x"00478713",
00000585 => x"00e12023",
00000586 => x"00045863",
00000587 => x"02d00513",
00000588 => x"40800433",
00000589 => x"e2dff0ef",
00000590 => x"00410593",
00000591 => x"00040513",
00000592 => x"c8dff0ef",
00000593 => x"00410513",
00000594 => x"fadff06f",
00000595 => x"00012783",
00000596 => x"00410593",
00000597 => x"00478713",
00000598 => x"0007a503",
00000599 => x"00e12023",
00000600 => x"fe1ff06f",
00000601 => x"015c1663",
00000602 => x"00d00513",
00000603 => x"df5ff0ef",
00000604 => x"00140993",
00000605 => x"000c0513",
00000606 => x"f99ff06f",
00000607 => x"fc002573",
00000608 => x"01055513",
00000609 => x"00157513",
00000610 => x"00008067",
00000611 => x"f8a02223",
00000612 => x"00008067",
00000613 => x"0fb57693",
00000614 => x"00300713",
00000615 => x"00050793",
00000616 => x"00e68863",
00000617 => x"00b00713",
00000618 => x"00100513",
00000619 => x"00e79a63",
00000620 => x"00100513",
00000621 => x"00f517b3",
00000622 => x"3047a073",
00000623 => x"00000513",
00000624 => x"00008067",
00000625 => x"ff010113",
00000626 => x"00112623",
00000627 => x"00050593",
00000628 => x"fc102573",
00000629 => x"00f55513",
00000630 => x"02c000ef",
00000631 => x"00051863",
00000632 => x"00c12083",
00000633 => x"01010113",
00000634 => x"00008067",
00000635 => x"00000013",
00000636 => x"00000013",
00000637 => x"00000013",
00000638 => x"00000013",
00000639 => x"fff50513",
00000640 => x"fddff06f",
00000641 => x"00050613",
00000642 => x"00000513",
00000643 => x"0015f693",
00000644 => x"00068463",
00000645 => x"00c50533",
00000646 => x"0015d593",
00000647 => x"00161613",
00000648 => x"fe0596e3",
00000649 => x"00008067",
00000650 => x"06054063",
00000651 => x"0605c663",
00000652 => x"00058613",
00000653 => x"00050593",
00000654 => x"fff00513",
00000655 => x"02060c63",
00000656 => x"00100693",
00000657 => x"00b67a63",
00000658 => x"00c05863",
00000659 => x"00161613",
00000660 => x"00169693",
00000661 => x"feb66ae3",
00000662 => x"00000513",
00000663 => x"00c5e663",
00000664 => x"40c585b3",
00000665 => x"00d56533",
00000666 => x"0016d693",
00000667 => x"00165613",
00000668 => x"fe0696e3",
00000669 => x"00008067",
00000670 => x"00008293",
00000671 => x"fb5ff0ef",
00000672 => x"00058513",
00000673 => x"00028067",
00000674 => x"40a00533",
00000675 => x"0005d863",
00000676 => x"40b005b3",
00000677 => x"f9dff06f",
00000678 => x"40b005b3",
00000679 => x"00008293",
00000680 => x"f91ff0ef",
00000681 => x"40a00533",
00000682 => x"00028067",
00000683 => x"00008293",
00000684 => x"0005ca63",
00000685 => x"00054c63",
00000686 => x"f79ff0ef",
00000687 => x"00058513",
00000688 => x"00028067",
00000689 => x"40b005b3",
00000690 => x"fe0558e3",
00000691 => x"40a00533",
00000692 => x"f61ff0ef",
00000693 => x"40b00533",
00000694 => x"00028067",
00000695 => x"6e696c42",
00000696 => x"676e696b",
00000697 => x"44454c20",
00000698 => x"6d656420",
00000699 => x"7270206f",
00000700 => x"6172676f",
00000701 => x"00000a6d",
00000702 => x"3c3c0a0a",
00000703 => x"4f454e20",
00000704 => x"32335652",
00000705 => x"6e755220",
00000706 => x"656d6974",
00000707 => x"766e4520",
00000708 => x"6e6f7269",
00000709 => x"746e656d",
00000710 => x"0a3e3e20",
00000711 => x"00000000",
00000712 => x"74737953",
00000713 => x"74206d65",
00000714 => x"3a656d69",
00000715 => x"25783020",
00000716 => x"78255f78",
00000717 => x"0000000a",
00000718 => x"45544e49",
00000719 => x"50555252",
00000720 => x"00000054",
00000721 => x"45435845",
00000722 => x"4f495450",
00000723 => x"0000004e",
00000724 => x"20746120",
00000725 => x"74736e69",
00000726 => x"74637572",
00000727 => x"206e6f69",
00000728 => x"72646461",
00000729 => x"3a737365",
00000730 => x"25783020",
00000731 => x"00000a78",
00000732 => x"73756143",
00000733 => x"00203a65",
00000734 => x"74736e49",
00000735 => x"74637572",
00000736 => x"206e6f69",
00000737 => x"72646461",
00000738 => x"20737365",
00000739 => x"6173696d",
00000740 => x"6e67696c",
00000741 => x"00006465",
00000742 => x"74736e49",
00000743 => x"74637572",
00000744 => x"206e6f69",
00000745 => x"65636361",
00000746 => x"66207373",
00000747 => x"746c7561",
00000748 => x"00000000",
00000749 => x"656c6c49",
00000750 => x"206c6167",
00000751 => x"74736e69",
00000752 => x"74637572",
00000753 => x"006e6f69",
00000754 => x"7561460a",
00000755 => x"6e69746c",
00000756 => x"6e692067",
00000757 => x"75727473",
00000758 => x"6f697463",
00000759 => x"0000006e",
00000760 => x"61657242",
00000761 => x"696f706b",
00000762 => x"2820746e",
00000763 => x"45524245",
00000764 => x"00294b41",
00000765 => x"64616f4c",
00000766 => x"64646120",
00000767 => x"73736572",
00000768 => x"73696d20",
00000769 => x"67696c61",
00000770 => x"0064656e",
00000771 => x"64616f4c",
00000772 => x"63636120",
00000773 => x"20737365",
00000774 => x"6c756166",
00000775 => x"00000074",
00000776 => x"726f7453",
00000777 => x"64612065",
00000778 => x"73657264",
00000779 => x"696d2073",
00000780 => x"696c6173",
00000781 => x"64656e67",
00000782 => x"00000000",
00000783 => x"726f7453",
00000784 => x"63612065",
00000785 => x"73736563",
00000786 => x"75616620",
00000787 => x"0000746c",
00000788 => x"69766e45",
00000789 => x"6d6e6f72",
00000790 => x"20746e65",
00000791 => x"6c6c6163",
00000792 => x"43452820",
00000793 => x"294c4c41",
00000794 => x"00000000",
00000795 => x"6863614d",
00000796 => x"20656e69",
00000797 => x"74666f73",
00000798 => x"65726177",
00000799 => x"746e6920",
00000800 => x"75727265",
00000801 => x"00007470",
00000802 => x"6863614d",
00000803 => x"20656e69",
00000804 => x"656d6974",
00000805 => x"6e692072",
00000806 => x"72726574",
00000807 => x"20747075",
00000808 => x"61697628",
00000809 => x"49544d20",
00000810 => x"0029454d",
00000811 => x"6863614d",
00000812 => x"20656e69",
00000813 => x"65747865",
00000814 => x"6c616e72",
00000815 => x"746e6920",
00000816 => x"75727265",
00000817 => x"28207470",
00000818 => x"20616976",
00000819 => x"43494c43",
00000820 => x"00000029",
00000821 => x"6e6b6e55",
00000822 => x"206e776f",
00000823 => x"25783028",
00000824 => x"00002978",
00000825 => x"7830203a",
00000826 => x"000a7825",
00000827 => x"6e617254",
00000828 => x"202e6673",
00000829 => x"74736e69",
00000830 => x"74637572",
00000831 => x"3a6e6f69",
00000832 => x"25783020",
00000833 => x"00002078",
00000834 => x"63656428",
00000835 => x"72706d6f",
00000836 => x"65737365",
00000837 => x"000a2964",
00000838 => x"69797254",
00000839 => x"7420676e",
00000840 => x"6572206f",
00000841 => x"656d7573",
00000842 => x"70706120",
00000843 => x"6163696c",
00000844 => x"6e6f6974",
00000845 => x"30204020",
00000846 => x"2e782578",
00000847 => x"00002e2e",
00000848 => x"2f3c3c0a",
00000849 => x"524f454e",
00000850 => x"20323356",
00000851 => x"746e7552",
00000852 => x"20656d69",
00000853 => x"69766e45",
00000854 => x"6d6e6f72",
00000855 => x"20746e65",
00000856 => x"0a0a3e3e",
00000857 => x"00000000",
00000858 => x"7561460a",
00000859 => x"6e69746c",
00000860 => x"64612067",
00000861 => x"73657264",
00000862 => x"00000073",
00000863 => x"000003f0",
00000864 => x"00000408",
00000865 => x"00000414",
00000866 => x"00000490",
00000867 => x"0000049c",
00000868 => x"000004a8",
00000869 => x"000004b4",
00000870 => x"000004c0",
00000871 => x"000003dc",
00000872 => x"000003dc",
00000873 => x"000003dc",
00000874 => x"000004cc",
00000875 => x"33323130",
00000876 => x"37363534",
00000877 => x"00003938",
00000878 => x"33323130",
00000879 => x"37363534",
00000880 => x"62613938",
00000881 => x"66656463",
others => x"00000000"
);
 
/neorv32_cpu_control.vhd
162,7 → 162,7
signal ipb : ipb_t;
 
-- instruction execution engine --
type execute_engine_state_t is (IDLE, DISPATCH, TRAP, EXECUTE, ALU_WAIT, BRANCH, STORE, LOAD, LOADSTORE_0, LOADSTORE_1, CSR_ACCESS);
type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP, EXECUTE, ALU_WAIT, BRANCH, STORE, LOAD, LOADSTORE_0, LOADSTORE_1, CSR_ACCESS);
type execute_engine_t is record
state : execute_engine_state_t;
state_nxt : execute_engine_state_t;
192,7 → 192,6
irq_ack_nxt : std_ulogic_vector(interrupt_width_c-1 downto 0);
cause : std_ulogic_vector(data_width_c-1 downto 0); -- trap ID (for "mcause")
cause_nxt : std_ulogic_vector(data_width_c-1 downto 0);
instr : std_ulogic_vector(31 downto 0); -- faulting instruction
exc_src : std_ulogic_vector(exception_width_c-1 downto 0);
--
env_start : std_ulogic; -- start trap handler env
232,7 → 231,6
mtvec : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W)
mtval : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or isntruction (R/-)
mscratch : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
mtinst : std_ulogic_vector(data_width_c-1 downto 0); -- mtinst: machine trap instruction (transformed) (R/-)
cycle : std_ulogic_vector(32 downto 0); -- cycle, mtime (R/-), plus carry bit
instret : std_ulogic_vector(32 downto 0); -- instret (R/-), plus carry bit
cycleh : std_ulogic_vector(31 downto 0); -- cycleh, mtimeh (R/-)
547,8 → 545,8
execute_engine.pc <= MEM_ISPACE_BASE(data_width_c-1 downto 1) & '0';
execute_engine.last_pc <= MEM_ISPACE_BASE(data_width_c-1 downto 1) & '0';
end if;
execute_engine.state <= IDLE;
execute_engine.state_prev <= IDLE;
execute_engine.state <= SYS_WAIT;
execute_engine.state_prev <= SYS_WAIT;
elsif rising_edge(clk_i) then
execute_engine.pc <= execute_engine.pc_nxt(data_width_c-1 downto 1) & '0';
if (execute_engine.state = EXECUTE) then
693,7 → 691,7
-- state machine --
case execute_engine.state is
 
when IDLE => -- Delay cycle (used to wait for side effects to kick in)
when SYS_WAIT => -- Delay cycle (used to wait for side effects to kick in)
-- ------------------------------------------------------------
execute_engine.state_nxt <= DISPATCH;
 
719,7 → 717,7
trap_ctrl.env_start_ack <= '1';
execute_engine.pc_nxt <= csr.mtvec(data_width_c-1 downto 1) & '0';
fetch_engine.reset <= '1';
execute_engine.state_nxt <= IDLE;
execute_engine.state_nxt <= SYS_WAIT;
end if;
 
when EXECUTE => -- Decode and execute instruction
810,15 → 808,15
case execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) is
when x"000" => -- ECALL
trap_ctrl.env_call <= '1';
execute_engine.state_nxt <= IDLE;
execute_engine.state_nxt <= SYS_WAIT;
when x"001" => -- EBREAK
trap_ctrl.break_point <= '1';
execute_engine.state_nxt <= IDLE;
execute_engine.state_nxt <= SYS_WAIT;
when x"302" => -- MRET
trap_ctrl.env_end <= '1';
execute_engine.pc_nxt <= csr.mepc(data_width_c-1 downto 1) & '0';
fetch_engine.reset <= '1';
execute_engine.state_nxt <= IDLE;
execute_engine.state_nxt <= SYS_WAIT;
when x"105" => -- WFI
execute_engine.state_nxt <= TRAP;
when others => -- undefined
843,6 → 841,7
ctrl_nxt(ctrl_alu_opb_mux_msb_c) <= '0'; -- default
ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '0'; -- default
case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
-- register operations --
when funct3_csrrw_c => -- CSRRW
ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '0'; -- OPA = rs1
ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '0'; -- OPB = rs2
859,6 → 858,7
ctrl_nxt(ctrl_alu_opb_mux_msb_c) <= '1'; -- OPB = rs1
ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_bitc_c; -- actual ALU operation = bit clear
csr.we_nxt <= not rs1_is_r0_v; -- write CSR if rs1 is not zero_reg
-- immediate operations --
when funct3_csrrwi_c => -- CSRRWI
ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '0'; -- OPA = rs1
ctrl_nxt(ctrl_rf_clear_rs1_c) <= '1'; -- rs1 = 0
898,7 → 898,7
execute_engine.pc_nxt <= alu_add_i(data_width_c-1 downto 1) & '0';
fetch_engine.reset <= '1';
end if;
execute_engine.state_nxt <= IDLE;
execute_engine.state_nxt <= SYS_WAIT;
 
when LOAD => -- trigger memory read request
-- ------------------------------------------------------------
920,7 → 920,7
ctrl_nxt(ctrl_bus_mdi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for LOAD)
ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "01"; -- RF input = memory input (only relevant for LOAD)
if (ma_load_i = '1') or (be_load_i = '1') or (ma_store_i = '1') or (be_store_i = '1') then -- abort if exception
execute_engine.state_nxt <= IDLE;
execute_engine.state_nxt <= SYS_WAIT;
elsif (bus_wait_i = '0') then -- wait here for bus to finish transaction
if (execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) = opcode_load_c) then -- LOAD?
ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
930,7 → 930,7
 
when others => -- undefined
-- ------------------------------------------------------------
execute_engine.state_nxt <= IDLE;
execute_engine.state_nxt <= SYS_WAIT;
 
end case;
end process execute_engine_fsm_comb;
1042,7 → 1042,6
(execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = x"342") or -- mcause
(execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = x"343") or -- mtval
(execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = x"344") or -- mip
(execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = x"34a") or -- mtinst
--
((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = x"c00") and (CPU_EXTENSION_RISCV_E = false) and (CSR_COUNTERS_USE = true)) or -- cycle
((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = x"c01") and (CPU_EXTENSION_RISCV_E = false) and (CSR_COUNTERS_USE = true)) or -- time
1119,7 → 1118,6
trap_ctrl.exc_ack <= '0';
trap_ctrl.irq_ack <= (others => '0');
trap_ctrl.cause <= (others => '0');
trap_ctrl.instr <= (others => '0');
trap_ctrl.exc_src <= (others => '0');
trap_ctrl.env_start <= '0';
elsif rising_edge(clk_i) then
1145,13 → 1143,11
if (trap_ctrl.env_start = '0') then -- no started trap handler
if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and
((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP))) then -- exception/IRQ detected!
trap_ctrl.cause <= trap_ctrl.cause_nxt; -- capture source ID for program
trap_ctrl.instr <= execute_engine.i_reg; -- FIXME mtinst transformation not fully implemented yet!
trap_ctrl.instr(1) <= not execute_engine.is_ci; -- bit is set for uncompressed instruction
trap_ctrl.exc_src <= trap_ctrl.exc_buf; -- capture exception source for hardware
trap_ctrl.exc_ack <= '1'; -- clear execption
trap_ctrl.irq_ack <= trap_ctrl.irq_ack_nxt; -- capture and clear with interrupt ACK mask
trap_ctrl.env_start <= '1'; -- now we want to start the trap handler
trap_ctrl.cause <= trap_ctrl.cause_nxt; -- capture source ID for program
trap_ctrl.exc_src <= trap_ctrl.exc_buf; -- capture exception source for hardware
trap_ctrl.exc_ack <= '1'; -- clear execption
trap_ctrl.irq_ack <= trap_ctrl.irq_ack_nxt; -- capture and clear with interrupt ACK mask
trap_ctrl.env_start <= '1'; -- now we want to start the trap handler
end if;
else -- trap waiting to get started
if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1173,7 → 1169,6
 
-- exception/interrupt/status ID visible for program --
csr.mcause <= trap_ctrl.cause;
csr.mtinst <= trap_ctrl.instr;
 
 
-- Trap Priority Detector -----------------------------------------------------------------
1410,8 → 1405,6
csr_rdata_o(03) <= trap_ctrl.irq_buf(interrupt_msw_irq_c);
csr_rdata_o(07) <= trap_ctrl.irq_buf(interrupt_mtime_irq_c);
csr_rdata_o(11) <= trap_ctrl.irq_buf(interrupt_mext_irq_c);
when x"34a" => -- R/-: mtinst - machine trap instruction (transformed)
csr_rdata_o <= csr.mtinst;
-- counter and timers --
when x"c00" | x"c01" | x"b00" => -- R/-: cycle/time/mcycle: Cycle counter LOW / Timer LOW
csr_rdata_o <= csr.cycle(31 downto 0);
/neorv32_package.vhd
1068,22 → 1068,22
variable output_v : character;
begin
case input is
when x"0" => output_v := '0';
when x"1" => output_v := '1';
when x"2" => output_v := '2';
when x"3" => output_v := '3';
when x"4" => output_v := '4';
when x"5" => output_v := '5';
when x"6" => output_v := '6';
when x"7" => output_v := '7';
when x"8" => output_v := '8';
when x"9" => output_v := '9';
when x"a" => output_v := 'a';
when x"b" => output_v := 'b';
when x"c" => output_v := 'c';
when x"d" => output_v := 'd';
when x"e" => output_v := 'e';
when x"f" => output_v := 'f';
when x"0" => output_v := '0';
when x"1" => output_v := '1';
when x"2" => output_v := '2';
when x"3" => output_v := '3';
when x"4" => output_v := '4';
when x"5" => output_v := '5';
when x"6" => output_v := '6';
when x"7" => output_v := '7';
when x"8" => output_v := '8';
when x"9" => output_v := '9';
when x"a" => output_v := 'a';
when x"b" => output_v := 'b';
when x"c" => output_v := 'c';
when x"d" => output_v := 'd';
when x"e" => output_v := 'e';
when x"f" => output_v := 'f';
when others => output_v := '?';
end case;
return output_v;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.