OpenCores
URL https://opencores.org/ocsvn/opb_wb_wrapper/opb_wb_wrapper/trunk

Subversion Repositories opb_wb_wrapper

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /opb_wb_wrapper
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/trunk/opb2wb_v1_00_a/netlist/opb2wb.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.2e
$0c:1=789;?7=>?2092a>4t<9:n!ki;57/552=4:3CE\XZ5oq`\egst4:;1<3?8;209MKVR\3e{nRjmur>05?699118>7GAPTV9sgYci}kTe1=>:1<23>552F__\XZ5sm]gfpu;;80;2<74338LQQVR\3}iSljkr^m?74<76830??4@UURVP?qeWmkmRa33083:1=389::?6:5IORVP?iwjVhn0>4?>0480?IR\Y__6zlPsdn\k95=87;049570127?G@AN11IY^QFNGM2?F><KAEBOH<>8:AKKLEB:120OEAFCD15<>EOG@IN8>94CIMJG@0>3JEFADZ[EE58GWCF\LN:7I<4FE38C1=@&:287J@K2:K36>O6:2C9>6G<9:KMMQUSI]O<7AAHIBCO0>JR\8>0@XZ=4:NVP13<F8=8885A16533>HB\^EYG<5@7:MSFZEkc=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB92[=7^AZRBG7?Q_WMj1^_H\PVHQJFIC>3_CN[RZVPD3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH48\VRKAK=0T^ZPGOF5?]beW@n:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n29[wq0<gyh9{ol4oq`\egst494h7b~m_``vw9776j1d|oQnbtq?548d3fziSllzs=31:f=hxkUjnx}312<`?jveWhh~1?;>b9ltgYfj|y7=80l;nra[ddr{5;=2n5`pc]bfpu;9>4h7b~m_``vw97?6j1d|oQnbtq?5<8e3fziSllzs=3=g>iwjVkiy~2=0?a8kudXikx0??1c:msfZge}z69>3m4oq`\egst4;95o6ab^caqv:5<7i0c}lPacwp8739k2e{nRomur>12;e<gyhTmo{|<35=g>iwjVkiy~2=8?a8kudXikx0?71b:msfZge}z692n5`pc]bfpu;;94n7b~m_``vw956294h7b~m_``vw9566k1d|oQnbtq?7;d<gyhTmo{|<5<a?jveWhh~1;1b:msfZge}z6=2o5`pc]bfpu;?7h0c}lPacwp8=8e3fziSllzs=;=a>iwjVkiy~Q}<02=a>iwjVkiy~Q}<03=a>iwjVkiy~Q}<00=a>iwjVkiy~Q}<01=a>iwjVkiy~Q}<06=a>iwjVkiy~Q}<07=a>iwjVkiy~Q}<04=a>iwjVkiy~Q}<05=a>iwjVkiy~Q}<0:=a>iwjVkiy~Q}<0;=a>iwjVkiy~Q}<32=a>iwjVkiy~Q}<33=a>iwjVkiy~Q}<30=a>iwjVkiy~Q}<31=a>iwjVkiy~Q}<36=a>iwjVkiy~Q}<37=a>iwjVkiy~Q}<34=a>iwjVkiy~Q}<35=a>iwjVkiy~Q}<3:=a>iwjVkiy~Q}<3;=a>iwjVkiy~Q}<22=a>iwjVkiy~Q}<23=`>iwjVkiy~Q}<9<g?jveWhh~R|39?a8kudXikxSQ?c:msfZge}zUyS<j4oq`\egstW{U:<i5`pc]bfpuXzV;:h6ab^caqvYuW88o7b~m_``vwZtX9:n0c}lPacwp[wY6<m1d|oQnbtq\vZ72l2e{nRomur]q[40c3fziSllzs^p\52b<gyhTmo{|_s]2<a=hxkUjnx}Pr^3:g>iwjVkiy~Q}_3f8kudXikxSQ=0e9ltgYfj|yT~R<>d:msfZge}zUyS?<k;nra[ddr{VxT>>j4oq`\egstW{U98i5`pc]bfpuXzV8>h6ab^caqvYuW;<o7b~m_``vwZtX:>n0c}lPacwp[wY50m1d|oQnbtq\vZ4>k2e{nRomur]q[6b<gyhTmo{|_s]04a=hxkUjnx}Pr^12g>iwjVkiy~Q}_5a8kudXikxSQ:c:msfZge}zUyS;m4oq`\egstW{U<o6ab^caqvYuW1i0c}lPacwp[wY>12e{nRlj<1<:?jveWko7=374oq`\f`:56k1d|oQme=194;?<gyhTnh2<>`9ltgYemVxT<l5`pc]aaZtX9h1d|oQme^p\6d=hxkUiiR|P3c9ltgYcj|y7<3m4oq`\`gst48:5o6ab^faqv:697i0c}lPdcwp8449k2e{nRjmur>27;e<gyhTho{|<06=g>iwjVniy~2>5?a8kudXlkx0<81c:msfZbe}z6:;3m4oq`\`gst4825o6ab^faqv:617h0c}lPdcwp848d3fziSilzs=03:f=hxkUonx}320<`?jveWmh~1<=>b9ltgYcj|y7>>0l;nra[adr{58?2n5`pc]gfpu;:<4h7b~m_e`vw9416j1d|oQkbtq?628d3fziSilzs=0;:f=hxkUonx}328<a?jveWmh~1<1c:msfZbe}z68<3k4oq`\`gst4:;1<3m4oq`\`gst4:;5n6ab^faqv:46k1d|oQkbtq?0;d<gyhTho{|<4<a?jveWmh~181b:msfZbe}z6<2o5`pc]gfpu;07h0c}lPdcwp8<8d3fziSilzs^p\4f=hxkUonx}Pr^3g?jveWmh~R|P11f8kudXlkxSQ>1e9ltgYcj|yT~R?=d:msfZbe}zUyS<=k;nra[adr{VxT=9j4oq`\`gstW{U:9i5`pc]gfpuXzV;=h6ab^faqvYuW8=o7b~m_e`vwZtX91n0c}lPdcwp[wY61j1d|oQkbtq\vZ4c3fziSilzs^p\65b<gyhTho{|_s]15a=hxkUonx}Pr^01`>iwjVniy~Q}_31g?jveWmh~R|P25f8kudXlkxSQ=5e9ltgYcj|yT~R<9d:msfZbe}zUyS?9k;nra[adr{VxT>5j4oq`\`gstW{U95n5`pc]gfpuXzV9o7b~m_e`vwZtX;9n0c}lPdcwp[wY49j1d|oQkbtq\vZ2d3fziSilzs^p\1f=hxkUonx}Pr^4`?jveWmh~R|P7b9ltgYcj|yT~R6l;nra[adr{VxT5:5`pc]qjr?<gyhT~cyPr`9ltgYtmeohxn5`pc]paicd|Vxi7b~m_rgreabu;2xxx>5|em48w`j68:?0hb>279pai74:h1xia?<2^QT4`=tme;8>R]X0^QT40=tme?896}jl746?vck19k0aQkbtq?4;d<{eUonx}30?3a?vjXlkx0<>1c:qo[adr{5;;2<l4sm]gfpu;984h7~bPdcwp84799k1x`Rjmur>26;e<{eUonx}313<2f>ukWmh~1?<>b9phZbe}z6:?3?m;rn\`gst48>5o6}c_e`vw97368h0aQkbtq?508d3zfTho{|<07=5g=tdVniy~2>6?a8wiYcj|y7=;0>b:qo[adr{5;<2n5|l^faqv:6?7;i7~bPdcwp84>9k2ygSilzs=3;:4d<{eUonx}318<`?vjXlkx0<711`9phZbe}z6:2o5|l^faqv:668h0aQkbtq?658d3zfTho{|<32=5g=tdVniy~2=1?a8wiYcj|y7><0>b:qo[adr{5892n5|l^faqv:5:7;i7~bPdcwp8759k2ygSilzs=00:4d<{eUonx}325<`?vjXlkx0?:11c9phZbe}z6993m4sm]gfpu;:<4:n6}c_e`vw9416j1x`Rjmur>12;7e3zfTho{|<35=g>ukWmh~1<8>0`8wiYcj|y7>50l;rn\`gst4;25=o5|l^faqv:517i0aQkbtq?6<86i2ygSilzs=0=f>ukWmh~1<11c9phZbe}z68<3m4sm]gfpu;;94:h6}c_e`vw956294i7~bPdcwp8679k2ygSilzs=12:4g<{eUonx}33?`8wiYcj|y7?3?n;rn\`gst4=4i7~bPdcwp8186i2ygSilzs=7=f>ukWmh~1;11`9phZbe}z6=2o5|l^faqv:168k0aQkbtq?3;d<{eUonx}37?3b?vjXlkx050m;rn\`gst414:m6}c_e`vw9?9j2ygSilzs=;=5<=tdVoy~lmda:qo[`tuija:46}c_sgwv|?<{eUyiy|v1`9phZrh}}y~|l5|l^zeawgdck1x`Rviesc`o4><kUjofQf8:ua[delW{i0{oQndep\k969l2}iSljkr^m?558c3~hTmij}_n>25;b<kUjhi|Po=31:a=pjVkohQ`<01=`>qeWhno~Ra315<g?rdXimnySb2>5?f8sgYflmxTc1?9>e9tfZgcl{Ud0<91d:ua[dbczVe7=50k;v`\eabuWf6:53m4wc]b`atXg5;5h6ym_`fgvZi;:94o7zlPaefq[j:597n0{oQndep\k9456m1|nRokds]l8759l2}iSljkr^m?618c3~hTmij}_n>11;b<kUjhi|Po=05:a=pjVkohQ`<35=`>qeWhno~Ra329<g?rdXimnySb2=9?a8sgYflmxTc1<1d:ua[dbczVe7?=0i;v`\eabuWf68=7>1d:ua[dbczVe7?<0l;v`\eabuWf682n5xb^cg`wYh4=4h7zlPaefq[j:26j1|nRokds]l838d3~hTmij}_n>4:f=pjVkohQ`<9<`?rdXimnySb26>99tfZe~kVe27zlPcxa\k4e<kUomyoPi=2=`>qeWmkmRg311<g?rdXlh~jSd2>1?f8sgYci}kTe1?=>e9tfZbf|hUb0<=1d:ua[agsiVc7=90k;v`\`drfW`6:93j4wc]geqgXa5;=2i5xb^fbpdYn48=5h6ym_ecweZo;914o7zlPd`vb[l:617i0{oQkauc\m979l2}iSio{a^k?658c3~hThlzn_h>15;b<kUomyoPi=01:a=pjVnjxlQf<31=`>qeWmkmRg325<g?rdXlh~jSd2=5?f8sgYci}kTe1<9>e9tfZbf|hUb0?91d:ua[agsiVc7>50k;v`\`drfW`6953m4wc]geqgXa585h6ym_ecweZo;;94m7zlPd`vb[l:493:5h6ym_ecweZo;;84h7zlPd`vb[l:46j1|nRjnt`]j818d3~hThlzn_h>6:f=pjVnjxlQf<7<`?rdXlh~jSd28>b9tfZbf|hUb050l;v`\`drfW`622n5xb^fbpdYh494o7zlPd`vb[j:687n0{oQkauc\k9766m1|nRjnt`]l8449l2}iSio{a^m?568c3~hThlzn_n>20;b<kUomyoPo=36:a=pjVnjxlQ`<04=`>qeWmkmRa316<g?rdXlh~jSb2>8?f8sgYci}kTc1?6>b9tfZbf|hUd0<0k;v`\`drfWf69<3j4wc]geqgXg58:2i5xb^fbpdYh4;85h6ym_ecweZi;::4o7zlPd`vb[j:5<7n0{oQkauc\k9426m1|nRjnt`]l8709l2}iSio{a^m?628c3~hThlzn_n>1<;b<kUomyoPo=0::f=pjVnjxlQ`<3<g?rdXlh~jSb2<0?d8sgYci}kTc1=>:1<g?rdXlh~jSb2<1?a8sgYci}kTc1=1c:ua[agsiVe783m4wc]geqgXg5?5o6ym_ecweZi;>7i0{oQkauc\k919k2}iSio{a^m?<;e<kUomyoPo=;=<>qeWlxySd64wc]fvwYu02}iSzv_h:8sgYu|pUyn6ym_rgo[j:76k1|nR}jl^m?5;d<kUxiaQ`<3<g?rdX{lfTc1=50?`8sgYtmeUd0>07;v`\wqdXg>1|nRyj_n:8sgYpmVe:qMN4ba8DE~4j3L1>7?tS0:97d<6k3;8=k?=0;0g13?|f:21=6`<9;08 61=;=1v_<953`82g?749o;9<7<k57;8`6d=83;1=v]>8;1b>4e=9:;m=?>52e75=>pS;90;6<4>:d3xW4>=;h0:o7?<1g314?4c=?30(>=5229'6f<43k9i6=4k7;54>`7|R8:14vl56;790?>=13i1;7s+3080f>N4:2cn87>5;h0a>5<<aml1<75f18f94?=n90l1<75fe083>>o6;?0;66gj3;29?l?>290/>h468:l1`?6<3`3<6=4+2d8:<>h5l3;07d79:18'6`<>02d9h7<4;h;6>5<#:l0246`=d;18?l70<3:1(?k51618j7b=821b=:<50;&1a?70;2d9h7?4;h344?6=,;o1=:=4n3f96>=n9?l1<7*=e;347>h5l3907d?9e;29 7c=9>90b?j54:9j53b=83.9i7?83:l1`?3<3`;=o7>5$3g9525<f;n1:65f17`94?"5m3;<?6`=d;58?l71i3:1(?k51618j7b=021b=;750;&1a?70;2d9h774;h35<?6=,;o1=:=4n3f9e>=n9?=1<7*=e;347>h5l3h07d?95;29 7c=9>90b?j5c:9j532=83.9i7?83:l1`?b<3`;=?7>5$3g9525<f;n1i65f17094?"5m3;<?6`=d;d8?l7193:1(?k51618j7b=9910e<8?:18'6`<6?:1e>i4>1:9j50`=83.9i7?83:l1`?7532c:9h4?:%0f>4143g8o6<=4;h36`?6=,;o1=:=4n3f951=<a8?h6=4+2d8236=i:m0:965f16`94?"5m3;<?6`=d;35?>o6?h0;6)<j:050?k4c28=07d?89;29 7c=9>90b?j51998m41?290/>h4>729m6a<6121b=:950;&1a?70;2d9h7?n;:k233<72-8n6<9<;o0g>4d<3`;<97>5$3g9525<f;n1=n54i052>5<#:l0:;>5a2e82`>=n9?<1<7*=e;347>h5l3;n76g>5c83>!4b28=87c<k:0d8?ld0290/>h4m6:l1`?6<3`h>6=4+2d8a2>h5l3;07dl<:18'6`<e>2d9h7<4;h`1>5<#:l0i:6`=d;18?ld6290/>h4m6:l1`?2<3`h;6=4+2d8a2>h5l3?07doi:18'6`<e>2d9h784;hcf>5<#:l0i:6`=d;58?lgc290/>h4m6:l1`?><3`kh6=4+2d8a2>h5l3307dom:18'6`<e>2d9h7o4;hcb>5<#:l0i:6`=d;`8?lg?290/>h4m6:l1`?e<3`k<6=4+2d8a2>h5l3n07do9:18'6`<e>2d9h7k4;hc6>5<#:l0i:6`=d;d8?lg3290/>h4m6:l1`?7732cj?7>5$3g9f3=i:m0:=65fa383>!4b2k<0b?j51398md7=83.9i7l9;o0g>45<3`k;6=4+2d8a2>h5l3;?76g6f;29 7c=j?1e>i4>5:9jf`<72-8n6o84n3f953=<akn1<7*=e;`5?k4c28=07dll:18'6`<e>2d9h7?7;:kaf?6=,;o1n;5a2e82=>=njh0;6)<j:c48j7b=9h10eo750;&1a?d13g8o6<l4;h`;>5<#:l0i:6`=d;3`?>oe<3:1(?k5b79m6a<6l21bm44?:%0f>g0<f;n1=h54i8g94?"5m3h=7c<k:0d8?l32290/>h4:4:l1`?6<3`?86=4+2d860>h5l3;07d;>:18'6`<2<2d9h7<4;h73>5<#:l0>86`=d;18?l2a290/>h4:4:l1`?2<3`>n6=4+2d860>h5l3?07d:k:18'6`<2<2d9h784;h6`>5<#:l0>86`=d;58?l2e290/>h4:4:l1`?><3`>j6=4+2d860>h5l3307d:6:18'6`<2<2d9h7o4;h6;>5<#:l0>86`=d;`8?l21290/>h4:4:l1`?e<3`>>6=4+2d860>h5l3n07d:;:18'6`<2<2d9h7k4;h60>5<#:l0>86`=d;d8?l25290/>h4:4:l1`?7732c?=7>5$3g911=i:m0:=65f4183>!4b2<>0b?j51398m6`=83.9i7;;;o0g>45<3`9n6=4+2d860>h5l3;?76g<d;29 7c===1e>i4>5:9j1f<72-8n68:4n3f953=<a<h1<7*=e;77?k4c28=07d;n:18'6`<2<2d9h7?7;:k6=?6=,;o1995a2e82=>=n=10;6)<j:468j7b=9h10e8950;&1a?333g8o6<l4;h75>5<#:l0>86`=d;3`?>o2:3:1(?k5559m6a<6l21b8:4?:%0f>02<f;n1=h54i2a94?"5m3??7c<k:0d8?j7f>3:17b?<3;29?j74<3:17b?<1;29?j7213:17b?=f;29?j7f?3:17b?:1;29 7c=9<:0b?j50:9l51`=83.9i7?:0:l1`?7<3f;?h7>5$3g9506<f;n1>65`15a94?"5m3;><6`=d;18?j73j3:1(?k51428j7b=<21d=9o50;&1a?7282d9h7;4;n37=?6=,;o1=8>4n3f92>=h9=21<7*=e;364>h5l3=07b?;7;29 7c=9<:0b?j58:9l510=83.9i7?:0:l1`??<3f;?97>5$3g9506<f;n1m65`15694?"5m3;><6`=d;`8?j73:3:1(?k51428j7b=k21d=9?50;&1a?7282d9h7j4;n374?6=,;o1=8>4n3f9a>=h9:l1<7*=e;364>h5l3l07b?<e;29 7c=9<:0b?j51198k45c290/>h4>519m6a<6921d=>m50;&1a?7282d9h7?=;:m27g<72-8n6<;?;o0g>45<3f;8m7>5$3g9506<f;n1=954o01:>5<#:l0:9=5a2e821>=h9<21<7*=e;364>h5l3;=76a>5683>!4b28?;7c<k:058?j72>3:1(?k51428j7b=9110c<;::18'6`<6=91e>i4>9:9l502=83.9i7?:0:l1`?7f32e:9>4?:%0f>4373g8o6<l4;n366?6=,;o1=8>4n3f95f=<g8>n6=4+2d8215=i:m0:h65`15194?"5m3;><6`=d;3f?>i6;10;6)<j:073?k4c28l07b?65;29 7c=90>0b?j50:9l5<5=83.9i7?64:l1`?7<3f;2=7>5$3g95<2<f;n1>65`18294?"5m3;286`=d;18?j7?n3:1(?k51868j7b=<21d=5k50;&1a?7><2d9h7;4;n3;`?6=,;o1=4:4n3f92>=h91i1<7*=e;3:0>h5l3=07b?7b;29 7c=90>0b?j58:9l5=g=83.9i7?64:l1`??<3f;357>5$3g95<2<f;n1m65`19:94?"5m3;286`=d;`8?j7?>3:1(?k51868j7b=k21d=5;50;&1a?7><2d9h7j4;n3;0?6=,;o1=4:4n3f9a>=h9191<7*=e;3:0>h5l3l07b?72;29 7c=90>0b?j51198k4>6290/>h4>959m6a<6921d=5>50;&1a?7><2d9h7?=;:m23c<72-8n6<7;;o0g>45<3f;<i7>5$3g95<2<f;n1=954o05g>5<#:l0:595a2e821>=h90i1<7*=e;3:0>h5l3;=76a>9c83>!4b283?7c<k:058?j7>i3:1(?k51868j7b=9110c<76:18'6`<61=1e>i4>9:9l5<>=83.9i7?64:l1`?7f32e:5:4?:%0f>4?33g8o6<l4;n3:2?6=,;o1=4:4n3f95f=<g8396=4+2d82=1=i:m0:h65`19594?"5m3;286`=d;3f?>i6?j0;6)<j:0;7?k4c28l07b?n5;29 7c=9h>0b?j50:9l5d5=83.9i7?n4:l1`?7<3f;j>7>5$3g95d2<f;n1>65`1`394?"5m3;j86`=d;18?j76n3:1(?k510g8j7b=821d=<m50;&1a?76m2d9h7?4;n32<?6=,;o1=<k4n3f96>=h98<1<7*=e;32a>h5l3907b?>4;29 7c=98o0b?j54:9l544=83.9i7?>e:l1`?3<3f;:<7>5$3g954c<f;n1:65`11g94?"5m3;:i6`=d;58?j77k3:1(?k510g8j7b=021d==o50;&1a?76m2d9h774;n33<?6=,;o1=<k4n3f9e>=h99<1<7*=e;32a>h5l3h07b??2;29 7c=98o0b?j5c:9l556=83.9i7?>e:l1`?b<3fln6=4+2d825`=i:m0n76aic;29 7c=98o0b?j5f:9lbd<72-8n6<?j;o0g>46<3fl36=4+2d825`=i:m0:=65`f783>!4b28;n7c<k:008?j`3290/>h4>1d9m6a<6;21dj?4?:%0f>47b3g8o6<:4;nd3>5<#:l0:=h5a2e821>=h9;n1<7*=e;32a>h5l3;=76a>2c83>!4b28;n7c<k:058?j7513:1(?k510g8j7b=9110c<<8:18'6`<69l1e>i4>9:9l573=83.9i7?>e:l1`?7f32e:>>4?:%0f>47b3g8o6<l4;n315?6=,;o1=<k4n3f95f=<g8;j6=4+2d825`=i:m0:h65`11694?"5m3;:i6`=d;3f?>ibm3:1(?k510g8j7b=9o10n>850;394?6|,:;1=i5G339K70=h9k0;66smee83>0<729q/?<4=6:J06>"5:3:0D>;4i0g94?=n9o0;66g=0;29?l462900c?o50;9~fc5=83?1<7>t$23963=O;;1/>?4n;I16?l7b2900e<h50;9j65<722c9=7>5;n0b>5<<ukl:6=4::183!562;<0D><4$309e>N4=2c:i7>5;h3e>5<<a;:1<75f2083>>i5i3:17pl>3683>6<729q/?<4>a:J06>N4=2c:57>5;h32>5<<g;l1<75rb00f>5<2290;w)=>:348L64<,;81m6F<5:k2a?6=3`;m6=44i3294?=n:80;66a=a;29?xd6:j0;684?:1y'74<5>2B8>6*=2;c8L63<a8o1<75f1g83>>o583:17d<>:188k7g=831vn<o?:180>5<7s-9:6<o4H208L63<a831<75f1083>>i5n3:17pl>2`83>0<729q/?<4=6:J06>"5:3k0D>;4i0g94?=n9o0;66g=0;29?l462900c?o50;9~fa>=8391<7>t$2395d=O;;1C?85f1883>>o693:17b<i:188yg7503:197>50z&05?413A997)<=:`9K70=n9l0;66g>f;29?l472900e??50;9l6d<722wi=?850;794?6|,:;1>;5G339'67<f3A9>7d?j:188m4`=831b>=4?::k15?6=3f8j6=44}c310?6==3:1<v*<1;05?M553-896l5G349j5`<722c:j7>5;h03>5<<a;;1<75`2`83>>{e9;81<7;50;2x 67=:?1C??5+238b?M523`;n6=44i0d94?=n:90;66g=1;29?j4f2900qo7m:180>5<7s-9:6<o4H208L63<a831<75f1083>>i5n3:17pli5;291?6=8r.8=7<9;I11?!452h1C?85f1d83>>o6n3:17d<?:188m77=831d>l4?::a54d=83?1<7>t$23963=O;;1/>?4n;I16?l7b2900e<h50;9j65<722c9=7>5;n0b>5<<uk2n6=4<:183!5628k0D><4H278m4?=831b=<4?::m1b?6=3th2h7>53;294~"493;j7E==;I16?l7>2900e<?50;9l6c<722wii=4?:283>5}#;80:m6F<2:J01>o613:17d?>:188k7`=831vnh<50;194?6|,:;1=l5G339K70=n900;66g>1;29?j4a2900qo?6e;297?6=8r.8=7?n;I11?M523`;26=44i0394?=h:o0;66sm14c94?3=83:p(>?5279K77=#:;0:7E=:;h3f>5<<a8l1<75f2183>>o593:17b<n:188ygca290>6=4?{%12>70<@:80(?<5a:J01>o6m3:17d?i:188m76=831b><4?::m1e?6=3th:?84?:283>5}#;80996F<2:&16?b<@:?0e<k50;9j5c<722e9m7>5;|`277<72:0;6=u+30811>N4:2.9>7j4H278m4c=831b=k4?::m1e?6=3th2o7>53;294~"493;j7E==;I16?l7>2900e<?50;9l6c<722wi=>>50;194?6|,:;1>85G339'67<c3A9>7d?j:188m4`=831d>l4?::a5d>=8381<7>t$23961=O;;1/>?4=;I16?l7b2900c?o50;9~f`>=83?1<7>t$23963=O;;1/>?4>;I16?l7b2900e<h50;9j65<722c9=7>5;n0b>5<<uk;;97>55;294~"4938=7E==;%01>d=O;<1b=h4?::k2b?6=3`8;6=44i3394?=h:h0;66sm13294?3=83:p(>?5279K77=#:;0j7E=:;h3f>5<<a8l1<75f2183>>o593:17b<n:188yg76l3:197>50z&05?413A997)<=:`9K70=n9l0;66g>f;29?l472900e??50;9l6d<722wi=<750;794?6|,:;1>;5G339'67<f3A9>7d?j:188m4`=831b>=4?::k15?6=3f8j6=44}c323?6==3:1<v*<1;05?M553-896l5G349j5`<722c:j7>5;h03>5<<a;;1<75`2`83>>{e98?1<7;50;2x 67=:?1C??5+238b?M523`;n6=44i0d94?=n:90;66g=1;29?j4f2900qo?>3;291?6=8r.8=7<9;I11?!452h1C?85f1d83>>o6n3:17d<?:188m77=831d>l4?::a547=83?1<7>t$23963=O;;1/>?4n;I16?l7b2900e<h50;9j65<722c9=7>5;n0b>5<<uk;;j7>55;294~"4938=7E==;%01>d=O;<1b=h4?::k2b?6=3`8;6=44i3394?=h:h0;66sm11f94?3=83:p(>?5279K77=#:;0j7E=:;h3f>5<<a8l1<75f2183>>o593:17b<n:188yg77j3:197>50z&05?413A997)<=:`9K70=n9l0;66g>f;29?l472900e??50;9l6d<722wi==750;794?6|,:;1>;5G339'67<f3A9>7d?j:188m4`=831b>=4?::k15?6=3f8j6=44}c333?6==3:1<v*<1;05?M553-896l5G349j5`<722c:j7>5;h03>5<<a;;1<75`2`83>>{e9991<7;50;2x 67=:?1C??5+238b?M523`;n6=44i0d94?=n:90;66g=1;29?j4f2900qo??1;291?6=8r.8=7<9;I11?!452h1C?85f1d83>>o6n3:17d<?:188m77=831d>l4?::abc<72<0;6=u+30812>N4:2.9>7o4H278m4c=831b=k4?::k14?6=3`8:6=44o3c94?=zjon1<7;50;2x 67=:?1C??5+238b?M523`;n6=44i0d94?=n:90;66g=1;29?j4f2900qohm:186>5<7s-9:6?84H208 74=i2B896g>e;29?l7a2900e?>50;9j64<722e9m7>5;|`e=?6==3:1<v*<1;05?M553-896l5G349j5`<722c:j7>5;h03>5<<a;;1<75`2`83>>{en>0;684?:1y'74<5>2B8>6*=2;c8L63<a8o1<75f1g83>>o583:17d<>:188k7g=831vnoh50;194?6|,:;1=l5G339K70=n900;66g>1;29?j4a2900qom?:180>5<7s-9:6<o4H208L63<a831<75f1083>>i5n3:17pllb;297?6=8r.8=7?n;I11?M523`;26=44i0394?=h:o0;66smd783>6<729q/?<4>a:J06>N4=2c:57>5;h32>5<<g;l1<75rbe;94?5=83:p(>?51`9K77=O;<1b=44?::k25?6=3f8m6=44}cfb>5<4290;w)=>:0c8L64<@:?0e<750;9j54<722e9j7>5;|`gf?6=;3:1<v*<1;3b?M553A9>7d?6:188m47=831d>k4?::a`f<72:0;6=u+3082e>N4:2B896g>9;29?l762900c?h50;9~fab=8391<7>t$2395d=O;;1C?85f1883>>o693:17b<i:188ygbb29086=4?{%12>4g<@:80D>;4i0;94?=n980;66a=f;29?xdd93:1?7>50z&05?7f3A997E=:;h3:>5<<a8;1<75`2g83>>{ek;0;6>4?:1y'74<6i2B8>6F<5:k2=?6=3`;:6=44o3d94?=zjj91<7=50;2x 67=9h1C??5G349j5<<722c:=7>5;n0e>5<<uki?6=4<:183!5628k0D><4H278m4?=831b=<4?::m1b?6=3thh97>53;294~"493;j7E==;I16?l7>2900e<?50;9l6c<722wio;4?:283>5}#;80:m6F<2:J01>o613:17d?>:188k7`=831vnn950;194?6|,:;1=l5G339K70=n900;66g>1;29?j4a2900qom7:180>5<7s-9:6<o4H208L63<a831<75f1083>>i5n3:17pll9;297?6=8r.8=7?n;I11?M523`;26=44i0394?=h:o0;66smc`83>6<729q/?<4>a:J06>N4=2c:57>5;h32>5<<g;l1<75rbba94?5=83:p(>?51`9K77=O;<1b=44?::k25?6=3f8m6=44}cag>5<4290;w)=>:0c8L64<@:?0e<750;9j54<722e9j7>5;|``a?6=;3:1<v*<1;3b?M553A9>7d?6:188m47=831d>k4?::agc<72:0;6=u+3082e>N4:2B896g>9;29?l762900c?h50;9~fa6=8391<7>t$2395d=O;;1C?85f1883>>o693:17b<i:188ygb629086=4?{%12>4g<@:80D>;4i0;94?=n980;66a=f;29?xdc:3:1?7>50z&05?7f3A997E=:;h3:>5<<a8;1<75`2g83>>{el:0;6>4?:1y'74<6i2B8>6F<5:k2=?6=3`;:6=44o3d94?=zjm>1<7=50;2x 67=9h1C??5G349j5<<722c:=7>5;n0e>5<<ukn>6=4<:183!5628k0D><4H278m4?=831b=<4?::m1b?6=3tho;7>53;294~"493;j7E==;I16?l7>2900e<?50;9l6c<722wi;84?:283>5}#;80:m6F<2:J01>o613:17d?>:188k7`=831vn:850;194?6|,:;1=l5G339K70=n900;66g>1;29?j4a2900qo6>:180>5<7s-9:6<o4H208L63<a831<75f1083>>i5n3:17pl7c;297?6=8r.8=7?n;I11?M523`;26=44i0394?=h:o0;66sm8g83>6<729q/?<4>a:J06>N4=2c:57>5;h32>5<<g;l1<75rb8294?5=83:p(>?51`9K77=O;<1b=44?::k25?6=3f8m6=44}c;2>5<4290;w)=>:0c8L64<@:?0e<750;9j54<722e9j7>5;|`:6?6=;3:1<v*<1;3b?M553A9>7d?6:188m47=831d>k4?::a=6<72:0;6=u+3082e>N4:2B896g>9;29?l762900c?h50;9~f<2=8391<7>t$2395d=O;;1C?85f1883>>o693:17b<i:188yg1029086=4?{%12>4g<@:80D>;4i0;94?=n980;66a=f;29?xd003:1?7>50z&05?7f3A997E=:;h3:>5<<a8;1<75`2g83>>{e?00;6>4?:1y'74<6i2B8>6F<5:k2=?6=3`;:6=44o3d94?=zj>k1<7=50;2x 67=9h1C??5G349j5<<722c:=7>5;n0e>5<<uk=i6=4<:183!5628k0D><4H278m4?=831b=<4?::m1b?6=3th<o7>53;294~"493;j7E==;I16?l7>2900e<?50;9l6c<722wi;i4?:283>5}#;80:m6F<2:J01>o613:17d?>:188k7`=831vn:k50;194?6|,:;1=l5G339K70=n900;66g>1;29?j4a2900qo9i:180>5<7s-9:6<o4H208L63<a831<75f1083>>i5n3:17pl70;297?6=8r.8=7?n;I11?M523`;26=44i0394?=h:o0;66sm8383>6<729q/?<4>a:J06>N4=2c:57>5;h32>5<<g;l1<75rb9194?5=83:p(>?51`9K77=O;<1b=44?::k25?6=3f8m6=44}c:7>5<4290;w)=>:0c8L64<@:?0e<750;9j54<722e9j7>5;|`;1?6=;3:1<v*<1;3b?M553A9>7d?6:188m47=831d>k4?::a<3<72:0;6=u+3082e>N4:2B896g>9;29?l762900c?h50;9~f=1=8391<7>t$2395d=O;;1C?85f1883>>o693:17b<i:188yg>?29086=4?{%12>4g<@:80D>;4i0;94?=n980;66a=f;29?xd?13:1?7>50z&05?7f3A997E=:;h3:>5<<a8;1<75`2g83>>{e0h0;6>4?:1y'74<6i2B8>6F<5:k2=?6=3`;:6=44o3d94?=zj1h1<7=50;2x 67=9h1C??5G349j5<<722c:=7>5;n0e>5<<uk2o6=4<:183!5628k0D><4H278m4?=831b=<4?::m1b?6=3th2m7>53;294~"493;j7E==;I16?l7>2900e<?50;9l6c<722wiil4?:483>5}#;809:6F<2:&16?c<@:?0e<k50;9j5c<722c9<7>5;h02>5<<g;k1<75rbd`94?3=83:p(>?5279K77=#:;0;7E=:;h3f>5<<a8l1<75f2183>>o593:17b<n:188ygc1290>6=4?{%12>70<@:80(?<50:J01>o6m3:17d?i:188m76=831b><4?::m1e?6=3thn57>55;294~"4938=7E==;%01>c=O;<1b=h4?::k2b?6=3`8;6=44i3394?=h:h0;66smeb83>0<729q/?<4=6:J06>"5:3:0D>;4i0g94?=n9o0;66g=0;29?l462900c?o50;9~f`1=83?1<7>t$23963=O;;1/>?4?;I16?l7b2900e<h50;9j65<722c9=7>5;n0b>5<<uzh36=4={_`;?8b12830q~?:7;295d}Y9:90R<;>;_37b>X6<m1U=9m4^06a?[73i2T:845Q15:8Z4203W;?:6P>449]512<V8>97S?;1:\205=Y9:l0R<=j;_30`>X6;j1U=>l4^01b?[7412T:955Q1458960=9k1vh;50;068`428l01k?51g9>57c=9o16=?m51g9>57g=9o16=?651g9>570=9o16=?:51g9>574=9o16j84>f:?25g<6n27:9l4>e:?fb?7a34;897?j;<306?7b34;8<7?j;<g;>7g<58:>6<h4=003>4`<58;o6<h4=03:>4`<58;<6<h4=036>4`<58;86<h4=032>4`<58:m6<h4=02g>4`<58:i6<h4=02:>4`<58:<6<h4=020>4`<58::6<h4=gd95c=:nm0:j63ib;3e?8`>28l01k951g9~w0?=838pR874=8395<=z{;h1<7:n{_0a?874?3;:70?n0;32?8b?28;014l5109><`<69272h7?>;<g3>47<5l81=<5218g954=:1j0:=63mf;32?8e728;01nl5109>`3<6927o57?>;<fb>47<5mh1=<52db825>;cl3;:70jj:0389f7=9816o?4>1:?`7?7634i?6<?4=b7954=:k?0:=63l7;32?8e?28;01n75109>gd<6927ho7?>;<ag>47<5jo1=<52cg825>;c83;:70j>:0389a4=9816h>4>1:?g0?7634n>6<?4=e5954=:?<0:=6386;32?8>628;015m5109><c<69272<7?>;<;2>47<5081=<5292825>;><3;:7098:03892>=9816;44>1:?4e?7634=i6<?4=6a954=:?m0:=638e;32?81a28;015>5109><7<69273?7?>;<:7>47<51?1=<5287825>;??3;:7067:0389=?=98164l4>1:?;f?76342o6<?4=8c954=z{89?6=4={_300>;6;<09m6s|dg83>7}Ylo16i=4>9:p1=<72;qU95529182=>{t;m0;6?uQ3e9>32<612wx9n4?:3y]1f=:1=0:56s|18f94?5|V83o70?6e;3:?87483;m7p}>3083>7}Y9:;01<==:3c8yv7213:1?vP>a79]50?<58?j6?o4}r7a>5<5sW?i707<:0;8yv75n3:1>vP>2g9>566=:h1v<7i:180[7>n27:m=4>9:?277<6n2wx9:4?:3y]12=:0o0:56s|1`594?4|V8k<70?n8;0b?xub93:1>vPj1:?f6?7>3ty:?;4?:2y]560<589<6<74=016>4`<uz?=6=4={_75?8>d2830q~?n0;296~;6i909j63>5`815>{t=h0;6?uQ5`9>=7<612wx;?4?:3y><`<5n27n57<?;|q272<72;8p1k=51d9>b4<6m27:?:4=f:?26`<6m27:>n4>e:?26d<6m27:>54>e:?263<6m27:>94>e:?267<6m27m97?j;<32f?7b34;>m7?i;<ge>4c<58:>6<k4=003>4c<58;o6<k4=03:>4c<58;<6<k4=036>4c<58;86<k4=032>4c<58:m6<k4=02g>4c<58:i6<k4=02:>4c<58:<6<k4=020>4c<58::6<k4=gd95`=:nm0:i63ib;3f?8`>28o01k951d9~w`6=8389w0h<:3289c7=:916=?k5219>57e=:916=?o5219>57>=:916=?85219>572=:916=?<5219>b0<5827:=o4=0:?f4?4a34om6?>4=0c;>4c<58:>6?>4=003>76<58;o6?>4=03:>76<58;<6?>4=036>76<58;86?>4=032>76<58:m6?>4=02g>76<58:i6?>4=02:>76<58:<6?>4=020>76<58::6?>4=gd965=:nm09<63ib;03?8`>2;:01k95219~w71=838p1h65209>a<<5i2wxn44?:3y]f<=:l00:56s|7583>7}:1=09j63jb;3f?xu2:3:1>vP:2:?;5?7>3ty?;7>52z\73>;0>3;27p}91;296~;0j38m70kl:0d8yv5d2909wS=l;<56>4?<uz<96=4={<5`>7`<5li1>=5rs4f94?4|5>=1>k52ec82b>{t>:0;6?u27e81b>;bk38:7p}:e;296~;0038m70km:328yv032909w0kk:0g892c=:o1v8h50;0x92?=:o16io4=1:p20<72;q6ii4>f:?4b?4a3ty=<7>52z?4e?4a34oh6<k4}r4a>5<5s42=6?h4=d4964=z{?<1<7<t=df965=:0909j6s|6b83>7}:0>09j63j7;3f?xu1?3:1>v3jd;02?8>52;l0q~8k:1818>?2;l01h951g9~w3>=838p15=52g9>a3<6m2wx:h4?:3y><<<5n27n;7<?;|q5=?6=:r7387<i;<g5>4`<uz<m6=4={<:b>7`<5l=1><5rs7c94?4|51?1>k52e7814>{t?90;6?u2e982b>;?j38m7p}81;296~;b038;706k:3d8yv142909w07<:3d89`?=9l1vh<50;0x9`4=:o16i44>f:p5<c=838p1<7j:3d8943f2;:0q~:k:181[2c342<6<74}r6f>5<5sW>n7067:0;8yv2a2909wS:i;<::>4?<uz?;6=4={_73?8>f2830q~;>:181[36342i6<74}r70>5<5sW?8706k:0;8yv322909wS;:;<:f>4?<uz3n6=4={_;f?8da2830q~o6:181[g>34i;6<74}r`7>5<5sWh?70mm:0;8yv2e2909wS:m;<:6>4?<uz>j6=4={_6b?8>32830q~:6:181[2>34286<74}r6;>5<5sW>3706=:0;8yv212909wS:9;<:3>4?<uz>>6=4={_66?81a2830q~:;:181[2334=n6<74}r60>5<5sW>8709k:0;8yv252909wS:=;<5`>4?<uz>:6=4={_62?81e2830q~:?:181[2734=j6<74}r1e>5<5sW9m7096:0;8yv5b2909wS=j;<5;>4?<uz>h6=4={_6`?8>12830q~?:6;296~X6=?165?4=f:p503=838pR<;:;<;2>7`<uz;>87>52z\211=:1909j6s|14194?4|V8?8706i:3d8yv72:3:1>vP>539><f<5n2wx=9k50;0xZ42b342:6?h4}r377?6=:rT:8>527781b>{t9:21<7<t^01;?8122;l0q~?65;296~X61<16h54=f:p5<5=838pR<7<;<f4>7`<uz;2=7>52z\2=4=:l<09j6s|18294?4|V83;70j;:3d8yv7?n3:1>vP>8g9>`6<5n2wx=5k50;0xZ4>b34n96?h4}r3;`?6=:rT:4i52d081b>{t91i1<7<t^0:`?8b72;l0q~?7b;296~X60k16ok4=f:p5=g=838pR<6n;<af>7`<uz;357>52z\2<<=:km09j6s|19:94?4|V82370ml:3d8yv7?>3:1>vP>879>gd<5n2wx=5;50;0xZ4>234i26?h4}r3;0?6=:rT:4952c981b>{t9191<7<t^0:0?8e02;l0q~?72;296~X60;16o;4=f:p5=7=838pR<6>;<a6>7`<uz;3<7>52z\2<5=:k=09j6s|16d94?4|V8=m70m<:3d8yv70m3:1>vP>7d9>g7<5n2wx=:j50;0xZ41c34i:6?h4}r3:g?6=:rT:5n52dd81b>{t90h1<7<t^0;a?8bc2;l0q~?6a;296~X61h16hn4=f:p5<?=838pR<76;<fa>7`<uz;247>52z\2===:lh09j6s|18594?4|V83<70j6:3d8yv7>>3:1>vP>979>`3<5n2wx=4<50;0xZ4?534ii6?h4}r3;3?6=:rT:4:52c181b>{t9>i1<7<t^05`?8da2;l0q~?n5;296~X6i<165i4=f:p5d5=838pR<o<;<;`>7`<uz;j>7>52z\2e7=:1k09j6s|1`394?4|V8k:707n:3d8yv76n3:1>vP>1g9>576=:h1v<?l:181[76k27:=i4=a:p54>=838pR<?7;<32=?4f3ty:=;4?:3y]540<58;<6?o4}r320?6=:rT:=95210796d=z{8;96=4={_326>;69:09m6s|10294?4|V8;;70?>1;0b?xu68l0;6?uQ11g8946a2;k0q~??c;296~X68j16==j52`9~w46f2909wS??a:?24g<5i2wx==650;0xZ46?34;;57<n;|q243<72;qU==84=024>7g<uz;;>7>52z\247=:9991>l5rs023>5<5sW;;<63>0081e>{tnl0;6?uQfd9>bc<5i2wxjn4?:3y]bf=:nm09m6s|f`83>7}Ynh16jo4=a:pb=<72;qUj552f881e>{tn?0;6?uQf79>b2<5i2wxj94?:3y]b1=:n<09m6s|f383>7}Yn;16j>4=a:pb5<72;qUj=52f081e>{t9;n1<7<t^00g?875m38j7p}>2c83>7}Y9;h01<<l:3c8yv7513:1>vP>289>57g=:h1v<<8:181[75?27:>54=a:p573=838pR<<:;<312?4f3ty:>>4?:3y]575<588?6?o4}r315?6=:rT:><5213096d=z{8;j6=4={_32e>;69k09m6s|11694?4|V8:?70??5;0b?xubm3:1>vPje:?fb?4f3ty257>52z\:=>;>l3;27p}67;296~X>?272o7?6;|q:2?6=:rT2:636b;3:?xu>=3:1>vP65:?:e?7>3ty:;94?:3y]522<588;6??4}r346?6=:rT:;?5210f964=z{8=;6=4={_344>;69009=6s|17d94?4|V8<m70?>7;02?xu6>l0;6?uQ17g894722;;0q~?9d;296~X6>m16=<=5209~w40d2909wS?9c:?254<592wx=;l50;0xZ40e34;;j7<>;|q22d<72;qU=;o4=02g>77<uz;=57>52z\22<=:99h1><5rs04;>5<5sW;=463>08815>{t9?=1<7<t^044?877?38:7p}>6483>7}Y9??01<><:338yv71<3:1>vP>659>557=:81v<8<:181[71;27mj7<>;|q227<72;qU=;<4=gf964=z{8<:6=4={_355>;aj38:7p}>6183>7}Y9?:01k75209~w43a2909wS?:f:?e3?463ty:9h4?:3y]50c<5o?1><5rs07g>5<5sW;>h63i3;02?xu6=j0;6?uQ14a89c7=:81v<9m:181[70j27:>h4=1:p52g=838pR<9n;<31g?463ty:;44?:3y]52?<588j6??4}r34<?6=:rT:;55213:964=z{8=<6=4={_343>;6:?09=6s|16494?4|V8==70?=4;02?xu6?<0;6?uQ167894452;;0q~?81;296~X6?816=<l5209~w4012909wS?96:?240<592wx=8l50;0xZ43e34om6??4}r`4>5<5sWh<70j7:0;8yvd22909wSl:;<f4>4?<uzh86=4={_`0?8b22830q~l=:181[d534n?6<74}r`2>5<5sWh:70j<:0;8yvd72909wSl?;<f1>4?<uzkm6=4={_ce?8b62830q~oj:181[gb34n;6<74}rcg>5<5sWko70mi:0;8yvgd2909wSol;<af>4?<uzki6=4={_ca?8ec2830q~on:181[gf34ih6<74}rc;>5<5sWk370mn:0;8yvg02909wSo8;<a:>4?<uzk=6=4={_c5?8e?2830q~o::181[g234i<6<74}rc7>5<5sWk?70m9:0;8yvg42909wSo<;<a6>4?<uzk96=4={_c1?8e32830q~o>:181[g634i86<74}rc3>5<5sWk;70m=:0;8yv?a2909wS7i;<a2>4?<uzhn6=4={_`f?8bb2830q~lk:181[dc34no6<74}r``>5<5sWhh70jl:0;8yvde2909wSlm;<fa>4?<uzhj6=4={_`b?8bf2830q~?;:1818cc2;k01ho5219~w43=838p1ho5209>a3<5i2wx=?4?:3y>ad<6m27nn7<n;|q1<?6=:r7nm7<n;<g:>77<uz;=6=4={<g;>4c<5l=1>l5rs0194?4|5lk1=k52eb81e>{zf::j6=4>{I11?xh48k0;6<uG339~j66d290:wE==;|l04a<728qC??5rn22f>5<6sA997p`<0g83>4}O;;1vb>??:182M553td8=<4?:0yK77=zf:;96=4>{I11?xh49:0;6<uG339~j673290:wE==;|l050<728qC??5rn235>5<6sA997p`<1683>4}O;;1vb>?7:182M553td8=44?:0yK77=zf:;j6=4>{I11?xh49k0;6<uG339~j67d290:wE==;|l05a<728qC??5rn23f>5<6sA997p`<1g83>4}O;;1vb><?:182M553td8><4?:0yK77=zf:896=4>{I11?xh4::0;6<uG339~j643290:wE==;|l060<728qC??5rn205>5<6sA997p`<2683>4}O;;1vb><7:182M553td8>44?:0yK77=zf:8j6=4>{I11?xh4:k0;6<uG339~j64d290:wE==;|l06a<728qC??5rn20f>5<6sA997p`<2g83>4}O;;1vb>=?:182M553td8?<4?:0yK77=zf:996=4>{I11?xh4;:0;6<uG339~j653290:wE==;|l070<728qC??5rn215>5<6sA997p`<3683>4}O;;1vb>=7:182M553td8?44?:0yK77=zf:9j6=4>{I11?xh4;k0;6<uG339~j65d290:wE==;|l07a<728qC??5rn21f>5<6sA997p`<3g83>4}O;;1vb>:?:182M553td88<4?:0yK77=zf:>96=4>{I11?xh4<:0;6<uG339~j623290:wE==;|l000<728qC??5rn265>5<6sA997p`<4683>4}O;;1vb>:7:182M553td8844?:0yK77=zf:>j6=4>{I11?xh4<k0;6<uG339~j62d290:wE==;|l00a<728qC??5rn26f>5<6sA997p`<4g83>4}O;;1vb>;?:182M553td89<4?:0yK77=zf:?96=4>{I11?xh4=:0;6<uG339~j633290:wE==;|l010<728qC??5rn275>5<6sA997p`<5683>4}O;;1vb>;7:182M553td8944?:0yK77=zf:?j6=4>{I11?xh4=k0;6<uG339~j63d290:wE==;|l01a<728qC??5rn27f>5<6sA997p`<5g83>4}O;;1vb>8?:182M553td8:<4?:0yK77=zf:<96=4>{I11?xh4>:0;6<uG339~j603290:wE==;|l020<728qC??5rn245>5<6sA997p`<6683>4}O;;1vb>87:182M553td8:44?:0yK77=zf:<j6=4>{I11?xh4>k0;6<uG339~j60d290:wE==;|l02a<728qC??5rn24f>5<6sA997p`<6g83>4}O;;1vb>9?:182M553td8;<4?:0yK77=zf:=96=4>{I11?xh4?:0;6<uG339~j613290:wE==;|l030<728qC??5rn255>5<6sA997p`<7683>4}O;;1vb>97:182M553td8;44?:0yK77=zf:=j6=4>{I11?xh4?k0;6<uG339~j61d290:wE==;|l03a<728qC??5rn25f>5<6sA997p`<7g83>4}O;;1vb>6?:182M553td84<4?:0yK77=zf:296=4>{I11?xh40:0;6<uG339~j6>3290:wE==;|l0<0<728qC??5rn2:5>5<6sA997p`<8683>4}O;;1vb>67:182M553td8444?:0yK77=zf:2j6=4>{I11?xh40k0;6<uG339~j6>d290:wE==;|l0<a<728qC??5rn2:f>5<6sA997p`<8g83>4}O;;1vb>7?:182M553td85<4?:0yK77=zf:396=4>{I11?xh41:0;6<uG339~j6?3290:wE==;|l0=0<728qC??5rn2;5>5<6sA997p`<9683>4}O;;1vb>77:182M553td8544?:0yK77=zf:3j6=4>{I11?xh41k0;6<uG339~j6?d290:wE==;|l0=a<728qC??5rn2;f>5<6sA997p`<9g83>4}O;;1vb>o?:182M553td8m<4?:0yK77=zf:k96=4>{I11?xh4i:0;6<uG339~j6g3290:wE==;|l0e0<728qC??5rn2c5>5<6sA997p`<a683>4}O;;1vb>o7:182M553td8m44?:0yK77=zf:kj6=4>{I11?xh4ik0;6<uG339~j6gd290:wE==;|l0ea<728qC??5rn2cf>5<6sA997p`<ag83>4}O;;1vb>l?:182M553td8n<4?:0yK77=zf:h96=4>{I11?xh4j:0;6<uG339~j6d3290:wE==;|l0f0<728qC??5rn2`5>5<6sA997p`<b683>4}O;;1vb>l7:182M553td8n44?:0yK77=zf:hj6=4>{I11?xh4jk0;6<uG339~j6dd290:wE==;|l0fa<728qC??5rn2`f>5<6sA997p`<bg83>4}O;;1vb>m?:182M553td8o<4?:0yK77=zf:i96=4>{I11?xh4k:0;6<uG339~j6e3290:wE==;|l0g0<728qC??5rn2a5>5<6sA997p`<c683>4}O;;1vb>m7:182M553td8o44?:0yK77=zf:ij6=4>{I11?xh4kk0;6<uG339~j6ed290:wE==;|l0ga<728qC??5rn2af>5<6sA997p`<cg83>4}O;;1vb>j?:182M553td8h<4?:0yK77=zf:n96=4>{I11?xh4l:0;6<uG339~j6b3290:wE==;|l0`0<728qC??5rn2f5>5<6sA997p`<d683>4}O;;1vb>j7:182M553td8h44?:0yK77=zf:nj6=4>{I11?xh4lk0;6<uG339~j6bd290:wE==;|l0`a<728qC??5rn2ff>5<6sA997p`<dg83>4}O;;1vb>k?:182M553td8i<4?:0yK77=zf:o96=4>{I11?xh4m:0;6<uG339~j6c3290:wE==;|l0a0<728qC??5rn2g5>5<6sA997p`<e683>4}O;;1vb>k7:182M553td8i44?:0yK77=zf:oj6=4>{I11?x{zuIJHw9ml:24ba5c2>tJKNv>r@ARxyEF
/trunk/opb2wb_v1_00_a/doc/license.txt
0,0 → 1,33
Copyright (C) 2000-2003, ASICs World Services, LTD.
All rights reserved.
 
Redistribution and use in source, netlist, binary and silicon
forms, with or without modification, are permitted provided
that the following conditions are met:
 
* Redistributions of source code must retain the above
copyright notice, this list of conditions and the
following disclaimer.
 
* Redistributions in binary form must reproduce the
above copyright notice, this list of conditions and
the following disclaimer in the documentation and/or
other materials provided with the distribution.
 
* Neither the name of ASICS World Services, the Authors
and/or the names of its contributors may be used to
endorse or promote products derived from this software
without specific prior written permission.
 
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
POSSIBILITY OF SUCH DAMAGE.
/trunk/opb2wb_v1_00_a/doc/readme.txt
0,0 → 1,6
WISHBONE to OPB Wrapper
 
Simple interface wrapper, featuring Wishbone Slave and OPB Master interfaces.
 
About 44 Slices, 2 Slice Flip Flops and 77 LUTs
 
/trunk/opb2wb_v1_00_a/hdl/verilog/opb2wb_shell.v
0,0 → 1,96
/////////////////////////////////////////////////////////////////////
//// ////
//// OPB to WISHBONE interface wrapper ////
//// ////
//// ////
//// Author: Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// ////
//// Proprietary and Confidential Information of ////
//// ASICS World Services, LTD ////
//// ////
/////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2000-2004 ASICS World Services, LTD. ////
//// www.asics.ws ////
//// info@asics.ws ////
//// ////
//// This software is provided under license and contains ////
//// proprietary and confidential material which are the ////
//// property of ASICS World Services, LTD. ////
//// ////
//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ////
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ////
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ////
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ////
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ////
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ////
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ////
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ////
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ////
//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ////
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ////
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ////
//// POSSIBILITY OF SUCH DAMAGE. ////
//// ////
/////////////////////////////////////////////////////////////////////
 
// $Id: $
 
module opb2wb( OPB_Clk, rst,
 
// OPB Slave Interface (Connect to OPB Master)
opb_abus, opb_be, opb_dbus, opb_rnw, opb_select, opb_seqaddr,
sl_dbus, sl_errack, sl_retry, sl_toutsup, sl_xferack,
 
// WISHBONE Master Interface (Connect to WB Slave)
wb_data_o, wb_data_i, wb_addr_o,
wb_cyc_o, wb_stb_o, wb_sel_o, wb_we_o, wb_ack_i, wb_err_i, wb_rty_i
);
 
////////////////////////////////////////////////////////////////////
//
// Parameter
//
 
parameter C_BASEADDR = 32'h8000_0000,
C_HIGHADDR = 32'h8000_00ff;
 
////////////////////////////////////////////////////////////////////
//
// Inputs & Outputs
//
 
// --------------------------------------
// System IO
input OPB_Clk;
input rst;
 
// --------------------------------------
// OPB Slave Interface (Connect to OPB Master)
input [31:0] opb_abus;
input [3:0] opb_be;
input [31:0] opb_dbus;
input opb_rnw;
input opb_select;
input opb_seqaddr;
 
output [31:0] sl_dbus;
output sl_errack;
output sl_retry;
output sl_toutsup;
output sl_xferack;
 
// --------------------------------------
// WISHBONE Master Interface (Connect to WB Slave)
output [31:0] wb_data_o;
input [31:0] wb_data_i;
output [31:0] wb_addr_o;
output wb_cyc_o, wb_stb_o;
output [3:0] wb_sel_o;
output wb_we_o;
input wb_ack_i, wb_err_i, wb_rty_i;
 
endmodule
 
/trunk/opb2wb_v1_00_a/data/opb2wb_v2_1_0.pao
0,0 → 1,12
################################################################################
##
## Copyright (C) 2000-2004 ASICS World Services, LTD.
## www.asics.ws
## info@asics.ws
##
## Author: Rudolf Usselmann
## rudi@asics.ws
##
################################################################################
 
lib opb2wb_v1_00_a opb2wb
/trunk/opb2wb_v1_00_a/data/opb2wb_v2_1_0.mpd
0,0 → 1,58
################################################################################
##
## Copyright (C) 2000-2004 ASICS World Services, LTD.
## www.asics.ws
## info@asics.ws
##
## Author: Rudolf Usselmann
## rudi@asics.ws
##
################################################################################
 
BEGIN opb2wb
 
## Peripheral Options
OPTION IPTYPE = BRIDGE
OPTION IMP_NETLIST = TRUE
OPTION CORE_STATE = ACTIVE
OPTION STYLE = MIX
OPTION HDL = VERILOG
 
## Bus Interfaces
BUS_INTERFACE BUS = SOPB, BUS_STD = OPB, BUS_TYPE = SLAVE
 
## Generics for VHDL or Parameters for Verilog
PARAMETER C_BASEADDR = 0x80000000, DT = std_logic_vector, BUS = SOPB, ADDRESS = BASE, MIN_SIZE = 0x100
PARAMETER C_HIGHADDR = 0x800000ff, DT = std_logic_vector, BUS = SOPB, ADDRESS = HIGH
 
# Shared Signals
PORT OPB_Clk = "", DIR = IN, SIGIS = CLK, BUS = SOPB
PORT SYS_Rst = OPB_Rst, DIR = IN, SIGIS = RST, BUS = SOPB
 
# Ports OPB Slave Attachment
PORT opb_abus = OPB_ABus, DIR = IN, VEC = [0:31], BUS = SOPB
PORT opb_be = OPB_BE, DIR = IN, VEC = [0:3], BUS = SOPB
PORT opb_dbus = OPB_DBus, DIR = IN, VEC = [0:31], BUS = SOPB
PORT opb_rnw = OPB_RNW, DIR = IN, BUS = SOPB
PORT opb_select = OPB_select, DIR = IN, BUS = SOPB
PORT opb_seqaddr = OPB_seqAddr, DIR = IN, BUS = SOPB
PORT sl_dbus = Sl_DBus, DIR = OUT, VEC = [0:31], BUS = SOPB
PORT sl_errack = Sl_errAck, DIR = OUT, BUS = SOPB
PORT sl_retry = Sl_retry, DIR = OUT, BUS = SOPB
PORT sl_toutsup = Sl_toutSup, DIR = OUT, BUS = SOPB
PORT sl_xferack = Sl_xferAck, DIR = OUT, BUS = SOPB
 
# WISHBONE Master Interface
PORT wb_data_o = "", DIR = OUT, VEC = [31:0]
PORT wb_data_i = "", DIR = IN, VEC = [31:0]
PORT wb_addr_o = "", DIR = OUT, VEC = [31:0]
PORT wb_cyc_o = "", DIR = OUT
PORT wb_stb_o = "", DIR = OUT
PORT wb_sel_o = "", DIR = OUT, VEC = [3:0]
PORT wb_we_o = "", DIR = OUT
PORT wb_ack_i = "", DIR = IN
PORT wb_err_i = "", DIR = IN
PORT wb_rty_i = "", DIR = IN
 
END
 
/trunk/opb2wb_v1_00_a/data/opb2wb_v2_1_0.bbd
0,0 → 1,15
################################################################################
##
## Copyright (C) 2000-2004 ASICS World Services, LTD.
## www.asics.ws
## info@asics.ws
##
## Author: Rudolf Usselmann
## rudi@asics.ws
##
################################################################################
 
Files
################################################################################
opb2wb_v1_00_a.edn
 
/trunk/wb2opb_v1_00_a/netlist/wb2opb.ngc
0,0 → 1,3
XILINX-XDB 0.1 STUB 0.1 ASCII
XILINX-XDM V1.4e
$04;1=781:n7?};01g.bb22>$<::6==:HLSQQ<tdVniy~2<1;2=5==4:3CE\XZ5wc]b`atXa59:6=0>8:11>LHW]]0|nRjnt`]j867=87;37><5OTVSQQ<hxkUjnx}33083:4><;;0DYY^ZT;msfZbe}z68=7>118906?IR\Y__6zlPd`vb[j:493:5>6:?1497>LHW]]0|nR}jl^k?7?699=1?6B[[PTV9kudXjl686=0=;927?=67811IY^QFNGM2?F4<KL20OEAFCD03<>EOG@IN>;=4CMP:?FIJE@^_II94CSGBP@B63M>0J?HN4:DGG@4<NL;0K>5HNE68L+26:2C;>6G>2:K16>O412CEEY][AUG6?Ncu:8=0@BIFC@N7?ISS:=1GYY=;;MWW02=IM]]D^F?4O69LTGYDdb>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM81Z:6]@USAF0>R^XLi0Y^K]_WKPMGJB12\BIZQ[YQG2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK5?]USD@H<7U][_FLG2>^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo18\vr13Vd;<=87;^l34506<11Tb=>?60:4?Zh789<9n6ab^caqv:76j1d|oQnbtq?4;7d3fziSllzs=33:a=hxkUjnx}311<2g>iwjVkiy~2>1?f8kudXikx0<?11b9ltgYfj|y7=?0k;nra[ddr{5;92<m4oq`\egst4895h6ab^caqv:6;7;h7b~m_``vw9736m1d|oQnbtq?5186k2e{nRomur>21;b<gyhTmo{|<07=5f=hxkUjnx}317<g?jveWhh~1?9>0a8kudXikx0<91d:msfZge}z6:;3?l;nra[ddr{5;32i5`pc]bfpu;914:o6ab^caqv:617n0c}lPacwp84?99k1d|oQnbtq?5;e<gyhTmo{|<0<2g>iwjVkiy~2=0?f8kudXikx0?>11b9ltgYfj|y7><0k;nra[ddr{58:2<m4oq`\egst4;85h6ab^caqv:5:7;h7b~m_``vw9446m1d|oQnbtq?6686k2e{nRomur>10;b<gyhTmo{|<36=5f=hxkUjnx}324<g?jveWhh~1<:>0a8kudXikx0?81d:msfZge}z69:3?l;nra[ddr{58<2i5`pc]bfpu;:>4:o6ab^caqv:507n0c}lPacwp87>99j1d|oQnbtq?6<8c3fziSllzs=0::4d<gyhTmo{|<3<`?jveWhh~1<11b9ltgYfj|y7?=0k;nra[ddr{59;2<k4oq`\egst4:;1<3m4oq`\egst4:;5h6ab^caqv:497;i7b~m_``vw959k2e{nRomur>0:4d<gyhTmo{|<5<`?jveWhh~1:11c9ltgYfj|y793m4oq`\egst4<4:n6ab^caqv:16j1d|oQnbtq?2;7e3fziSllzs=5=g>iwjVkiy~28>0`8kudXikx050l;nra[ddr{525=o5`pc]bfpu;17i0c}lPacwp8<8612e{nRlj<1<b?jveWko7<3?6;nra[gc;97k0c}lPbd>2:4?<gyhTnh2=>`9ltgYem585=o5`pc]aa95=8730c}lPbd>0:d=hxkUii1=11c9ltgYe}zfdofl4oq`\`gst494h7b~m_e`vw9699j1d|oQkbtq?558c3fziSilzs=33:4e<gyhTho{|<03=`>iwjVniy~2>1?3`?jveWmh~1?=>e9ltgYcj|y7=?0>c:msfZbe}z6:?3j4oq`\`gst4895=n5`pc]gfpu;9=4o7b~m_e`vw97368i0c}lPdcwp8439l2e{nRjmur>21;7d3fziSilzs=35:a=hxkUonx}317<2g>iwjVniy~2>7?f8kudXlkx0<911b9ltgYcj|y7=50k;nra[adr{5;32<m4oq`\`gst4835h6ab^faqv:617;i7b~m_e`vw979k2e{nRjmur>2:4e<gyhTho{|<32=`>iwjVniy~2=0?3`?jveWmh~1<>>e9ltgYcj|y7><0>c:msfZbe}z69>3j4oq`\`gst4;85=n5`pc]gfpu;::4o7b~m_e`vw94468i0c}lPdcwp8729l2e{nRjmur>10;7d3fziSilzs=06:a=hxkUonx}324<2g>iwjVniy~2=6?f8kudXlkx0?811b9ltgYcj|y7>:0k;nra[adr{58<2<m4oq`\`gst4;25h6ab^faqv:507;h7b~m_e`vw94>6m1d|oQkbtq?6<86j2e{nRjmur>1:f=hxkUonx}32?3`?jveWmh~1=?>e9ltgYcj|y7?=0>e:msfZbe}z68=7>1c:msfZbe}z68=3j4oq`\`gst4:;5=o5`pc]gfpu;;7i0c}lPdcwp8686j2e{nRjmur>7:f=hxkUonx}34?3a?jveWmh~1;1c:msfZbe}z6>2<l4oq`\`gst4?4h7b~m_e`vw9099k1d|oQkbtq?3;e<gyhTho{|<6<2f>iwjVniy~27>b9ltgYcj|y743?m;nra[adr{535o6ab^faqv:>68=0c}lPgov:?jveWndSl4oq`\ckrXzV;<7b~m_sgr<>iwjVxn}<94oq`\vkq?3fziS`x1`9ltgYtmeohxo5`pc]patgcl{90~~zn;rn\`gst494i7~bPdcwp8469j2ygSilzs=32:g=tdVniy~2>2?`8wiYcj|y7=>0m;rn\`gst48>5n6}c_e`vw9726k1x`Rjmur>22;d<{eUonx}316<a?vjXlkx0<61b:qo[adr{5;22l5|l^faqv:66k1x`Rjmur>14;d<{eUonx}320<a?vjXlkx0?<1b:qo[adr{5882o5|l^faqv:5<7h0aQkbtq?608e3zfTho{|<34=f>ukWmh~1<8>c9phZbe}z6943l4sm]gfpu;:04j7~bPdcwp878e3zfTho{|<22=`>ukWmh~1=>:1<a?vjXlkx0>?1a:qo[adr{595m6}c_e`vw929i2ygSilzs=7=e>ukWmh~181a:qo[adr{5=5m6}c_e`vw9>9i2ygSilzs=;==>ukWlxymne7;rn\v`ruqh1x`Rviesc`o3=pj;e{n55xb^c`oZi>3~hTmnePo0a8sgYflmxTe1>1d:ua[dbczVc7==0k;v`\eabuW`6:=3j4wc]b`atXa5;92i5xb^cg`wYn4895h6ym_`fgvZo;9=4o7zlPaefq[l:6=7n0{oQndep\m9716m1|nRokds]j8419l2}iSljkr^k?5=8c3~hTmij}_h>2=;e<kUjhi|Pi=3=`>qeWhno~Rg321<g?rdXimnySd2=1?f8sgYflmxTe1<=>e9tfZgcl{Ub0?=1d:ua[dbczVc7>90k;v`\eabuW`6993j4wc]b`atXa58=2i5xb^cg`wYn4;=5h6ym_`fgvZo;:14o7zlPaefq[l:517i0{oQndep\m949l2}iSljkr^k?758a3~hTmij}_h>05?69l2}iSljkr^k?748d3~hTmij}_h>0:f=pjVkohQf<5<`?rdXimnySd2:>b9tfZgcl{Ub0;0l;v`\eabuW`6<2n5xb^cg`wYn414h7zlPaefq[l:>611|nRmvc^k`?rdXlh~jSd2?>e9tfZbf|hUb0<>1d:ua[agsiVc7=<0k;v`\`drfW`6:>3j4wc]geqgXa5;82i5xb^fbpdYn48>5h6ym_ecweZo;9<4o7zlPd`vb[l:6>7n0{oQkauc\m9706m1|nRjnt`]j84>9l2}iSio{a^k?5<8d3~hThlzn_h>2:a=pjVnjxlQf<32=`>qeWmkmRg320<g?rdXlh~jSd2=2?f8sgYci}kTe1<<>e9tfZbf|hUb0?:1d:ua[agsiVc7>80k;v`\`drfW`69:3j4wc]geqgXa58<2i5xb^fbpdYn4;25h6ym_ecweZo;:04h7zlPd`vb[l:56m1|nRjnt`]j8669n2}iSio{a^k?74<76m1|nRjnt`]j8679k2}iSio{a^k?7;e<kUomyoPi=6=g>qeWmkmRg35?a8sgYci}kTe181c:ua[agsiVc7;3m4wc]geqgXa525o6ym_ecweZo;17i0{oQkauc\k969l2}iSio{a^m?558c3~hThlzn_n>25;b<kUomyoPo=31:a=pjVnjxlQ`<01=`>qeWmkmRa315<g?rdXlh~jSb2>5?f8sgYci}kTc1?9>e9tfZbf|hUd0<91d:ua[agsiVe7=50k;v`\`drfWf6:53m4wc]geqgXg5;5h6ym_ecweZi;:94o7zlPd`vb[j:597n0{oQkauc\k9456m1|nRjnt`]l8759l2}iSio{a^m?618c3~hThlzn_n>11;b<kUomyoPo=05:a=pjVnjxlQ`<35=`>qeWmkmRa329<g?rdXlh~jSb2=9?a8sgYci}kTc1<1d:ua[agsiVe7?=0i;v`\`drfWf68=7>1d:ua[agsiVe7?<0l;v`\`drfWf682n5xb^fbpdYh4=4h7zlPd`vb[j:26j1|nRjnt`]l838d3~hThlzn_n>4:f=pjVnjxlQ`<9<`?rdXlh~jSb26>99tfZcuzVe27zlPesp\k4><kUyxtQ`9:ua[wr~Wf;i7zlPsdn\m969j2}iS~kc_h>2:g=pjVyn`Rg32?f8sgYtmeUb0>4?>c9tfZubdVc7?364wc]ppgYn?2}iSzkPi|BCt14a3IJsj;4I:382V732:=1=o4>30d03g<5<m>9wc=::09m73<33-9?6>?4}R30>61=9k0:?<h<7c810a253ml=6=4>:0yP51<4?3;i6<=>f25a>72c<;1}X?j50;395?dcsZ;?6>951c8274`4?k098i:=;%13>74<,;31=6li6;29`<<283howWj58z190??=:3k1;7855;'6`<a>2B9j6g>6783>>oc93:17d<7:188mc3=831bj94?::k25d<722cm?7>5;h353?6=3`i36=44i031>5<#:k0:=<5a2`83?>o6990;6)<m:032?k4f2810e<>j:18'6g<6981e>l4=;:k24a<72-8i6<?>;o0b>6=<a8:h6=4+2c8254=i:h0?76g>0c83>!4e28;:7c<n:498m46f290/>o4>109m6d<132c:<44?:%0a>4763g8j6:54i02;>5<#:k0:=<5a2`8;?>o68>0;6)<m:032?k4f2010e<>9:18'6g<6981e>l4n;:k240<72-8i6<?>;o0b>g=<a8:86=4+2c8254=i:h0h76g>0383>!4e28;:7c<n:e98m466290/>o4>109m6d<b32c:<=4?:%0a>4763g8j6k54igd94?"5j3;:=6`=a;33?>oam3:1(?l51038j7g=9810ekj50;&1f?7692d9m7?=;:keg?6=,;h1=<?4n3c956=<aoh1<7*=b;325>h5i3;?76gia;29 7d=98;0b?o51498m47>290/>o4>109m6d<6>21b=<650;&1f?7692d9m7?8;:k252<72-8i6<?>;o0b>4><3`;::7>5$3`9547<f;k1=454i036>5<#:k0:=<5a2`82e>=n98>1<7*=b;325>h5i3;i76g>1283>!4e28;:7c<n:0a8?l77n3:1(?l51038j7g=9m10e<>;:18'6g<6981e>l4>e:9jb<<72-8i6<?>;o0b>4`<3`;887>5$3`9565<f;k1<65f12094?"5j3;8?6`=a;38?l7483:1(?l51218j7g=:21b=?h50;&1f?74;2d9m7=4;h31a?6=,;h1=>=4n3c90>=n9;n1<7*=b;307>h5i3?07d?=c;29 7d=9:90b?o56:9j57d=83.9n7?<3:l1e?1<3`;9m7>5$3`9565<f;k1465f13;94?"5j3;8?6`=a;;8?l7503:1(?l51218j7g=i21b=?950;&1f?74;2d9m7l4;h311?6=,;h1=>=4n3c9g>=n9;>1<7*=b;307>h5i3n07d?=3;29 7d=9:90b?o5e:9j574=83.9n7?<3:l1e?`<3`;9=7>5$3`9565<f;k1==54i003>5<#:k0:?>5a2`825>=n98l1<7*=b;307>h5i3;976g>1d83>!4e28987c<n:018?l76l3:1(?l51218j7g=9=10e<?l:18'6g<6;:1e>l4>5:9j56d=83.9n7?<3:l1e?7132c:?l4?:%0a>4543g8j6<94;h30=?6=,;h1=>=4n3c95==<a8936=4+2c8276=i:h0:565f12594?"5j3;8?6`=a;3b?>o6;?0;6)<m:010?k4f28h07d?<5;29 7d=9:90b?o51b98m456290/>o4>329m6d<6l21b=?850;&1f?74;2d9m7?j;:k25g<72-8i6<=<;o0b>4`<3`;=97>5$3`9532<f;k1<65f17194?"5j3;=86`=a;38?l71:3:1(?l51768j7g=:21b=;?50;&1f?71<2d9m7=4;hga>5<#:k0nm6`=a;28?lc>290/>o4ja:l1e?7<3`o<6=4+2c8fe>h5i3807dk9:18'6g<bi2d9m7=4;hg6>5<#:k0nm6`=a;68?lc3290/>o4ja:l1e?3<3`o86=4+2c8fe>h5i3<07dk=:18'6g<bi2d9m794;hg2>5<#:k0nm6`=a;:8?lc7290/>o4ja:l1e??<3`nm6=4+2c8fe>h5i3k07djj:18'6g<bi2d9m7l4;hf`>5<#:k0nm6`=a;a8?lbe290/>o4ja:l1e?b<3`nj6=4+2c8fe>h5i3o07dj6:18'6g<bi2d9m7h4;hf;>5<#:k0nm6`=a;33?>oc?3:1(?l5e`9m6d<6921bh;4?:%0a>`g<f;k1=?54ie794?"5j3oj7c<n:018?lb3290/>o4ja:l1e?7332co?7>5$3`9ad=i:h0:965ff383>!4e2lk0b?o51798mc7=83.9n7kn;o0b>41<3`l;6=4+2c8fe>h5i3;376gjf;29 7d=mh1e>l4>9:9ja`<72-8i6ho4n3c95d=<aln1<7*=b;gb?k4f28h07dkl:18'6g<bi2d9m7?l;:kf<?6=,;h1il5a2`82`>=nlm0;6)<m:dc8j7g=9l10ei<50;&1f?cf3g8j6<h4;naa>5<<gjn1<75`14f94?=h0?0;66a>5g83>>idn3:17bh8:188ka6=831d494?:%0a>=5<f;k1<65`8083>!4e2190b?o51:9l3c<72-8i65=4n3c96>=h?m0;6)<m:918j7g=;21d=8;50;&1f?72<2d9m7>4;n367?6=,;h1=8:4n3c95>=h9<;1<7*=b;360>h5i3807b?:0;29 7d=9<>0b?o53:9l51`=83.9n7?:4:l1e?2<3f;?i7>5$3`9502<f;k1965`15f94?"5j3;>86`=a;48?j73k3:1(?l51468j7g=?21d=9l50;&1f?72<2d9m764;n37e?6=,;h1=8:4n3c9=>=h9=31<7*=b;360>h5i3k07b?;8;29 7d=9<>0b?o5b:9l510=83.9n7?:4:l1e?e<3f;?97>5$3`9502<f;k1h65`15694?"5j3;>86`=a;g8?j73;3:1(?l51468j7g=n21d=9<50;&1f?72<2d9m7??;:m204<72-8i6<;;;o0b>47<3f;?<7>5$3`9502<f;k1=?54o01e>5<#:k0:995a2`827>=h9:o1<7*=b;360>h5i3;?76a>3e83>!4e28??7c<n:078?j72k3:1(?l51468j7g=9?10c<;m:18'6g<6==1e>l4>7:9l50g=83.9n7?:4:l1e?7?32e:944?:%0a>4333g8j6<74;n36<?6=,;h1=8:4n3c95d=<g8?<6=4+2c8211=i:h0:n65`14494?"5j3;>86`=a;3`?>i6=;0;6)<m:077?k4f28n07b?;7;29 7d=9<>0b?o51d98k45d290/>o4>559m6d<6n21dn54?:%0a>g1<f;k1<65`b483>!4e2k=0b?o51:9lf4<72-8i6o94n3c96>=hio0;6)<m:c58j7g=;21dmi4?:%0a>g1<f;k1865`ac83>!4e2k=0b?o55:9le<<72-8i6o94n3c92>=hi>0;6)<m:c58j7g=?21dm84?:%0a>g1<f;k1465`a283>!4e2k=0b?o59:9le4<72-8i6o94n3c9e>=h1o0;6)<m:c58j7g=j21d5o4?:%0a>g1<f;k1o65`9883>!4e2k=0b?o5d:9l=2<72-8i6o94n3c9a>=h1<0;6)<m:c58j7g=n21d5>4?:%0a>g1<f;k1==54o8394?"5j3h<7c<n:038?j>a290/>o4m7:l1e?7532e3h7>5$3`9f2=i:h0:?65`8c83>!4e2k=0b?o51598k=?=83.9n7l8;o0b>43<3fi=6=4+2c8a3>h5i3;=76al4;29 7d=j>1e>l4>7:9lg7<72-8i6o94n3c95==<gj:1<7*=b;`4?k4f28307blj:18'6g<e?2d9m7?n;:mag?6=,;h1n:5a2`82f>=hjh0;6)<m:c58j7g=9j10co=50;&1f?d03g8j6<j4;n;g>5<#:k0i;6`=a;3f?>i??3:1(?l5b69m6d<6n21d:i4?:%0a>3e<f;k1<65`6`83>!4e2?i0b?o51:9l23<72-8i6;m4n3c96>=h>=0;6)<m:7a8j7g=;21d:?4?:%0a>3e<f;k1865`6183>!4e2?i0b?o55:9l1`<72-8i6;m4n3c92>=h=j0;6)<m:7a8j7g=?21d9l4?:%0a>3e<f;k1465`5983>!4e2?i0b?o59:9l13<72-8i6;m4n3c9e>=h==0;6)<m:7a8j7g=j21d9=4?:%0a>3e<f;k1o65`4d83>!4e2?i0b?o5d:9l0f<72-8i6;m4n3c9a>=h<h0;6)<m:7a8j7g=n21d854?:%0a>3e<f;k1==54o5494?"5j3<h7c<n:038?j23290/>o49c:l1e?7532e?>7>5$3`92f=i:h0:?65`4183>!4e2?i0b?o51598k6c=83.9n78l;o0b>43<3f=i6=4+2c85g>h5i3;=76a89;29 7d=>j1e>l4>7:9l32<72-8i6;m4n3c95==<g>?1<7*=b;4`?k4f28307b9<:18'6g<1k2d9m7?n;:m45?6=,;h1:n5a2`82f>=h>o0;6)<m:7a8j7g=9j10c;650;&1f?0d3g8j6<j4;n71>5<#:k0=o6`=a;3f?>i4k3:1(?l56b9m6d<6n21iol4?:483>5}#:l0:;6F=f:J06>o6=3:17dh50;9j57<722cn6=44o3a94?=zj=?1<7;50;2x 7c=:<1C>k5+218`?M553`;h6=44i0f94?=n9l0;66g>f;29?j402900qo:8:186>5<7s-8n6?;4H3d8 76=k2B8>6g>c;29?l7c2900e<k50;9j5c<722e9;7>5;|`7=?6==3:1<v*=e;06?M4a3-8;6n5G339j5f<722c:h7>5;h3f>5<<a8l1<75`2683>>{e;h0;684?:1y'6`<5=2B9j6*=0;35?M553`;h6=44i0f94?=n9l0;66g>f;29?j402900qom6:186>5<7s-8n6<94H3d8L64<a8?1<75ff;29?l752900eh4?::m1g?6=3th9=7>54;294~"5m38?7E<i;%03>g=O;;1b=n4?::k2`?6=3`;n6=44o3594?=zj:91<7?50;2x 7c=9h1C>k5G339l5<<722wi8o4?:483>5}#:l0996F=f:&14?e<@:80e<m50;9j5a<722c:i7>5;h3e>5<<g;=1<75rb5f94?3=83:p(?k5249K6c=#:90h7E==;h3`>5<<a8n1<75f1d83>>o6n3:17b<8:188yg2a290>6=4?{%0f>73<@;l0(?>5c:J06>o6k3:17d?k:188m4c=831b=k4?::m13?6=3th>=7>55;294~"5m38>7E<i;%03>f=O;;1b=n4?::k2`?6=3`;n6=44i0d94?=h:>0;66sm5483>0<729q/>h4=5:J1b>"583i0D><4i0a94?=n9m0;66g>e;29?l7a2900c?950;9~f01=83?1<7>t$3g960=O:o1/>=4l;I11?l7d2900e<j50;9j5`<722c:j7>5;n04>5<<uk?26=4::183!4b2;?0D?h4$329g>N4:2c:o7>5;h3g>5<<a8o1<75f1g83>>i5?3:17pl:b;291?6=8r.9i7<:;I0e?!472j1C??5f1b83>>o6l3:17d?j:188m4`=831d>:4?::a1a<72<0;6=u+2d811>N5n2.9<7m4H208m4e=831b=i4?::k2a?6=3`;m6=44o3594?=zj<l1<7;50;2x 7c=:<1C>k5+218`?M553`;h6=44i0f94?=n9l0;66g>f;29?j402900qo8>:186>5<7s-8n6?;4H3d8 76=k2B8>6g>c;29?l7c2900e<k50;9j5c<722e9;7>5;|`57?6==3:1<v*=e;06?M4a3-8;6n5G339j5f<722c:h7>5;h3f>5<<a8l1<75`2683>>{e><0;684?:1y'6`<5=2B9j6*=0;a8L64<a8i1<75f1e83>>o6m3:17d?i:188k71=831vn>h50;794?6|,;o1>85G2g9'65<d3A997d?l:188m4b=831b=h4?::k2b?6=3f8<6=44}c44>5<2290;w)<j:378L7`<,;:1o6F<2:k2g?6=3`;o6=44i0g94?=n9o0;66a=7;29?xd1j3:197>50z&1a?423A8m7)<?:b9K77=n9j0;66g>d;29?l7b2900e<h50;9l62<722wi:h4?:483>5}#:l0996F=f:&14?e<@:80e<m50;9j5a<722c:i7>5;h3e>5<<g;=1<75rb9:94?3=83:p(?k5249K6c=#:90h7E==;h3`>5<<a8n1<75f1d83>>o6n3:17b<8:188yg?b290>6=4?{%0f>73<@;l0(?>5c:J06>o6k3:17d?k:188m4c=831b=k4?::m13?6=3thi87>55;294~"5m38>7E<i;%03>f=O;;1b=n4?::k2`?6=3`;n6=44i0d94?=h:>0;66smbc83>0<729q/>h4=5:J1b>"583i0D><4i0a94?=n9m0;66g>e;29?l7a2900c?950;9~fgb=83?1<7>t$3g960=O:o1/>=4l;I11?l7d2900e<j50;9j5`<722c:j7>5;n04>5<<ukhm6=4::183!4b2;?0D?h4$329g>N4:2c:o7>5;h3g>5<<a8o1<75f1g83>>i5?3:17pll1;291?6=8r.9i7<:;I0e?!472j1C??5f1b83>>o6l3:17d?j:188m4`=831d>:4?::ag6<72<0;6=u+2d811>N5n2.9<7m4H208m4e=831b=i4?::k2a?6=3`;m6=44o3594?=zjj?1<7;50;2x 7c=:<1C>k5+218`?M553`;h6=44i0f94?=n9l0;66g>f;29?j402900qom8:186>5<7s-8n6?;4H3d8 76=k2B8>6g>c;29?l7c2900e<k50;9j5c<722e9;7>5;|`;e?6==3:1<v*=e;06?M4a3-8;6n5G339j5f<722c:h7>5;h3f>5<<a8l1<75`2683>>{e0j0;684?:1y'6`<5=2B9j6*=0;a8L64<a8i1<75f1e83>>o6m3:17d?i:188k71=831vn5k50;794?6|,;o1>85G2g9'65<d3A997d?l:188m4b=831b=h4?::k2b?6=3f8<6=44}c;3>5<2290;w)<j:378L7`<,;:1o6F<2:k2g?6=3`;o6=44i0g94?=n9o0;66a=7;29?xd>:3:197>50z&1a?423A8m7)<?:b9K77=n9j0;66g>d;29?l7b2900e<h50;9l62<722wi594?:483>5}#:l0996F=f:&14?e<@:80e<m50;9j5a<722c:i7>5;h3e>5<<g;=1<75rb8494?3=83:p(?k5249K6c=#:90h7E==;h3`>5<<a8n1<75f1d83>>o6n3:17b<8:188yg??290>6=4?{%0f>73<@;l0(?>5c:J06>o6k3:17d?k:188m4c=831b=k4?::m13?6=3th2m7>55;294~"5m38>7E<i;%03>f=O;;1b=n4?::k2`?6=3`;n6=44i0d94?=h:>0;66sm9b83>0<729q/>h4=5:J1b>"583i0D><4i0a94?=n9m0;66g>e;29?l7a2900c?950;9~fd6=83?1<7>t$3g960=O:o1/>=4l;I11?l7d2900e<j50;9j5`<722c:j7>5;n04>5<<ukk96=4::183!4b2;?0D?h4$329g>N4:2c:o7>5;h3g>5<<a8o1<75f1g83>>i5?3:17pln4;291?6=8r.9i7<:;I0e?!472j1C??5f1b83>>o6l3:17d?j:188m4`=831d>:4?::ae3<72<0;6=u+2d811>N5n2.9<7m4H208m4e=831b=i4?::k2a?6=3`;m6=44o3594?=zjh21<7;50;2x 7c=:<1C>k5+218`?M553`;h6=44i0f94?=n9l0;66g>f;29?j402900qoon:186>5<7s-8n6?;4H3d8 76=k2B8>6g>c;29?l7c2900e<k50;9j5c<722e9;7>5;|`bg?6==3:1<v*=e;06?M4a3-8;6n5G339j5f<722c:h7>5;h3f>5<<a8l1<75`2683>>{eil0;684?:1y'6`<5=2B9j6*=0;a8L64<a8i1<75f1e83>>o6m3:17d?i:188k71=831vno>50;794?6|,;o1>85G2g9'65<d3A997d?l:188m4b=831b=h4?::k2b?6=3f8<6=44}c`1>5<2290;w)<j:378L7`<,;:1o6F<2:k2g?6=3`;o6=44i0g94?=n9o0;66a=7;29?xde>3:197>50z&1a?423A8m7)<?:b9K77=n9j0;66g>d;29?l7b2900e<h50;9l62<722win44?:483>5}#:l0996F=f:&14?e<@:80e<m50;9j5a<722c:i7>5;h3e>5<<g;=1<75rb6g94?3=83:p(?k5249K6c=#:90h7E==;h3`>5<<a8n1<75f1d83>>o6n3:17b<8:188yg>7290>6=4?{%0f>73<@;l0(?>5c:J06>o6k3:17d?k:188m4c=831b=k4?::m13?6=3th3>7>55;294~"5m38>7E<i;%03>f=O;;1b=n4?::k2`?6=3`;n6=44i0d94?=h:>0;66sm8483>0<729q/>h4=5:J1b>"583i0D><4i0a94?=n9m0;66g>e;29?l7a2900c?950;9~ffc=83?1<7>t$3g960=O:o1/>=4?;I11?l7d2900e<j50;9j5`<722c:j7>5;n04>5<<ukl36=4;:183!4b2;>0D?h4$329f>N4:2c:o7>5;h3g>5<<a8o1<75`2683>>{e9<o1<7:50;2x 7c=:=1C>k5+218a?M553`;h6=44i0f94?=n9l0;66a=7;29?xd6>90;694?:1y'6`<5<2B9j6*=0;`8L64<a8i1<75f1e83>>o6m3:17b<8:188yged290?6=4?{%0f>72<@;l0(?>58:J06>o6k3:17d?k:188m4c=831d>:4?::a3d<72<0;6=u+2d811>N5n2.9<7m4H208m4e=831b=i4?::k2a?6=3`;m6=44o3594?=zj>21<7;50;2x 7c=:<1C>k5+218`?M553`;h6=44i0f94?=n9l0;66g>f;29?j402900qo99:186>5<7s-8n6?;4H3d8 76=k2B8>6g>c;29?l7c2900e<k50;9j5c<722e9;7>5;|`40?6==3:1<v*=e;06?M4a3-8;6n5G339j5f<722c:h7>5;h3f>5<<a8l1<75`2683>>{e?;0;684?:1y'6`<5=2B9j6*=0;a8L64<a8i1<75f1e83>>o6m3:17d?i:188k71=831vn:>50;794?6|,;o1>85G2g9'65<d3A997d?l:188m4b=831b=h4?::k2b?6=3f8<6=44}c4:>5<2290;w)<j:378L7`<,;:1o6F<2:k2g?6=3`;o6=44i0g94?=n9o0;66a=7;29?xd2;3:197>50z&1a?423A8m7)<?:b9K77=n9j0;66g>d;29?l7b2900e<h50;9l62<722wi?i4?:483>5}#:l0996F=f:&14?e<@:80e<m50;9j5a<722c:i7>5;h3e>5<<g;=1<75rb5394?3=83:p(?k5249K6c=#:90h7E==;h3`>5<<a8n1<75f1d83>>o6n3:17b<8:188yg24290>6=4?{%0f>73<@;l0(?>5c:J06>o6k3:17d?k:188m4c=831b=k4?::m13?6=3th<o7>55;294~"5m38>7E<i;%03>f=O;;1b=n4?::k2`?6=3`;n6=44i0d94?=h:>0;66sm3883>6<729q/>h4=3:J1b>"583;>7E==;h3`>5<<a8n1<75`2683>>{e;k0;694?:1y'6`<5<2B9j6*=0;3;?M553`;h6=44i0f94?=n9l0;66a=7;29?xu6>?0;697t^045?82228l019951g9>0<<6n278m7?i;<02>4e<5=h1=k524e82b>;3n3;m70;>:0d8903=9o169:4>f:?6=?7a34?i6<h4=4f95c=:=o0:j6391;3e?80428l01;;51g9>7c<6n27=;7?i;<4a>4`<5?o1=k528982b>;>m3;m70l;:0d89gd=9o16ni4>f:?ab?7a34i:6<h4=b195c=:k<0:j63l7;3e?8>f28l015m51g9><`<6n272<7?i;<;1>4`<50>1=k529782b>;>03;m707n:0d89<e=9o16m=4>f:?b6?7a34k?6<h4=`495c=:i10:j63na;3e?8gd28l01lk51g9>f5<6n27i>7?i;<`5>4`<5k31=k527d82b>;?83;m706=:0d89=3=9o16oh4>e:?`g?7b34=j6<h4=6:95c=:??0:j6384;3e?81528l01:>51g9>2<<6n27>?7?i;<1g>4`<5=;1=k524282b>;0k3;m7p}lb;296~Xdj27ho7<8;|qg5?6=;rTo=63la;31?8e>2880q~?91;296~X6>816;h4>e:p6=<72:qU>552c`8f?8e>2l1vk;50;1xZc3<5o21=i523c82`>{t98h1<7<t^03a?8>?28o0q~mk:181[ec34in6?94}r312?6=:rT:>;529d82a>{t9?81<7<t^041?8>728o0q~?:d;296~X6=m16=8k5269~wc2=839pRk:4=043>4b<5:h1=n5rs03b>5<3krT:=l524482a>;3?3;n70:6:0g8977=9m168o4>e:?7`?7b34>m6<k4=4395`=:=<0:i63:7;3f?83>28o018l51d9>1a<6m27>j7?j;<42>4c<5?91=h526482a>;4n3;n7088:0g893d=9l16:h4>e:?;<?7c343n6<j4=c695a=:jk0:h63md;3g?8da28n01n?51e9>g6<6l27h97?k;<a4>4b<51k1=i528b82`>;?m3;o707?:0f89<4=9m16594>d:?:2?7c34336<j4=8c95a=:1j0:h63n0;3g?8g528n01l:51e9>e3<6l27j47?k;<cb>4b<5hi1=i52ad82`>;e83;o70l=:0f89g0=9m16n44>d:?4a?7c342;6<j4=9095a=:0<0:h63le;3g?8`?28o01<;j:0g8940728o01nm51e9>3d<6m27<47?j;<55>4c<5>>1=h527382a>;083;n7086:0g8905=9l16?i4>e:?75?7b34>86<k4=6a95`=:;00:o6s|12394?4|V89:70l;:0g8yvea2908wS69;_ae?8462;=0q~h<:180[`434;>i7?k;<1a>4c<uz;>j7>52z\21c=:9?:1>:5rs044>5<5sW;=;63le;3e?xu6>:0;6?uQ17189=4=9l1vno50;0x9fg=:j16?l4>d:p533=838pR<8:;<:6>4c<uzl<6=4={_d4?8`?2;=0q~j?:181[b734986<74}ra;>5<3sWi370mn:0789f?=9<16?44>d:p7=<72:q6ol4i;<1b>71<5j31j6s|c883>1d|5=?1=n524682g>;313;h70m6:3a8977=9l168o4>c:?7`?7d34>m6<m4=4395f=:=<0:o63:7;3`?83>28i018l51b9>1a<6k27>j7?l;<42>4e<5?91=n526482g>;4n3;h7088:0a893d=9j16:h4>c:?;<?7d343n6<m4=c695f=:jk0:o63md;3`?8da28i01n?51b9>g6<6k27h97?l;<a4>4e<51k1=n528b82g>;?m3;h707?:0a89<4=9j16594>c:?:2?7d34336<m4=8c95f=:1j0:o63n0;3`?8g528i01l:51b9>e3<6k27j47?l;<cb>4e<5hi1=n52ad82g>;e83;h70l=:0a89g0=9j16n44>c:?4a?7d342;6<m4=9095f=:0<0:o63le;3`?8`?28i01<;j:0a8940728i01nm51b9>3d<6k27<47?l;<55>4e<5>>1=n527382g>;083;h7086:0a8905=9j16?i4>c:?75?7d34>86<m4=6a95f=z{89<6=4={_303>;en3;n7p}>3983>7}Y9:201n?51d9~w4522909wS?<5:?af?7b3ty:?;4?:3y]560<5kn1=h5rs9694?4|V1>015;5269~w=7=838pR5?4=90962=z{>l1<7<t^6d89=6=:>1v:j50;0xZ2b<5>o1>:5rs076>5<5sWoi7S?:5:p505=838pRh74^070?xu6=80;6?uQe69]507<uz;><7>52z\f2>X6=91v<:i:181[c23W;?j6s|15g94?4|Vl>0R<:j;|q20a<72;qUi>5Q15f8yv73k3:1>vPj2:\20f=z{8>i6=4={_g2?[73j2wx=9o50;0xZ`6<V8>j7p}>4883>7}Ylo1U=974}r37<?6=:rToi6P>499~w4212909wSjl;_372>{t9=?1<7<t^e`8Z4223ty:894?:3y]`d=Y9=>0q~?;3;296~Xc12T:8>5rs061>5<5sWn37S?;2:p517=838pRi94^062?xu6<90;6?uQd79]516<uz;8j7>52z\g1>X6;o1v<=j:181[b33W;8i6s|12f94?4|Vm90R<=k;|q21f<72;qUj?5Q14a8yv72j3:1>vPi1:\21g=z{8?j6=4={_d3?[72i2wx=8750;0xZ``<V8?27p}>5983>7}Yml1U=864}r363?6=:rTnh6P>569~w4312909wSkl;_362>{t9<81<7<t^d:8Z4353ty:8:4?:3y]`a=Y9==0q~?<c;296~Xc:2T:?n5rsc:94?4|Vk201o75269~wg3=838pRo;4=c4962=z{k;1<7<t^c389g4=:>1vlh50;0xZd`<5k:1>:5rs`f94?4|Vhn01lk5269~wdd=838pRll4=`a962=z{h31<7<t^`;89dg=:>1vl950;0xZd1<5h21>:5rs`794?4|Vh?01l85269~wd5=838pRl=4=`6962=z{h;1<7<t^`389d4=:>1v4h50;0xZ<`<5h:1>:5rs8`94?4|V0h014m5269~w<?=838pR474=8c962=z{0=1<7<t^8589<>=:>1v4;50;0xZ<3<50<1>:5rs8194?4|V09014:5269~w<7=838pR4?4=80962=z{1l1<7<t^9d89<6=:>1v5j50;0xZ=b<51o1>:5rs9`94?4|V1h015m5269~w=?=838pR574=9c962=z{j<1<7<t^b489f1=:>1vn:50;0xZf2<5j?1>:5rsb094?4|Vj801n=5269~wf6=838pRn>4=b3962=z{ko1<7<t^cg89g`=:>1vom50;0xZge<5kn1>:5rscc94?4|Vkk01ol5269~wg5=838pRo=4=c6962=z{0n1<7<t^8f89<c=:>1v5950;0xZ=1<5121>:5rs7f94?4|V?n01;k5269~w3g=838pR;o4=7`962=z{?<1<7<t^748931=:>1v;:50;0xZ32<5??1>:5rs7094?4|V?801;=5269~w36=838pR;>4=73962=z{<o1<7<t^4g890`=:>1v8m50;0xZ0e<5<n1>:5rs4c94?4|V<k018l5269~w0>=838pR864=4;962=z{<<1<7<t^448901=:>1v8:50;0xZ02<5<?1>:5rs4294?4|V<:018?5269~w1c=838pR9k4=5d962=z{=i1<7<t^5a891b=:>1v9o50;0xZ1g<5=h1>:5rs5:94?4|V=201975269~w10=838pR984=55962=z{=>1<7<t^568913=:>1v9<50;0xZ14<5=91>:5rs5294?4|V=:019?5269~w6c=838pR>k4=2d962=z{>h1<7<t^6`892e=:>1v:750;0xZ2?<5>k1>:5rs6594?4|V>=01:65269~w23=838pR:;4=64962=z{>91<7<t^618922=:>1v:?50;0xZ27<5>81>:5rs7d94?4|V?l01:>5269~w3>=838pR;64=7;962=z{<81<7<t^408905=:>1v>m50;0xZ6e<5:n1>:5rs031>5<5sW;:>639e;3g?xu6990;6?uQ102893d=9m1v<>j:181[77m27=;7?k;|q24a<72;qU==j4=7795a=z{8:h6=4={_33g>;1;3;o7p}>0c83>7}Y99h01;?51e9~w46f2909wS??a:?6b?7c3ty:<44?:3y]55?<5<n1=i5rs02;>5<5sW;;463:b;3g?xu68>0;6?uQ115890?=9m1v<>9:181[77>27>;7?k;|q240<72;qU==;4=4795a=z{8:86=4={_337>;293;o7p}>0383>7}Y998019h51e9~w4662909wS??1:?7`?7c3ty:<=4?:3y]556<5=h1=i5rsgd94?4|Vol019751e9~wcc=838pRkk4=5595a=z{on1<7<t^gf8913=9m1vkm50;0xZce<5=91=i5rsg`94?4|Voh019?51e9~wcg=838pRko4=2d95a=z{8;26=4={_32=>;0k3;o7p}>1983>7}Y98201:o51e9~w4702909wS?>7:?4<?7c3ty:=;4?:3y]540<5><1=i5rs036>5<5sW;:96384;3g?xu69=0;6?uQ1068924=9m1v<?<:181[76;27<<7?k;|q24c<72;qU==h4=7;95a=z{8:?6=4={_330>;2;3;o7p}i9;296~Xa1278h7?k;|q271<72;qU=>:4=c;95`=z{8996=4={_306>;e>3;n7p}>3183>7}Y9::01o<51d9~w44a2909wS?=f:?a4?7b3ty:>h4?:3y]57c<5ho1=h5rs00g>5<5sW;9h63nc;3f?xu6:j0;6?uQ13a89dg=9l1v<<m:181[75j27j47?j;|q26d<72;qU=?o4=`495`=z{8826=4={_31=>;f<3;n7p}>2983>7}Y9;201l<51d9~w4402909wS?=7:?b4?7b3ty:>84?:3y]573<50i1=h5rs007>5<5sW;98636a;3f?xu6::0;6?uQ13189<>=9l1v<<=:181[75:272:7?j;|q264<72;qU=??4=8695`=z{88;6=4={_314>;>:3;n7p}>1g83>7}Y98l014>51d9~w47b2909wS?>e:?;a?7b3ty:=i4?:3y]54b<51i1=h5rs03`>5<5sW;:o637a;3f?xu6;k0;6?uQ12`89f1=9l1v<=n:181[74i27h97?j;|q27<<72;qU=>74=b195`=z{8:1<7<t=2c95f=:;k09;6s|1083>7}:;h0:i63<9;04?x{i:k?1<7?tH3d8yk4e>3:1=vF=f:m6g1=83;pD?h4}o0a<?6=9rB9j6sa2c;94?7|@;l0qc<ma;295~N5n2we>ol50;3xL7`<ug8io7>51zJ1b>{i:kn1<7?tH3d8yk4em3:1=vF=f:m6g`=83;pD?h4}o0`4?6=9rB9j6sa2b394?7|@;l0qc<l2;295~N5n2we>n=50;3xL7`<ug8h87>51zJ1b>{i:j?1<7?tH3d8yk4d>3:1=vF=f:m6f1=83;pD?h4}o0`<?6=9rB9j6sa2b;94?7|@;l0qc<la;295~N5n2we>nl50;3xL7`<ug8ho7>51zJ1b>{i:jn1<7?tH3d8yk4dm3:1=vF=f:m6f`=83;pD?h4}o0g4?6=9rB9j6sa2e394?7|@;l0qc<k2;295~N5n2we>i=50;3xL7`<ug8o87>51zJ1b>{i:m?1<7?tH3d8yk4c>3:1=vF=f:m6a1=83;pD?h4}o0g<?6=9rB9j6sa2e;94?7|@;l0qc<ka;295~N5n2we>il50;3xL7`<ug8oo7>51zJ1b>{i:mn1<7?tH3d8yk4cm3:1=vF=f:m6a`=83;pD?h4}o0f4?6=9rB9j6sa2d394?7|@;l0qc<j2;295~N5n2we>h=50;3xL7`<ug8n87>51zJ1b>{i:l?1<7?tH3d8yk4b>3:1=vF=f:m6`1=83;pD?h4}o0f<?6=9rB9j6sa2d;94?7|@;l0qc<ja;295~N5n2we>hl50;3xL7`<ug8no7>51zJ1b>{i:ln1<7?tH3d8yk4bm3:1=vF=f:m6``=83;pD?h4}o0e4?6=9rB9j6sa2g394?7|@;l0qc<i2;295~N5n2we>k=50;3xL7`<ug8m87>51zJ1b>{i:o?1<7?tH3d8yk4a>3:1=vF=f:m6c1=83;pD?h4}o0e<?6=9rB9j6sa2g;94?7|@;l0qc<ia;295~N5n2we>kl50;3xL7`<ug8mo7>51zJ1b>{i:on1<7?tH3d8yk4am3:1=vF=f:m6c`=83;pD?h4}o134?6=9rB9j6sa31394?7|@;l0qc=?2;295~N5n2we?==50;3xL7`<ug9;87>51zJ1b>{i;9?1<7?tH3d8yk57>3:1=vF=f:m751=83;pD?h4}o13<?6=9rB9j6sa31;94?7|@;l0qc=?a;295~N5n2we?=l50;3xL7`<ug9;o7>51zJ1b>{i;9n1<7?tH3d8yk57m3:1=vF=f:m75`=83;pD?h4}o124?6=9rB9j6sa30394?7|@;l0qc=>2;295~N5n2we?<=50;3xL7`<ug9:87>51zJ1b>{i;8?1<7?tH3d8yk56>3:1=vF=f:m741=83;pD?h4}o12<?6=9rB9j6sa30;94?7|@;l0qc=>a;295~N5n2we?<l50;3xL7`<ug9:o7>51zJ1b>{i;8n1<7?tH3d8yk56m3:1=vF=f:m74`=83;pD?h4}o114?6=9rB9j6sa33394?7|@;l0qc==2;295~N5n2we??=50;3xL7`<ug9987>51zJ1b>{i;;?1<7?tH3d8yk55>3:1=vF=f:m771=83;pD?h4}o11<?6=9rB9j6sa33;94?7|@;l0qc==a;295~N5n2we??l50;3xL7`<ug99o7>51zJ1b>{i;;n1<7?tH3d8yk55m3:1=vF=f:m77`=83;pD?h4}o104?6=9rB9j6sa32394?7|@;l0qc=<2;295~N5n2we?>=50;3xL7`<ug9887>51zJ1b>{i;:?1<7?tH3d8yk54>3:1=vF=f:m761=83;pD?h4}o10<?6=9rB9j6sa32;94?7|@;l0qc=<a;295~N5n2we?>l50;3xL7`<ug98o7>51zJ1b>{i;:n1<7?tH3d8yk54m3:1=vF=f:m76`=83;pD?h4}o174?6=9rB9j6sa35394?7|@;l0qc=;2;295~N5n2we?9=50;3xL7`<ug9?87>51zJ1b>{i;=?1<7?tH3d8yk53>3:1=vF=f:m711=83;pD?h4}o17<?6=9rB9j6sa35;94?7|@;l0qc=;a;295~N5n2we?9l50;3xL7`<ug9?o7>51zJ1b>{i;=n1<7?tH3d8yk53m3:1=vF=f:m71`=83;pD?h4}o164?6=9rB9j6sa34394?7|@;l0qc=:2;295~N5n2we?8=50;3xL7`<ug9>87>51zJ1b>{i;<?1<7?tH3d8yk52>3:1=vF=f:m701=83;pD?h4}o16<?6=9rB9j6sa34;94?7|@;l0qc=:a;295~N5n2we?8l50;3xL7`<ug9>o7>51zJ1b>{i;<n1<7?tH3d8yk52m3:1=vF=f:m70`=83;pD?h4}o154?6=9rB9j6sa37394?7|@;l0qc=92;295~N5n2we?;=50;3xL7`<ug9=87>51zJ1b>{i;??1<7?tH3d8yk51>3:1=vF=f:m731=83;pD?h4}o15<?6=9rB9j6sa37;94?7|@;l0qc=9a;295~N5n2we?;l50;3xL7`<ug9=o7>51zJ1b>{i;?n1<7?tH3d8yk51m3:1=vF=f:m73`=83;pD?h4}o144?6=9rB9j6sa36394?7|@;l0qpsr@AAx07`=:lij=;>k}ABA5{GHYqvLM
/trunk/wb2opb_v1_00_a/doc/license.txt
0,0 → 1,33
Copyright (C) 2000-2003, ASICs World Services, LTD.
All rights reserved.
 
Redistribution and use in source, netlist, binary and silicon
forms, with or without modification, are permitted provided
that the following conditions are met:
 
* Redistributions of source code must retain the above
copyright notice, this list of conditions and the
following disclaimer.
 
* Redistributions in binary form must reproduce the
above copyright notice, this list of conditions and
the following disclaimer in the documentation and/or
other materials provided with the distribution.
 
* Neither the name of ASICS World Services, the Authors
and/or the names of its contributors may be used to
endorse or promote products derived from this software
without specific prior written permission.
 
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
"AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
POSSIBILITY OF SUCH DAMAGE.
/trunk/wb2opb_v1_00_a/doc/readme.txt
0,0 → 1,6
OPB to WISHBONE Wrapper
 
Simple interface wrapper, featuring OPB Slave and Wishbone Master interfaces.
 
About 26 Slices, 74 Slice Flip Flops and 45 LUTs
 
/trunk/wb2opb_v1_00_a/hdl/verilog/wb2opb_shell.v
0,0 → 1,86
/////////////////////////////////////////////////////////////////////
//// ////
//// WISHBONE to OPB interface wrapper ////
//// ////
//// ////
//// Author: Rudolf Usselmann ////
//// rudi@asics.ws ////
//// ////
//// ////
//// Proprietary and Confidential Information of ////
//// ASICS World Services, LTD ////
//// ////
/////////////////////////////////////////////////////////////////////
//// ////
//// Copyright (C) 2000-2004 ASICS World Services, LTD. ////
//// www.asics.ws ////
//// info@asics.ws ////
//// ////
//// This software is provided under license and contains ////
//// proprietary and confidential material which are the ////
//// property of ASICS World Services, LTD. ////
//// ////
//// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ////
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ////
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ////
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ////
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ////
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ////
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ////
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ////
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ////
//// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ////
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ////
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ////
//// POSSIBILITY OF SUCH DAMAGE. ////
//// ////
/////////////////////////////////////////////////////////////////////
 
// $Id: $
 
module wb2opb( OPB_Clk, rst,
 
// OPB Master Interface (Connect to OPB Slave)
opb_abus, opb_be, opb_dbus, opb_rnw, opb_select, opb_seqaddr,
sl_dbus, sl_errack, sl_retry, sl_xferack,
 
opb_req, opb_gnt, opb_buslock,
 
// WISHBONE Slave Interface (Connect to WB Master)
wb_data_o, wb_data_i, wb_addr_i,
wb_cyc_i, wb_stb_i, wb_sel_i, wb_we_i, wb_ack_o, wb_err_o, wb_rty_o
);
 
// --------------------------------------
// System IO
input OPB_Clk;
input rst;
 
// --------------------------------------
// OPB Master Interface (Connect to OPB Slave)
output [31:0] opb_abus;
output [3:0] opb_be;
output [31:0] opb_dbus;
output opb_rnw;
output opb_select;
output opb_seqaddr;
 
input [31:0] sl_dbus;
input sl_errack;
input sl_retry;
input sl_xferack;
 
output opb_req, opb_buslock;
input opb_gnt;
 
// --------------------------------------
// WISHBONE Slave Interface (Connect to WB Master)
output [31:0] wb_data_o;
input [31:0] wb_data_i;
input [31:0] wb_addr_i;
input wb_cyc_i, wb_stb_i;
input [3:0] wb_sel_i;
input wb_we_i;
output wb_ack_o, wb_err_o, wb_rty_o;
 
endmodule
/trunk/wb2opb_v1_00_a/data/wb2opb_v2_1_0.pao
0,0 → 1,13
################################################################################
##
## Copyright (C) 2000-2004 ASICS World Services, LTD.
## www.asics.ws
## info@asics.ws
##
## Author: Rudolf Usselmann
## rudi@asics.ws
##
################################################################################
 
lib wb2opb_v1_00_a wb2opb_shell
 
/trunk/wb2opb_v1_00_a/data/wb2opb_v2_1_0.mpd
0,0 → 1,55
################################################################################
##
## Copyright (C) 2000-2004 ASICS World Services, LTD.
## www.asics.ws
## info@asics.ws
##
## Author: Rudolf Usselmann
## rudi@asics.ws
##
################################################################################
 
BEGIN wb2opb
 
## Peripheral Options
OPTION IPTYPE = BRIDGE
OPTION IMP_NETLIST = TRUE
OPTION CORE_STATE = ACTIVE
OPTION STYLE = MIX
OPTION HDL = VERILOG
 
## Bus Interfaces
BUS_INTERFACE BUS = MOPB, BUS_STD = OPB, BUS_TYPE = MASTER
 
## Shared Signals
PORT OPB_Clk = "", DIR = IN, SIGIS = CLK, BUS = MOPB
PORT rst = OPB_Rst, DIR = IN, BUS = MOPB
 
## Ports OPB Master Attachment
PORT opb_abus = M_ABus, DIR = OUT, VEC = [0:31], BUS = MOPB
PORT opb_be = M_BE, DIR = OUT, VEC = [0:3], BUS = MOPB
PORT opb_dbus = M_DBus, DIR = OUT, VEC = [0:31], BUS = MOPB
PORT opb_rnw = M_RNW, DIR = OUT, BUS = MOPB
PORT opb_select = M_select, DIR = OUT, BUS = MOPB
PORT opb_seqaddr = M_seqAddr, DIR = OUT, BUS = MOPB
PORT sl_dbus = OPB_DBus, DIR = IN, VEC = [0:31], BUS = MOPB
PORT sl_errack = OPB_errAck, DIR = IN, BUS = MOPB
PORT sl_retry = OPB_retry, DIR = IN, BUS = MOPB
PORT sl_xferack = OPB_xferAck, DIR = IN, BUS = MOPB
PORT opb_req = M_request, DIR = OUT, BUS = MOPB
PORT opb_gnt = OPB_MGrant, DIR = IN, BUS = MOPB
PORT opb_buslock = M_busLock, DIR = OUT, BUS = MOPB
 
## WISHBONE Slave Interface
PORT wb_data_o = "", DIR = OUT, VEC = [31:0]
PORT wb_data_i = "", DIR = IN, VEC = [31:0]
PORT wb_addr_i = "", DIR = IN, VEC = [31:0]
PORT wb_cyc_i = "", DIR = IN
PORT wb_stb_i = "", DIR = IN
PORT wb_sel_i = "", DIR = IN, VEC = [3:0]
PORT wb_we_i = "", DIR = IN
PORT wb_ack_o = "", DIR = OUT
PORT wb_err_o = "", DIR = OUT
PORT wb_rty_o = "", DIR = OUT
 
END
/trunk/wb2opb_v1_00_a/data/wb2opb_v2_1_0.bbd
0,0 → 1,14
################################################################################
##
## Copyright (C) 2000-2004 ASICS World Services, LTD.
## www.asics.ws
## info@asics.ws
##
## Author: Rudolf Usselmann
## rudi@asics.ws
##
################################################################################
 
Files
################################################################################
wb2opb.ngc

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.