OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc/trunk
    from Rev 319 to Rev 318
    Reverse comparison

Rev 319 → Rev 318

/VHDL/adc128s022.vhd
148,7 → 148,7
 
when SP_WAIT =>
if( Valid = '1' )then
RAW_Channel <= Channel - 1;
RAW_Channel <= Channel;
RAW_Data <= "0000" & Data_Out;
RAW_Valid <= '1';
ADC_State <= INC_CH;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.