OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 294 to Rev 295
    Reverse comparison

Rev 294 → Rev 295

/open8_urisc/trunk/VHDL/async_ser_rx.vhd
35,7 → 35,6
-- Author Date Change
------------------ -------- ---------------------------------------------------
-- Seth Henry 04/14/20 Code cleanup and revision section added
-- Seth Henry 09/13/21 Fixed inverted parity bit
 
library ieee;
use ieee.std_logic_1164.all;
144,7 → 143,7
 
when IO_IDLE =>
Rx_Baud_Cntr <= HALF_PERIOD;
Rx_Parity <= not Parity_Odd_Even_n;
Rx_Parity <= Parity_Odd_Even_n;
if( Rx_In_Q = '0' )then
Rx_State <= Rx_State + 1;
end if;
/open8_urisc/trunk/VHDL/async_ser_tx.vhd
35,7 → 35,6
-- Author Date Change
------------------ -------- ---------------------------------------------------
-- Seth Henry 04/14/20 Code cleanup and revision section added
-- Seth Henry 09/13/21 Fixed inverted parity bit
 
library ieee;
use ieee.std_logic_1164.all;
149,7 → 148,7
case( Tx_State )is
when IO_IDLE =>
if( Enable_Parity )then
Tx_Parity <= not Parity_Odd_Even_n;
Tx_Parity <= Parity_Odd_Even_n;
end if;
 
when IO_STRT =>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.