OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc/trunk/VHDL
    from Rev 288 to Rev 289
    Reverse comparison

Rev 288 → Rev 289

/o8_hd44780_if.vhd
98,7 → 98,7
 
entity o8_hd44780_if is
generic(
Use_4Bit_IF : boolean := TRUE;
Use_4Bit_IF : boolean := FALSE;
-- Bus IF timing
Tsu : integer := 40; -- ns
Tpw : integer := 250; -- nS
304,7 → 304,7
when ISSUE_WR =>
Wr_En <= '1';
hw_timer <= BUSY_DELAY;
if( Wr_Reg = '0' and Wr_Data = x"01" )then
if( Wr_Reg = '0' and Wr_Data < 4 )then
hw_timer <= CLDSP_DELAY;
end if;
ctrl_state <= WR_WAIT;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.