OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc/trunk/VHDL
    from Rev 312 to Rev 313
    Reverse comparison

Rev 312 → Rev 313

/Open8_pkg.vhd
110,16 → 110,20
-- (assumes a 1K RAM at 0x0000 and ROM at the top of the memory map)
component o8_cpu is
generic(
Program_Start_Addr : ADDRESS_TYPE := x"8000";
ISR_Start_Addr : ADDRESS_TYPE := x"FFF0";
Stack_Start_Addr : ADDRESS_TYPE := x"03FF";
Allow_Stack_Address_Move : boolean := false;
Enable_Auto_Increment : boolean := false;
BRK_Implements_WAI : boolean := false;
Enable_NMI : boolean := true;
RTI_Ignores_GP_Flags : boolean := false;
Default_Interrupt_Mask : DATA_TYPE := x"FF";
Clock_Frequency : real
Program_Start_Addr : ADDRESS_TYPE := x"8000"; -- Initial PC location
ISR_Start_Addr : ADDRESS_TYPE := x"FFF0"; -- Bottom of ISR vec's
Stack_Start_Addr : ADDRESS_TYPE := x"03FF"; -- Top of Stack
Allow_Stack_Address_Move : boolean := false; -- Use Normal v8 RSP
Enable_Auto_Increment : boolean := false; -- Modify indexed instr
BRK_Implements_WAI : boolean := false; -- BRK -> Wait for Int
Enable_NMI : boolean := false; -- Force INTR0 enabled
Sequential_Interrupts : boolean := false; -- Interruptable ISRs
RTI_Ignores_GP_Flags : boolean := false; -- RTI sets all flags
Supervisor_Mode : boolean := false; -- I bit is restricted
Unsigned_Index_Offsets : boolean := false; -- Offsets are signed
Rotate_Ignores_Carry : boolean := false; -- Rotate thru Carry
Default_Interrupt_Mask : DATA_TYPE := x"FF"; -- Enable all Ints
Clock_Frequency : real -- Clock Frequency
);
port(
Clock : in std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.