OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc
    from Rev 310 to Rev 311
    Reverse comparison

Rev 310 → Rev 311

/trunk/Documents/CPU Instruction Set_files/sheet001.htm
161,27 → 161,31
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>ROL R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>00110</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Rotate
Rn Left (Rn&lt;&lt;1 -&gt; Rn) (Note the carry is included in the
 
Rn(7) -&gt; C</td>
<tr height=60 style='height:45.0pt'>
<td height=60 class=xl88 style='height:45.0pt;border-top:none'>ROL R0-R7</td>
<td class=xl89 style='border-top:none;border-left:none'>00110</td>
<td class=xl89 style='border-top:none;border-left:none'>xxx</td>
<td class=xl89 style='border-top:none;border-left:none'>N,Z,(C*)</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Rotate
Rn Left - Exact behavior is configurable through the generic
&quot;Rotate_Ignores_Carry&quot; By default, if FALSE, the carry is included
 
-&gt; Rn ; Rn(7) -&gt; C. If the generic is set TRUE, the carry bit is both
excluded from the rotation as well as not altered: Rn(6:0)&amp;Rn(7) -&gt; C.</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl76 style='height:15.0pt;border-top:none'>ROR R0-R7</td>
<td class=xl77 style='border-top:none;border-left:none'>00111</td>
<td class=xl77 style='border-top:none;border-left:none'>xxx</td>
<td class=xl77 style='border-top:none;border-left:none'>N,C,Z</td>
<td class=xl78 width=670 style='border-top:none;border-left:none;width:503pt'>Rotate
Rn Right (Rn&gt;&gt;1 -&gt; Rn) (Note the carry is included in the
 
Rn(0) -&gt; C</td>
<tr height=60 style='height:45.0pt'>
<td height=60 class=xl88 style='height:45.0pt;border-top:none'>ROR R0-R7</td>
<td class=xl89 style='border-top:none;border-left:none'>00111</td>
<td class=xl89 style='border-top:none;border-left:none'>xxx</td>
<td class=xl89 style='border-top:none;border-left:none'>N,Z,(C*)</td>
<td class=xl90 width=670 style='border-top:none;border-left:none;width:503pt'>Rotate
Rn Right - Exact behavior is configurable through the generic
&quot;Rotate_Ignores_Carry&quot; By default, if FALSE, the carry is included
in the rotation: C &amp; Rn(7:1) -&gt; Rn ; Rn(0) -&gt; C. If the generic is
set TRUE, the carry bit is both excluded from the rotation as well as not
altered: Rn(0)&amp;Rn(7:1)-&gt; Rn.</td>
<td colspan=2 style='mso-ignore:colspan'></td>
<td class=xl65></td>
</tr>
/trunk/Documents/CPU Instruction Set_files/sheet002.htm
1,4 → 1,5
<html xmlns:o="urn:schemas-microsoft-com:office:office"
<html xmlns:v="urn:schemas-microsoft-com:vml"
xmlns:o="urn:schemas-microsoft-com:office:office"
xmlns:x="urn:schemas-microsoft-com:office:excel"
xmlns="http://www.w3.org/TR/REC-html40">
 
166,6 → 167,16
whether the offset calculation for LDO/STO is signed or unsigned. Default
behavior is signed.</td>
</tr>
<tr height=40 style='height:30.0pt'>
<td height=40 class=xl108 style='height:30.0pt;border-top:none'>Rotate_Ignores_Carry</td>
<td class=xl108 style='border-top:none;border-left:none'>Boolean</td>
<td class=xl109 style='border-top:none;border-left:none'>FALSE</td>
<td class=xl110 width=893 style='border-top:none;border-left:none;width:670pt'>By
default, the V8 uRISC processor included the carry in rotations, making them
effectively 9-bit rotations. This generic modifies the ALU such that the
rotations work as classically defined and do NOT involve, or alter, the carry
bit.</td>
</tr>
<tr height=20 style='height:15.0pt'>
<td height=20 class=xl73 style='height:15.0pt;border-top:none'>Default_Interrupt_Mask</td>
<td class=xl73 style='border-top:none;border-left:none'>8-bit Data</td>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.