OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /open8_urisc
    from Rev 316 to Rev 317
    Reverse comparison

Rev 316 → Rev 317

/trunk/VHDL/adc128s022.vhd
46,7 → 46,7
Clock : in std_logic;
Reset : in std_logic;
--
Reinit : in std_logic;
Reinit : in std_logic := '0'; -- Optional sync reset
--
RAW_Channel : out std_logic_vector(2 downto 0);
RAW_Data : out std_logic_vector(15 downto 0);
/trunk/VHDL/o8_de0_nano_adc_if.vhd
83,8 → 83,6
alias Reset is Open8_Bus.Reset;
alias uSec_Tick is Open8_Bus.uSec_Tick;
 
signal Reinit : std_logic := '0';
 
signal RAW_Channel : std_logic_vector(2 downto 0) := (others => '0');
signal RAW_Data : std_logic_vector(15 downto 0) := (others => '0');
signal RAW_Valid : std_logic := '0';
127,8 → 125,6
Clock => Clock,
Reset => Reset,
--
Reinit => Reinit,
--
RAW_Channel => RAW_Channel,
RAW_Data => RAW_Data,
RAW_Valid => RAW_Valid,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.