OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /openrisc/trunk
    from Rev 862 to Rev 863
    Reverse comparison

Rev 862 → Rev 863

/orpsocv2/bench/verilog/orpsoc_testbench.v
91,7 → 91,10
//
// Instantiate OR1200 monitor
//
or1200_monitor monitor();
or1200_monitor
#(.TEST_NAME_STRING (`TEST_NAME_STRING),
.LOG_DIR("../out"))
monitor();
 
`ifndef SIM_QUIET
`define CPU_ic_top or1200_ic_top
/orpsocv2/bench/verilog/or1200_monitor.v
38,11 → 38,13
`include "timescale.v"
`include "or1200_defines.v"
`include "or1200_monitor_defines.v"
`include "test-defines.v"
 
 
module or1200_monitor;
 
parameter TEST_NAME_STRING = "unnamed";
parameter LOG_DIR = ".";
 
integer fexe;
integer finsn;
 
57,14 → 59,34
integer r3;
integer insns;
 
//Trim \0 characters from str and return a right-adjusted string
function [128*8-1:0] trim;
input [128*8-1:0] str;
integer wpos;
integer rpos;
begin
trim = 0;
wpos = 0;
for(rpos=0;rpos<=128*8;rpos=rpos+8)
if(str[rpos+:8] != 0) begin
trim[wpos+:8] = str[rpos+:8];
wpos = wpos +8;
end
end
endfunction
 
//
// Initialization
//
reg [64*8-1:0] testcase; //Maximum 64 characters
initial begin
ref = 0;
if(!$value$plusargs("testcase=%s", testcase))
testcase = TEST_NAME_STRING;
 
`ifdef OR1200_MONITOR_EXEC_STATE
fexe = $fopen({"../out/",`TEST_NAME_STRING,"-executed.log"});
fexe = $fopen(trim({LOG_DIR, "/", testcase, "-executed.log"}));
`endif
`ifdef OR1200_MONITOR_EXEC_LOG_DISASSEMBLY
finsn = fexe;
71,11 → 93,11
`endif
$timeformat (-9, 2, " ns", 12);
`ifdef OR1200_MONITOR_SPRS
fspr = $fopen({"../out/",`TEST_NAME_STRING,"-sprs.log"});
fspr = $fopen(trim({LOG_DIR, "/", testcase, "-sprs.log"}));
`endif
fgeneral = $fopen({"../out/",`TEST_NAME_STRING,"-general.log"});
fgeneral = $fopen(trim({LOG_DIR, "/", testcase, "-general.log"}));
`ifdef OR1200_MONITOR_LOOKUP
flookup = $fopen({"../out/",`TEST_NAME_STRING,"-lookup.log"});
flookup = $fopen(trim({LOG_DIR, "/", testcase, "-lookup.log"}));
`endif
insns = 0;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.