OpenCores
URL https://opencores.org/ocsvn/pdp1/pdp1/trunk

Subversion Repositories pdp1

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pdp1
    from Rev 17 to Rev 18
    Reverse comparison

Rev 17 → Rev 18

/trunk/sw/src/main.rs
17,7 → 17,7
let mut now: f32;
 
// 2D vertex type for plot points
#[derive(Copy, Clone)]
#[derive(Copy, Clone, Debug)]
struct Vertex {
position: [f32; 2],
time: f32 // Suggest using microseconds
97,16 → 97,16
let t0 = time::get_time();
 
use std::sync::mpsc::{channel, Receiver};
let verts : Receiver<Vertex> = (|| {
let verts : Receiver<Vertex> = {
use std::thread::spawn;
 
let (tx, rx) = channel();
spawn(move || {
loop {
let mut str = String::new();
std::io::stdin().read_line(&mut str).unwrap();
let now = (time::get_time() - t0)
.num_microseconds().unwrap() as f32;
let mut str = String::new();
std::io::stdin().read_line(&mut str).unwrap();
// FIXME: Parse line.
let mut words = str.split_whitespace();
let x = words.next().unwrap().parse().unwrap();
113,11 → 113,11
let y = words.next().unwrap().parse().unwrap();
let v = Vertex {position: [x, y], time: now };
tx.send(v).unwrap();
//println!("Vertex: {:?}", [x,y]);
//println!("Vertex: {:?}", v);
}
});
rx
})();
};
loop {
for event in window.poll_events() {

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.