OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /pltbutils/trunk
    from Rev 46 to Rev 47
    Reverse comparison

Rev 46 → Rev 47

/sim/example_sim/bin/comp.do
4,7 → 4,6
vcom -novopt -work work \
../../../example/vhdl/dut_example.vhd \
../../../src/vhdl/txt_util.vhd \
../../../src/vhdl/pltbutils_type_pkg.vhd \
../../../src/vhdl/pltbutils_user_cfg_pkg.vhd \
../../../src/vhdl/pltbutils_func_pkg.vhd \
../../../src/vhdl/pltbutils_comp.vhd \

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.