OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /qaz_libs/trunk/BFM
    from Rev 49 to Rev 48
    Reverse comparison

Rev 49 → Rev 48

/src/anf/anf_pkg.sv File deleted
/src/anf/s_anf_api.svh File deleted
/src/axis_video_frame/avf_scoreboard.svh
69,7 → 69,7
//
function void print_video_frame(ref video_frame_class f_h);
string s;
$display("%s", {80{"="}});
f_h.print_config();
$display(f_h.convert2string());
endfunction : print_video_frame
 
/src/video_frame/video_frame_class.svh
97,21 → 97,19
 
// --------------------------------------------------------------------
function flattened_frame_t flatten_frame();
int i = 0;
log.info($sformatf("%m"));
flatten_frame = new[lines_per_frame*pixels_per_line];
 
foreach(this.lines[l])
foreach(this.lines[l].pixel[p])
flatten_frame[(l*pixels_per_line)+p] = this.lines[l].pixel[p];
begin
flatten_frame[i] = this.lines[l].pixel[p];
i++;
end
endfunction: flatten_frame
 
// --------------------------------------------------------------------
function void load_flatten_frame(flattened_frame_t a);
make_constant(0);
foreach(lines[l])
foreach(lines[l].pixel[p])
lines[l].pixel[p] = a[(l*pixels_per_line)+p];
endfunction: load_flatten_frame
 
// --------------------------------------------------------------------
function void make_constant(int pixel);
log.info($sformatf("%m"));
this.lines = new[lines_per_frame];
349,19 → 347,14
endfunction: print_config
 
// --------------------------------------------------------------------
function string convert2string(int grid=8);
function string convert2string();
string s;
string f ="";
string fs = $sformatf("%%s%%%0d.h" , (bits_per_pixel % 4 == 0)
? bits_per_pixel / 4
: (bits_per_pixel / 4) + 1
);
foreach(this.lines[l])
begin
s = $sformatf("[%4.d]", l);
foreach(this.lines[l].pixel[p])
s = {s, $sformatf(fs, (p % grid == 0) ? "!" : "|", this.lines[l].pixel[p])};
 
s = {s, $sformatf("|%4.h", this.lines[l].pixel[p])};
f = {f, s, "|\n"};
end
return f;
/sim/tests/tb_axis_video_frame/t_debug.svh
54,7 → 54,11
fork
s_seq.start(env_h.s_agent_h.sequencer_h);
join_none
seq.init(env_h.cfg_h.m_cfg_h.c_h);
seq.init( env_h.cfg_h.m_cfg_h.pixels_per_line
, env_h.cfg_h.m_cfg_h.lines_per_frame
, env_h.cfg_h.m_cfg_h.bits_per_pixel
, env_h.cfg_h.m_cfg_h.pixels_per_clk
);
phase.raise_objection(this);
seq.start(env_h.m_agent_h.sequencer_h);
phase.drop_objection(this);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.