URL
https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk
Subversion Repositories qaz_libs
Compare Revisions
- This comparison shows the changes necessary to convert path
/qaz_libs/trunk/basal/src/FIFOs
- from Rev 38 to Rev 36
- ↔ Reverse comparison
Rev 38 → Rev 36
/async_fifo.sv
File deleted
/sync_fifo.sv
73,20 → 73,8
end |
endgenerate |
|
|
|
// -------------------------------------------------------------------- |
// synthesis translate_off |
always_ff @(posedge clk) |
if(wr_en & wr_full) |
$stop; |
always_ff @(posedge clk) |
if(rd_en & rd_empty) |
$stop; |
// synthesis translate_on |
// -------------------------------------------------------------------- |
|
|
// -------------------------------------------------------------------- |
// |
endmodule |
|