OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /qaz_libs/trunk/sim/libs/qaz_lib_verilog
    from Rev 34 to Rev 32
    Reverse comparison

Rev 34 → Rev 32

/basal.f File deleted
/misc.f
0,0 → 1,9
#
 
${LIB_BASE_DIR}/misc/src/ram/bram_tdp.v
 
${LIB_BASE_DIR}/misc/src/recursive_mux.sv
${LIB_BASE_DIR}/misc/src/one_hot_encoder.sv
 
${LIB_BASE_DIR}/PRBS/src/output_logic/prbs_23_to_8.v
 
/fifos.f
0,0 → 1,8
#
 
 
${LIB_BASE_DIR}/FIFOs/src/tiny_sync_fifo.sv
# ${LIB_BASE_DIR}/FIFOs/src/tiny_async_fifo.sv
${LIB_BASE_DIR}/FIFOs/src/bc_sync_fifo.v
${LIB_BASE_DIR}/FIFOs/src/sync_fifo.sv
 
/synchronize.f
0,0 → 1,7
#
 
 
${LIB_BASE_DIR}/synchronize/src/sync_reset.v
${LIB_BASE_DIR}/synchronize/src/synchronizer.v
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.