URL
https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk
Subversion Repositories qaz_libs
Compare Revisions
- This comparison shows the changes necessary to convert path
/qaz_libs/trunk/axi4_stream_lib/src
- from Rev 41 to Rev 45
- ↔ Reverse comparison
Rev 41 → Rev 45
/axis_if.sv
28,15 → 28,13
|
interface |
axis_if |
#( |
N, // data bus width in bytes |
I = 1, // TID width |
D = 1, // TDEST width |
U = 1 // TUSER width |
#( N // data bus width in bytes |
, I = 1 // TID width |
, D = 1 // TDEST width |
, U = 1 // TUSER width |
) |
( |
input aclk, |
input aresetn |
( input aclk |
, input aresetn |
); |
wire tvalid; |
wire tready; |
48,10 → 46,10
wire [D-1:0] tdest; |
wire [U-1:0] tuser; |
|
|
// -------------------------------------------------------------------- |
// synthesis translate_off |
default clocking cb_m @(posedge aclk iff aresetn); |
default clocking cb_m @(posedge aclk); |
input aresetn; |
output tvalid; |
input tready; |
output tdata; |
63,10 → 61,9
output tuser; |
endclocking |
|
|
// -------------------------------------------------------------------- |
// |
clocking cb_s @(posedge aclk iff aresetn); |
clocking cb_s @(posedge aclk); |
input aresetn; |
input tvalid; |
output tready; |
input tdata; |
80,7 → 77,6
// synthesis translate_on |
// -------------------------------------------------------------------- |
|
|
// -------------------------------------------------------------------- |
// |
`ifdef USE_MOD_PORTS |
105,9 → 101,7
output tuser |
); |
|
|
// -------------------------------------------------------------------- |
// |
modport |
slave |
( |
130,20 → 124,13
); |
`endif |
|
|
// -------------------------------------------------------------------- |
// synthesis translate_off |
task |
zero_cycle_delay; |
|
task zero_cycle_delay; |
##0; |
|
endtask: zero_cycle_delay |
// synthesis translate_on |
// -------------------------------------------------------------------- |
|
|
// -------------------------------------------------------------------- |
// |
endinterface: axis_if |
|