OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /qaz_libs/trunk/basal/src/misc
    from Rev 34 to Rev 38
    Reverse comparison

Rev 34 → Rev 38

/one_hot_encoder.sv
46,11 → 46,11
//
generate
if(A == 1)
begin: mux_gen
begin: one_hot_encoder_gen
assign encoded[1:0] = select[0] ? 2'b10 :2'b01;
end
else
begin: recurse_mux_gen
begin: recurse_one_hot_encoder_gen
wire [W-1:0] encoder_out;
 
one_hot_encoder #(.A(A - 1))

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.