OpenCores
URL https://opencores.org/ocsvn/ram_wb/ram_wb/trunk

Subversion Repositories ram_wb

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 8 to Rev 7
    Reverse comparison

Rev 8 → Rev 7

ram_wb/trunk/rtl/verilog/ram_wb_sc_sw.v Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: ram_wb/trunk/rtl/verilog/ram_wb.v =================================================================== --- ram_wb/trunk/rtl/verilog/ram_wb.v (revision 8) +++ ram_wb/trunk/rtl/verilog/ram_wb.v (revision 7) @@ -31,9 +31,9 @@ ram # ( - .dat_width(dat_width), - .adr_width(adr_width), - .mem_size(mem_size) + .DATA_WIDTH(dat_width), + .ADDR_WIDTH(adr_width), + .MEM_SIZE(mem_size) ) ram0 (

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.