OpenCores
URL https://opencores.org/ocsvn/rs232_interface/rs232_interface/trunk

Subversion Repositories rs232_interface

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /rs232_interface/trunk
    from Rev 11 to Rev 12
    Reverse comparison

Rev 11 → Rev 12

/README
14,6 → 14,11
 
Change Log:
 
2011/01/15:
- Fixed bugs from RX of revision 10 of uart.vhd.
- Tested parity verification on RX.
- Tested parity generation on TX.
 
2011/01/08:
- Implemented asynchronous clocks.
- Implemented RX clock regenaration.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.