OpenCores
URL https://opencores.org/ocsvn/rs232_syscon/rs232_syscon/trunk

Subversion Repositories rs232_syscon

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /rs232_syscon/web_uploads
    from Rev 6 to Rev 5
    Reverse comparison

Rev 6 → Rev 5

/rs232_syscon.pdf File deleted =================================================================== --- documentation.shtml (revision 6) +++ documentation.shtml (nonexistent) @@ -1,17 +0,0 @@ - - -Project: rs232_syscon

-Overview | -People | -Documentation | -Download | -OpenCores Mail list | -Contact me
-

Documentation

-The user's guide (PDF format) is now available for download:

- -

User's guide (ver 1.00)(pdf)

-

User's guide (ver 1.00)(html)

-

User's guide (ver 1.00)(word)

- -
/Image4.gif Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
Image4.gif Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: rs232_syscon1.doc =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon1.doc =================================================================== --- rs232_syscon1.doc (revision 6) +++ rs232_syscon1.doc (nonexistent)
rs232_syscon1.doc Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: rs232_syscon.htm =================================================================== --- rs232_syscon.htm (revision 6) +++ rs232_syscon.htm (nonexistent) @@ -1,453 +0,0 @@ - - - - -nnARM Architecture Specification - - - -

-

Rs232_syscon

-

User’s Guide

-

version 1.00

-

-

 

-

 

-

 

-

 

-

 

-

Writen By John Clayton

-

Scottsdale, AZ

-

2001.8.13

-

-

 

-

 

-

 

-

Purpose:

-

This document describes some of the design features of "rs232_syscon.v" (a softcore written in Verilog.) It is intended to facilitate new users in understanding what is available in the core, and how to use it. Also, the Verilog code itself is replete with comments, so that additional insights into the operation of this core can be gained by reviewing the code.

- -

Release Log

-

V1.00 Aug. 13, 2001

-
-

 

-

1.Introduction

-
-

The name "rs232_syscon" comes from rs232 (serial communication standard) and an abbreviated contraction of "system controller." The core actually uses LVTTL levels for the serial connection, and the user is responsible for providing level shifting translators to achieve rs232 standard voltage levels.

- -

The rs232_syscon project was conceived on May 30, 2001. It’s purpose was to develop a "serial-port-to-bus-interface" core suitable for debugging some other ps2_mouse and ps2_keyboard interface cores which were under development at the time… Those other cores were quickly completed, but the actual development of rs232_syscon was more complicated than originally thought, and it ended up taking longer to complete. Luckily, as its development progressed, rs232_syscon became simpler instead of more complicated.

- -

After many hours of debugging and coding, the rs232_syscon core is now functional, and it has been successfully used to test out memory blocks and register blocks as part of a "system on a chip" (SOC) design effort.

-
-

This document describes the following:

- - -
    -
  • The connection diagram of rs232_syscon.
  • -
  • The command syntax of rs232_syscon.
  • -
  • The serial interface BAUD rate generators.
- -
-

In reality, rs232_syscon is a simple core to use – once it is connected to the data and address buses and the BAUD rate is adjusted, it can be used immediately. It does not contain any architecture specific blocks, so it easily ports to different FPGA and even ASIC platforms.

-
-

2. rs232_syscon connections

-

-

2.1 Block diagram

-
-

A top-level block diagram of rs232_syscon being used in a system is shown in figure2.1 below.

- -

Since the address bus is not bidirectional, it is an output from the host processor, and also an output from rs232_syscon. In order to select which device gets to drive the address bus, a multiplexer is implemented inside of rs232_syscon. This introduces some additional delay in the address bus, which is considered an acceptable tradeoff in exchange for the enhanced debugging capability of rs232_syscon. Besides, when debugging is completed, the mux can be removed (or "hard wired" by a parameter at compile time, which will also result in the eventual removal of the mux because of optimization in the synthesis and routing tools…)

- -

-

figure 2.1

-

-

 

-

The data bus is implemented as a tri-state bus, so that it can be bi-directional without requiring the use of multiplexers. The designers of rs232_syscon were aware that the Wishbone standard seems to encourage a split data bus (dat_i for input data and dat_o for output data) but found that the Wishbone standard also allows for tri-state connections (See Wishbone spec. page 66). The tri-state data bus was chosen in order to reduce the number of internal interconnects needed to implement the bus. If a tri-state bus is unacceptable for your application, the rs232_syscon block can be easily modified to add "dat_i" and "dat_o" ports in place of the existing "dat_io" port, and the tri-state buffering can be removed. This is not difficult for a Verilog programmer to accomplish, and it does not require any major functional modifications to the rs232_syscon block. The same handshaking structure that is used for address bus multiplexing ("master_br_o" and "master_bg_i") could also be used to control the data bus multiplexers.

-

-

The handshaking scheme in rs232_syscon allows the rs232_syscon to request access to the bus from the normal bus master. This is accomplished through the "master_br_o" and "master_bg_i" pins. Once the bus request (br) is detected at the normal bus master, it should finish the current operation, and then assert and keep asserting "master_bg" to rs232_syscon. As long as the bus grant (bg) line is asserted into rs232_syscon, then rs232_syscon will know that it has control of the bus. Also, when rs232_syscon finishes generating its bus cycles, it does not check or wait for the bus grant line to be deasserted. Therefore, those who wish to test peripherals, memory or registers without another master on the bus, can simply tie "master_bg_i" high, or just connect it to the rs232_syscon’s "master_br_o" and forget about that handshaking interface.

-

-

The bus cycles generated by rs232_syscon are one clock long. The clock which is used with rs232_syscon can vary up to the maximum speed allowed by the architecture in which it is being used. In a Xilinx SpartanII device (XC2S200) it synthesized with a maximum clock speed of around 45 MHz, although most of the testing was done at around 25 MHz. The length of each bus cycle is extended until the "ack_i" signal is received by rs232_syscon. If the watchdog timer expires before "ack_i" is received, then a bus error message is generated for the user. Similarly, if the "err_i" signal is received, then a bus error message is generated for the user.

-

-

-

2.2 Parameter listing

-

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-

Parameter Name

-

Range

-

Function

-

ADR_DIGITS_PP

-

1..?

-

Width of address bus in nibbles (4 => 16bits, 8 => 32 bits)

-

DAT_DIGITS_PP

-

1..?

-

Width of data bus in nibbles

-

QTY_DIGITS_PP

-

1..?

-

Width of qty counter in nibbles

-

CMD_BUFFER_SIZE_PP

-

16/32/64

-

Characters in command buffer (drives logic size significantly)

-

CMD_PTR_BITS_PP

-

4/5/6

-

Width of pointer to command buff.

-

WATCHDOG_TIMER_VALUE_PP

-

1..?

-

Number of clocks before timer expires

-

WATCHDOG_TIMER_BITS_PP

-

1..?

-

Number of bits in watchdog timer

-

RD_FIELDS_PP

-

1..?

-

Number of columns shown for read

-

RD_FIELD_COUNT_BITS_PP

-

1..?

-

Number of bits in rd_field_count

-

RD_DIGIT_COUNT_BITS_PP

-

1..?

-

Number of bits in rd_digit_count

- -

-

 

-

The defaults for these parameters are given in the Verilog code. The settings are related to each other, as explained in the code also. For instance, if the watchdog_timer is set to expire after 32000 clocks, then there must be 15 bits in the watchdog timer, so that parameter must be set accordingly.

-

-

2.3 Pinout description (port listing)

-

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
-

Name

-

Size

-

Function

-

clk_i

-

1

-

Clock input

-

reset_i

-

1

-

Resets rs232_syscon unit

-

master_bg_i

-

1

-

Grants bus to rs232_syscon

-

ack_i

-

1

-

Wishbone bus cycle acknowledge

-

err_i

-

1

-

Wishbone bus cycle error

-

rs232_rxd_i

-

1

-

rs232 serial port data input

-

dat_io

-

parameter

-

data bus (tri-state)

-

rst_o

-

1

-

Wishbone reset output

-

master_br_o

-

1

-

Requests bus for rs232_syscon

-

stb_o

-

1

-

Wishbone strobe output

-

cyc_o

-

1

-

Wishbone cycle output (wired to stb_o in this version)

-

adr_o

-

parameter

-

address bus

-

we_o

-

1

-

Wishbone write enable output

-

rs232_txd_o

-

1

-

rs232 serial port data output

- -

-

 

-

3.0 Command syntax

-

-

The commands for rs232_syscon are very simple. There are three of them – read, write and initialize (reset). The spacing of the characters in the command does not matter – spaces and tabs are considered "whitespace." The enter key terminates the command, and begins the process of parsing and executing the command. All numbers are given in hexadecimal. Hexadecimal numbers are printed using capitalized letters, but case does not matter when entering commands.

-

-

The command syntax is as follows, where "aaaa" refers to address, "dddd" refers to data, and "qq" refers to quantity.

-

-

Write command: w aaaa dddd qq

-

Read command: r aaaa qq

-

Initialize command: i

-

-

The command character (w,r,i) is the only required part of the command. If the data field is left out, then the previous value is assumed. If the address field is left out, then the previous value is assumed. Default values for address and data are both zero. An exception to this rule is the quantity field (qq), which does NOT assume the previous value. Instead, if quantity is not specified, it assumes the value "1," which is generally what the user intends for a command that does not specify quantity.

-

-

When entering quantity explicitly, the value zero is allowed. In that case, the command will do nothing. No bus cycles will be generated. For quantity values greater than one, the address field is automatically incremented during the subsequent iterations of the command loop. However, the data remains the same.

-

-

Extra fields can be entered after the "i" command, but they will not have any effect.

-

-

 

-

3.1 Numerical Field length

-

-

Using too few characters for a given numerical field will not produce an error – for instance, if the address/data buses are 16-bits wide, and you wish to enter the value 0005 into address 0017, it is sufficient to say:

-

-

w 17 5 [enter]

-

-

The leading zeros are assumed by the command parser. Similarly, if too many digits are used, only the appropriate number of digits (the right hand ones) are used. For instance, consider the command:

-

-

w 5434540017 66677560005 [enter]

-

-

This would have the same effect as the first example, because only the four digits on the right side are used (0017 and 0005 respectively).

-

-

backspace is allowed, and the cursor will move inside the rs232 terminal to indicate that a character has been "deleted" from the command line, even if the character itself still shows up on the terminal screen.

-

-

The maximum number of characters that can be entered on the command line is determined by the size of the command buffer (a parameter setting.) When that length is reached, if the [enter] key has not yet been pressed, a ‘?’ message will be returned, indicating a parsing error.

-

-

 

-

3.1 Parsing errors

-

-

The different responses which can be generated from rs232_syscon are listed here:

-

- - - - - - - - - - - - - - - - - - - - - - - - - -
-

Response

-

Meaning

-

OK

-

The command was parsed and executed without error

-

?

-

Line length exceeded

-

A?

-

Address field parsing error

-

D?

-

Data field parsing error

-

Q?

-

Quantity field parsing error

-

!

-

"err_i" or else watchdog timeout before "ack_i"

-

B!

-

Watchdog timeout before bus grant

- -

-

The watchdog timer is used twice during the execution of a command. The first time it is used to determine if there was a timeout for obtaining the bus, and the second time it is used to determine if the bus cycle timed out, with no "ack_i" response. Therefore, a slow master together with a slow peripheral could conceivably use almost twice the time period of the watchdog timer, in order to complete the execution of the command.

-

-

 

-

3.2 Initial power up

-

-

The initial power up of rs232_syscon produces the following stream of characters which are sent to the terminal:

-

-

0123456789ABCDEF

-

-> [cursor]

-

-

This initial stream of characters can be used to verify that your terminal is set to the correct BAUD rate.

-

-

Also, no activity is generated to reset the peripherals on the bus upon initial power up. Therefore, in order to reset the peripherals on the bus, an explicit "i" command must be issued. Resetting the rs232_syscon unit will return all quantities to zero, but it will not generate the "rst_o" signal which is generated by the "i" command.

-

-

 

-

3.3 Actual session logfile

-

-

Here is the text from part of a debugging session using the rs232_syscon module (none of the bus error responses are shown here):

-

-

<Session begins>

-

0123456789ABCDEF

-

-> w 1 55 1

-

OK

-

-> w 1 5a

-

OK

-

-> w 4 4c

-

OK

-

-> w 2 140

-

OK

-

-> w 3 100

-

OK

-

-> w 4 5c

-

OK

-

-> w 4 6c

-

OK

-

-> w 2 150

-

OK

-

-> w 3 f8

-

OK

-

-> w 3 f0

-

OK

-

-> w 3 f8

-

OK

-

-> w 3 f7

-

OK

-

-> w 3 48

-

OK

-

-> r 3

-

0003 : 0048 OK

-

-> r 0 8

-

0000 : 0000 005A 0150 0048 006C 0000 0000 0000

-

OK

-

-> r 0 10

-

0000 : 0000 005A 0150 0048 006C 0000 0000 0000

-

0008 : 0000 005A 0150 0048 006C 0000 0000 0000

-

OK

-

-> r 0 20

-

0000 : 0000 005A 0150 0048 006C 0000 0000 0000

-

0008 : 0000 005A 0150 0048 006C 0000 0000 0000

-

0010 : FFFF FFFF FFFF FFFF FFFF FFFF FFFF FFFF

-

0018 : FFFF FFFF FFFF FFFF FFFF FFFF FFFF FFFF

-

OK

-

-> w 3 58

-

OK

-

-> w 3 68

-

OK

-

-> r 0 10

-

0000 : 0000 0068 0068 0068 0068 0068 0068 0068

-

0008 : 0000 0068 0068 0068 0068 0068 0068 0068

-

OK

-

-> w 3 58 1

-

OK

-

-> w 4 68 1

-

OK

-

-> r 0 10

-

0000 : 0000 0068 0068 0058 0068 0068 0068 0068

-

0008 : 0000 0068 0068 0058 0068 0068 0068 0068

-

OK

-

-> w 4 6c 1

-

OK

-

-> w 4 7c 1

-

OK

-

-> w 1 f4 1

-

OK

-

-> r 0 8

-

0000 : 0000 00F4 0068 0058 007C 0068 0068 0068

-

OK

-

<session ends>

-

-

4.0 BAUD rate generators

-

-

The BAUD rate used in rs232_syscon is determined by a in internal signal called "serial_clk_16x." This signal is not actually implemented on a clock net. Instead, it is a clock enable. Using a clock enable for this slow signal is perfectly acceptable in most cases, and it has the advantage that it does not use an additional dedicated clock resource on the target FPGA.

-

-

As indicated by the name, the signal occurs at 16 times the desired BAUD clock rate. For 115,200 BAUD it is a high pulse which occurs for one single "clk_i" clock period, at a rate of 16*115200 = 1.8432 MHz.

-

-

This clock enable pulse is derived from a higher frequency clock on your board, by a small DDS (Direct Digital Synthesizer.) It sounds more complicated than it is. This DDS does not produce a sine-wave output, it merely produces a single pulse at the desired rate. You can use different modules from "serial.v" in order to do this. The "clock_gen_select" allows you to use a lookup table of DDS phase increment values (which are directly related to the output frequency) so that the BAUD rate can be changed easily between common values.

-

-

On the other hand, you could choose to use the "clk_gen" module from serial.v in order to generate a single, fixed BAUD clock.

-

-

You will almost certainly need to re-calculate the DDS "frequency" inputs for use with your board, since you will undoubtedly be using some clock other than the 49.152 MHz which I chose to use.

-

-

However, the nature of the DDS circuit is such that you can most likely find a way to make it work without having to change your system clock frequency. Almost anything can be made to work. Some frequency error is tolerable in the BAUD clocks, and by making the DDS bigger then more and more resolution is obtained until it works for your frequency... Detailed instructions are given in "serial.v" on how to recalculate new values for use in your application. Or you can simply get a different clock!

- Index: people.shtml =================================================================== --- people.shtml (revision 6) +++ people.shtml (nonexistent) @@ -1,14 +0,0 @@ - - -Project: rs232_syscon

-Overview | -People | -Documentation | -Download | -OpenCores Mail list | -Contact me
-

-The People

-John E. Clayton from Scottsdale, Arizona, USA

- - Index: rs232_syscon_autobaud.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_autobaud.zip =================================================================== --- rs232_syscon_autobaud.zip (revision 6) +++ rs232_syscon_autobaud.zip (nonexistent)
rs232_syscon_autobaud.zip Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: b11_risc16f84_05_03_02.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: b11_risc16f84_05_03_02.zip =================================================================== --- b11_risc16f84_05_03_02.zip (revision 6) +++ b11_risc16f84_05_03_02.zip (nonexistent)
b11_risc16f84_05_03_02.zip Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: srec_to_rs232.pl =================================================================== --- srec_to_rs232.pl (revision 6) +++ srec_to_rs232.pl (nonexistent) @@ -1,67 +0,0 @@ -#!/usr/bin/perl -w -print "\nMotorola S-record to rs232_syscon command translator."; -print "\nFilename to translate? "; -$filename = ; -chomp ($filename); -print "\nReading file \"$filename\"\n"; -open (SRECORDFILE,$filename) || - die "\nCan't open \"$filename\" for input.\n"; - -# Handle getting a new extension for the output filename -$i = index($filename,"."); - # If no period is found, simply add the extension to the end. -if ($i < 0) { $i = length($filename); } -substr($filename,$i,4) = ".232"; - -# Open the output file -open (OUTPUTFILE,">".$filename) || - die "\nCan't open \"$filename\" for output.\n"; - -$line_number = 0; -while ($line = ) { - # increment the line number counter - $line_number += 1; - # ignore lines that begin with semicolon - if (index($line,";")==0) { next; } - # Get the position of the start of data - # (Usually there is a colon at the very start of the line...) - $i = index($line,":"); - if ($i < 0) { - print "\nError! No colon found on line: $line_number"; - last; - } - # Get the length of the line - $line_length = hex(substr($line,($i+1),2)); - if ($line_length == 0) { - print "0"; - next; - } - - # Extract the starting address - $line_starting_address = hex(substr($line,($i+3),4)); - - # Extract the data substring - length is in units of bytes, - # but each character is 1/2 byte, so multiply by 2. - $line_data = substr($line,($i+9),($line_length*2)); - - # Send data characters to output file as rs232_syscon commands - # increment by 2 in order to send 1 byte per command... - for ($i=0;$i<($line_length*2);$i+=2) { - $j = $line_starting_address + $i/2; - $j = sprintf "%lx",$j; # Convert address to hexadecimal - $byte = substr($line_data,$i,2); - print OUTPUTFILE "w $j $byte\n"; - } - -# Verbose debug information... -# print "\nline $line_number: starts at $line_starting_address "; -# print "length is $line_length "; -# print "data is $line_data "; - # Print a little period for each line processed... - # (to complement the 0 printed for zero length lines encountered.) - print "."; - } - -#Close all open files -close (SRECORDFILE); -close (OUTPUTFILE); Index: b10_safe_12_18_01_dual_path.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: b10_safe_12_18_01_dual_path.zip =================================================================== --- b10_safe_12_18_01_dual_path.zip (revision 6) +++ b10_safe_12_18_01_dual_path.zip (nonexistent)
b10_safe_12_18_01_dual_path.zip Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: rs232_syscon_soc1.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_soc1.zip =================================================================== --- rs232_syscon_soc1.zip (revision 6) +++ rs232_syscon_soc1.zip (nonexistent)
rs232_syscon_soc1.zip Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: rs232_syscon_soc2.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_soc2.zip =================================================================== --- rs232_syscon_soc2.zip (revision 6) +++ rs232_syscon_soc2.zip (nonexistent)
rs232_syscon_soc2.zip Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: download.shtml =================================================================== --- download.shtml (revision 6) +++ download.shtml (nonexistent) @@ -1,30 +0,0 @@ - - -Project: rs232_syscon

-Overview | -People | -Documentation | -Download | -OpenCores Mail list | -Contact me
-

Download

-

Allright, here are "the goods!"

-

Unfortunately, there is no testbench for this core. It was developed through many design iterations in an actual Xilinx XC2V200 SpartanII FPGA, with the aid of a HP16500 series logic analyzer. This proved to be much faster than simulating, (or at least that is how we felt) since the serial-ports need thousands and thousands of clock cycles between output transitions... Or maybe we were just too "lazy" to make a testbench! But, this core does work. It is just over 1000 lines of Verilog code, with lots of comments, and it took many hours to debug it.

- -

Downloads:

-

-

rs232_syscon_1_01_xsoc.zip. This file contains the updated rs232_syscon, which supports muxing of the stb_o and we_o lines (in addition to the adr_o lines, which were already muxed between rs232_syscon and the normal bus master.) This file shows how the rs232_syscon can be connected to a host microcontroller. In this case the microcontroller is a 16-bit RISC design, modified from the original XSOC project. This entire design takes up only about 900 Xilinx Virtex slices. The rs232_syscon uses more than half of this logic.... The RISC microcontroller runs at about 32 MHz on the XC2S200 SpartanII chip, without any floorplanning and without any aggressive timing constraints. -

-rs232_syscon_soc1.zip. -This file contains the rs232_syscon connected to a set of 8 registers, 1 of which is read only (uses "reg_8_pack.v" for the registers). It is a good example of how the tri-state data bus connects to the registers. It also has an lcd-panel test block connected to the outputs of the registers, but you can easily delete that part. -

-rs232_syscon_soc2.zip. -This file contains an additional register block (uses "reg_4_pack.v") and it also shows how the output from the registers is connected to an lcd flat-panel test module, which has a "bouncing pong-ball" on the screen, with grid lines, and an aimable "crosshairs" sight. The lcd flat-panel which was tested was from an IBM 700C laptop computer, and it required a pixel clock of around 25 MHz (this design used 49.152 MHz divided by two.) (New surplus lcd panels are available in USA from Brigar Electronics for only $12.95US! If you get one, email us and we will send you a connection diagram.) -

-rs232_syscon_soc3.zip. -This file contains rs232_syscon, connected to some instantiations of Xilinx dual-ported block-RAM. Using the registers, the user can write to the 16-bit side of the memory block, and read from the 32-bit side! The memory block is arranged to be "little endian." -

-rs232_syscon_1_00_source.zip.This file contains only "rs232_syscon.v" and "serial.v". The serial port function is in "serial.v" This is the bare minimum design. -

- - Index: rs232_syscon_soc3.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_soc3.zip =================================================================== --- rs232_syscon_soc3.zip (revision 6) +++ rs232_syscon_soc3.zip (nonexistent)
rs232_syscon_soc3.zip Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: index.shtml =================================================================== --- index.shtml (revision 6) +++ index.shtml (nonexistent) @@ -1,8 +0,0 @@ - - -Project: rs232_syscon

-People | -Documentation | -Download | -OpenCores Mail list | -Contact me

Description

rs232_syscon is a synthesizeable soft core that allows debugging of peripherals connected to a Wishbone type of bus. Specifically, it lets the user write and read registers, and send out reset pulses, via an rs232 serial connection to a "dumb terminal." (Such as windows "hyperterm"!) It is completely scalable through parameter settings, to accomodate address and data buses of any arbitrary size. Furthermore, the rs232_syscon module can share the Wishbone bus with the master (presumably a processor of some kind). It implements a handshaking protocol with the master to "request" the bus. When the master grants access, the rs232_syscon runs bus cycles on its own, to report contents of registers and memory back to the user, in an easy-to-read hexadecimal format. This is very useful when debugging peripherals -- you can set the contents of memory, set up registers, and even use registers to control "single stepping" of your target processor. If desired, the rs232_syscon can be the sole master of the Wishbone bus, to perform "human-speed" tests on peripherals (set a value, check a result) without having to connect the peripheral to a processor.

The "ack_i" and "err_i" signals of the Wishbone bus are used to determine if the bus cycles are correctly executed. The rs232_syscon module uses a "watchdog" timer to determine if "ack_i" has arrived too late, and if so, it sends an error indicator back to the host terminal. If "err_i" occurs, it also sends back a bus error indicator. The timeout value of the watchdog timer is configurable by parameters to whatever length is needed, and the bus cycles are automatically extended for as many clocks as needed until the "ack_i" signal is received. If "ack_i" is not used, simply tie it high.

The design team of rs232_syscon welcomes any kind of help and feedback on this core. If you are interested in further development of this project, please contact us.


Current Status:

  • This core now supports three basic commands: 'r' (read), 'w' (write) and 'i' (initialize = reset).
  • The read/write commands allow a quantity field, to specify multiple writes or reads (using consecutive addresses).
  • There are no technology-dependent elements used in this core.
  • The data bus is currently a tri-stated bus, although separate dat_i/dat_o buses could easily be supported.
  • The design consumes 400-700 Xilinx Virtex slices (depending on parameters)
  • The core runs at around 40MHz on Xilinx SpartanII, with about 8-12 registers and some dual-ported block RAM attached to the bus.
  • The interface is currently implemented as a large state-machine (no processor is involved.)
  • The command structure is very simple and "sparse."

In the future, a version could be implemented using a small microcontroller core with some integrated software, which would probably be more compact and flexible, with a richer command set. But, since we wanted to use this core to _develop_ microcontroller cores -- well, it was a case of "which came first, the chicken or the egg?" We had to start somewhere!

Next Steps:

  • Use rs232_syscon to build and debug a small microcontroller, which may form a better rs232_syscon in the future.
  • Extend the command set, to make it more useful.

Maintainer(s):

Mailing-list:

Index: rs232_syscon_1_01_xsoc.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_1_01_xsoc.zip =================================================================== --- rs232_syscon_1_01_xsoc.zip (revision 6) +++ rs232_syscon_1_01_xsoc.zip (nonexistent)
rs232_syscon_1_01_xsoc.zip Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: b13_safe_09_17_02.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: b13_safe_09_17_02.zip =================================================================== --- b13_safe_09_17_02.zip (revision 6) +++ b13_safe_09_17_02.zip (nonexistent)
b13_safe_09_17_02.zip Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: rs232_syscon_1_00_source.zip =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: rs232_syscon_1_00_source.zip =================================================================== --- rs232_syscon_1_00_source.zip (revision 6) +++ rs232_syscon_1_00_source.zip (nonexistent)
rs232_syscon_1_00_source.zip Property changes : Deleted: svn:mime-type ## -1 +0,0 ## -application/octet-stream \ No newline at end of property Index: svn_checkin.sh =================================================================== --- svn_checkin.sh (nonexistent) +++ svn_checkin.sh (revision 5) @@ -0,0 +1,2834 @@ +#!/bin/bash +# AUTOMATICALLY GENERATED SCRIPT +# Scans the cores directory, excludes the projects and subdirectories +# listed below, and generates a script which checks in all of the +# remaining files to the SVN repository +# This should be run and the output piped to a new file something like: +# ./oc_cvs_checkin.sh > checkin_script.sh +# and then probably the execute permission enabled on checkin_script.sh +# Encapsulate the checkins inside this loop we can +# break out of in the event of a problem checking +# one of them in + +# Function to check the return value of each SVN checkin +function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi +} +ALL_DONE="0" +while [ $ALL_DONE = 0 ]; do + pushd "100baset" + popd + pushd "1394ohci" + popd + pushd "2dcoprocessor" + popd + pushd "395_vgs" + popd + pushd "3des_vhdl" + popd + pushd "4bitprocesor" + popd + pushd "6502vhdl" + popd + pushd "68hc05" + popd + pushd "68hc08" + popd + pushd "8051_serial" + popd + pushd "8051_to_ahb_interface" + popd + pushd "8b10b_encdec" + svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://orsoc.se:4488/svn/8b10b_encdec/8b10b_encdec_v1d0.pdf" + check_svn_return_value + svn import -m "Import from OC" "8b10_dec.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_dec.vhd" + check_svn_return_value + svn import -m "Import from OC" "8b10_enc.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/8b10_enc.vhd" + check_svn_return_value + svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/enc_8b10b_TB.vhd" + check_svn_return_value + svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://orsoc.se:4488/svn/8b10b_encdec/encdec_8b10b_TB.vhd" + check_svn_return_value + popd + pushd "8bituartvhdl" + popd + pushd "aacencode" + popd + pushd "acxbrd" + svn import -m "Import from OC" "jopcore.pdf" "http://orsoc.se:4488/svn/acxbrd/jopcore.pdf" + check_svn_return_value + popd + pushd "adaptivefilter" + popd + pushd "adaptive_lms_equalizer" + popd + pushd "adder" + svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://orsoc.se:4488/svn/adder/high-speed-adder-128bits-opencore.v" + check_svn_return_value + popd + pushd "ae18" + popd + pushd "aemb" + popd + pushd "aes128" + popd + pushd "aes_128_192_256" + svn import -m "Import from OC" "aes_dec.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_dec.vhdl" + check_svn_return_value + svn import -m "Import from OC" "aes_enc.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_enc.vhdl" + check_svn_return_value + svn import -m "Import from OC" "aes_pkg.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/aes_pkg.vhdl" + check_svn_return_value + svn import -m "Import from OC" "aes_top.pdf" "http://orsoc.se:4488/svn/aes_128_192_256/aes_top.pdf" + check_svn_return_value + svn import -m "Import from OC" "key_expansion.vhdl" "http://orsoc.se:4488/svn/aes_128_192_256/key_expansion.vhdl" + check_svn_return_value + popd + pushd "aes_core" + popd + pushd "aes_crypto_core" + popd + pushd "aes_fekete256" + svn import -m "Import from OC" "AES.ZIP" "http://orsoc.se:4488/svn/aes_fekete256/AES.ZIP" + check_svn_return_value + popd + pushd "ahb2wishbone" + popd + pushd "ahbahb" + popd + pushd "ahb_arbiter" + popd + pushd "ahb_system_generator" + popd + pushd "all_digital_fm_receiver" + svn import -m "Import from OC" "architecture.png" "http://orsoc.se:4488/svn/all_digital_fm_receiver/architecture.png" + check_svn_return_value + svn import -m "Import from OC" "fmsquare.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmsquare.jpg" + check_svn_return_value + svn import -m "Import from OC" "fmtriangular.jpg" "http://orsoc.se:4488/svn/all_digital_fm_receiver/fmtriangular.jpg" + check_svn_return_value + popd + pushd "alternascope" + svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://orsoc.se:4488/svn/alternascope/Alternascope_Sept15_2005.rar" + check_svn_return_value + svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://orsoc.se:4488/svn/alternascope/BlockDiagram_small.GIF" + check_svn_return_value + svn import -m "Import from OC" "OpenCores.JPG" "http://orsoc.se:4488/svn/alternascope/OpenCores.JPG" + check_svn_return_value + popd + pushd "alu_with_selectable_inputs_and_outputs" + popd + pushd "amba_compliant_fifo_core" + popd + pushd "ambasdram" + popd + pushd "aquarius" + svn import -m "Import from OC" "aquarius.files" "http://orsoc.se:4488/svn/aquarius/aquarius.files" + check_svn_return_value + svn import -m "Import from OC" "aquarius.html" "http://orsoc.se:4488/svn/aquarius/aquarius.html" + check_svn_return_value + svn import -m "Import from OC" "cpublock.gif" "http://orsoc.se:4488/svn/aquarius/cpublock.gif" + check_svn_return_value + svn import -m "Import from OC" "fpgaboard.gif" "http://orsoc.se:4488/svn/aquarius/fpgaboard.gif" + check_svn_return_value + svn import -m "Import from OC" "rtl.gif" "http://orsoc.se:4488/svn/aquarius/rtl.gif" + check_svn_return_value + popd + pushd "aspida" + svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://orsoc.se:4488/svn/aspida/aspida_dlx_core.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "aspida.gif" "http://orsoc.se:4488/svn/aspida/aspida.gif" + check_svn_return_value + svn import -m "Import from OC" "faq.tar.gz" "http://orsoc.se:4488/svn/aspida/faq.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "thumb_aspida.gif" "http://orsoc.se:4488/svn/aspida/thumb_aspida.gif" + check_svn_return_value + popd + pushd "asynchronous_clocks" + popd + pushd "ata" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ata/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://orsoc.se:4488/svn/ata/preliminary_ata_core.pdf" + check_svn_return_value + popd + pushd "auto_baud" + svn import -m "Import from OC" "auto_baud.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud.v" + check_svn_return_value + svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://orsoc.se:4488/svn/auto_baud/auto_baud_with_tracking.v" + check_svn_return_value + svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/auto_baud/b13_safe_09_17_02.zip" + check_svn_return_value + popd + pushd "a_vhd_16550_uart" + svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://orsoc.se:4488/svn/a_vhd_16550_uart/gh_uart_16550_101307.zip" + check_svn_return_value + svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://orsoc.se:4488/svn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" + check_svn_return_value + popd + pushd "a_vhdl_can_controller" + svn import -m "Import from OC" "can_parts.zip" "http://orsoc.se:4488/svn/a_vhdl_can_controller/can_parts.zip" + check_svn_return_value + popd + pushd "avr_core" + svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://orsoc.se:4488/svn/avr_core/AVR_Core8F.tar.gz" + check_svn_return_value + popd + pushd "ax8" + popd + pushd "basicdes" + popd + pushd "basicrsa" + popd + pushd "baudgen" + svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://orsoc.se:4488/svn/baudgen/am_baud_rate_gen.vhd" + check_svn_return_value + popd + pushd "baud_select_uart" + popd + pushd "bc6502" + popd + pushd "big_counter" + popd + pushd "binary_to_bcd" + svn import -m "Import from OC" "b17_test_environment.zip" "http://orsoc.se:4488/svn/binary_to_bcd/b17_test_environment.zip" + check_svn_return_value + svn import -m "Import from OC" "bcd_to_binary.v" "http://orsoc.se:4488/svn/binary_to_bcd/bcd_to_binary.v" + check_svn_return_value + svn import -m "Import from OC" "binary_to_bcd.v" "http://orsoc.se:4488/svn/binary_to_bcd/binary_to_bcd.v" + check_svn_return_value + popd + pushd "bips" + popd + pushd "biquad" + svn import -m "Import from OC" "biquad.pdf" "http://orsoc.se:4488/svn/biquad/biquad.pdf" + check_svn_return_value + svn import -m "Import from OC" "biquad.v" "http://orsoc.se:4488/svn/biquad/biquad.v" + check_svn_return_value + svn import -m "Import from OC" "bqmain.v" "http://orsoc.se:4488/svn/biquad/bqmain.v" + check_svn_return_value + svn import -m "Import from OC" "bquad_blk.gif" "http://orsoc.se:4488/svn/biquad/bquad_blk.gif" + check_svn_return_value + svn import -m "Import from OC" "coefio.v" "http://orsoc.se:4488/svn/biquad/coefio.v" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/biquad/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "multa.v" "http://orsoc.se:4488/svn/biquad/multa.v" + check_svn_return_value + svn import -m "Import from OC" "multb.v" "http://orsoc.se:4488/svn/biquad/multb.v" + check_svn_return_value + svn import -m "Import from OC" "vsource.html" "http://orsoc.se:4488/svn/biquad/vsource.html" + check_svn_return_value + popd + pushd "bluespec-80211atransmitter" + popd + pushd "bluespec-bsp" + popd + pushd "bluespec-convolutional-codec" + popd + pushd "bluespec-fft" + popd + pushd "bluespec-galoisfield" + popd + pushd "bluespec-h264" + svn import -m "Import from OC" "h264.pdf" "http://orsoc.se:4488/svn/bluespec-h264/h264.pdf" + check_svn_return_value + svn import -m "Import from OC" "memo497.pdf" "http://orsoc.se:4488/svn/bluespec-h264/memo497.pdf" + check_svn_return_value + popd + pushd "bluespec-ofdm" + popd + pushd "bluespec-reedsolomon" + popd + pushd "bluetooth" + svn import -m "Import from OC" "BBspec.shtml" "http://orsoc.se:4488/svn/bluetooth/BBspec.shtml" + check_svn_return_value + svn import -m "Import from OC" "Bluetooth_01b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_01b.zip" + check_svn_return_value + svn import -m "Import from OC" "Bluetooth_02b.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth_02b.zip" + check_svn_return_value + svn import -m "Import from OC" "Bluetooth.zip" "http://orsoc.se:4488/svn/bluetooth/Bluetooth.zip" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/bluetooth/index.shtml" + check_svn_return_value + popd + pushd "bluetooth_ver" + popd + pushd "board" + svn import -m "Import from OC" "blockdiagram.jpg" "http://orsoc.se:4488/svn/board/blockdiagram.jpg" + check_svn_return_value + svn import -m "Import from OC" "boardflow.jpg" "http://orsoc.se:4488/svn/board/boardflow.jpg" + check_svn_return_value + svn import -m "Import from OC" "board.shtml" "http://orsoc.se:4488/svn/board/board.shtml" + check_svn_return_value + svn import -m "Import from OC" "coreflow.jpg" "http://orsoc.se:4488/svn/board/coreflow.jpg" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/board/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "led.jpg" "http://orsoc.se:4488/svn/board/led.jpg" + check_svn_return_value + svn import -m "Import from OC" "matrics.gif" "http://orsoc.se:4488/svn/board/matrics.gif" + check_svn_return_value + svn import -m "Import from OC" "power_led.gif" "http://orsoc.se:4488/svn/board/power_led.gif" + check_svn_return_value + svn import -m "Import from OC" "XC95108-PC84.sym" "http://orsoc.se:4488/svn/board/XC95108-PC84.sym" + check_svn_return_value + popd + pushd "boundaries" + popd + pushd "brisc" + popd + pushd "butterfly" + popd + pushd "c16" + popd + pushd "cable" + popd + pushd "cachemodel" + popd + pushd "cam" + popd + pushd "camellia" + svn import -m "Import from OC" "camellia_core_tb.vhd" "http://orsoc.se:4488/svn/camellia/camellia_core_tb.vhd" + check_svn_return_value + svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://orsoc.se:4488/svn/camellia/CAMELLIA_CORE.vhd" + check_svn_return_value + svn import -m "Import from OC" "Camellia_doc.pdf" "http://orsoc.se:4488/svn/camellia/Camellia_doc.pdf" + check_svn_return_value + popd + pushd "camellia-vhdl" + popd + pushd "can" + svn import -m "Import from OC" "CAN.gif" "http://orsoc.se:4488/svn/can/CAN.gif" + check_svn_return_value + popd + pushd "cas" + popd + pushd "cdma" + popd + pushd "cereon" + svn import -m "Import from OC" "AssemblerReference.pdf" "http://orsoc.se:4488/svn/cereon/AssemblerReference.pdf" + check_svn_return_value + svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://orsoc.se:4488/svn/cereon/CereonArchitectureReferenceManual_Version1.pdf" + check_svn_return_value + svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://orsoc.se:4488/svn/cereon/ProcedureCallingStandards.pdf" + check_svn_return_value + svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://orsoc.se:4488/svn/cereon/ProcessorIdentificationScheme.pdf" + check_svn_return_value + popd + pushd "cf_cordic" + svn import -m "Import from OC" "cf_cordic.tgz" "http://orsoc.se:4488/svn/cf_cordic/cf_cordic.tgz" + check_svn_return_value + popd + pushd "cf_fft" + svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test_large.tgz" + check_svn_return_value + svn import -m "Import from OC" "cf_fft_test.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft_test.tgz" + check_svn_return_value + svn import -m "Import from OC" "cf_fft.tgz" "http://orsoc.se:4488/svn/cf_fft/cf_fft.tgz" + check_svn_return_value + popd + pushd "cf_fir" + svn import -m "Import from OC" "cf_fir.tgz" "http://orsoc.se:4488/svn/cf_fir/cf_fir.tgz" + check_svn_return_value + popd + pushd "cf_fp_mul" + svn import -m "Import from OC" "cf_fp_mul.tgz" "http://orsoc.se:4488/svn/cf_fp_mul/cf_fp_mul.tgz" + check_svn_return_value + popd + pushd "cfft" + popd + pushd "cfinterface" + popd + pushd "cf_interleaver" + svn import -m "Import from OC" "cf_interleaver.tgz" "http://orsoc.se:4488/svn/cf_interleaver/cf_interleaver.tgz" + check_svn_return_value + popd + pushd "cf_ldpc" + svn import -m "Import from OC" "cf_ldpc.tgz" "http://orsoc.se:4488/svn/cf_ldpc/cf_ldpc.tgz" + check_svn_return_value + popd + pushd "cf_rca" + svn import -m "Import from OC" "cf_rca.tgz" "http://orsoc.se:4488/svn/cf_rca/cf_rca.tgz" + check_svn_return_value + svn import -m "Import from OC" "rca_tile.png" "http://orsoc.se:4488/svn/cf_rca/rca_tile.png" + check_svn_return_value + popd + pushd "cf_ssp" + svn import -m "Import from OC" "cf_ssp.tgz" "http://orsoc.se:4488/svn/cf_ssp/cf_ssp.tgz" + check_svn_return_value + svn import -m "Import from OC" "ssp_cordic.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_cordic.c" + check_svn_return_value + svn import -m "Import from OC" "ssp_first_order.c" "http://orsoc.se:4488/svn/cf_ssp/ssp_first_order.c" + check_svn_return_value + popd + pushd "cia" + popd + pushd "claw" + popd + pushd "clocklessalu" + popd + pushd "cmpct" + popd + pushd "c-nit_soc" + popd + pushd "color_converter" + popd + pushd "constellation_vga" + popd + pushd "const_encoder" + svn import -m "Import from OC" "Const_enc_oc.doc" "http://orsoc.se:4488/svn/const_encoder/Const_enc_oc.doc" + check_svn_return_value + svn import -m "Import from OC" "const_enc.vhd" "http://orsoc.se:4488/svn/const_encoder/const_enc.vhd" + check_svn_return_value + popd + pushd "cordic" + svn import -m "Import from OC" "cordic.pdf" "http://orsoc.se:4488/svn/cordic/cordic.pdf" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/cordic/index.shtml" + check_svn_return_value + popd + pushd "core_arm" + popd + pushd "cowgirl" + popd + pushd "cpu6502_true_cycle" + popd + pushd "cpu65c02_true_cycle" + popd + pushd "cpu8080" + popd + pushd "cpugen" + svn import -m "Import from OC" "cpugen.jpg" "http://orsoc.se:4488/svn/cpugen/cpugen.jpg" + check_svn_return_value + popd + pushd "cryptopan_core" + popd + pushd "cryptosorter" + svn import -m "Import from OC" "cryptosorter.pdf" "http://orsoc.se:4488/svn/cryptosorter/cryptosorter.pdf" + check_svn_return_value + popd + pushd "csa" + popd + pushd "dallas_one-wire" + popd + pushd "dct" + svn import -m "Import from OC" "dct.shtml" "http://orsoc.se:4488/svn/dct/dct.shtml" + check_svn_return_value + svn import -m "Import from OC" "dct.zip" "http://orsoc.se:4488/svn/dct/dct.zip" + check_svn_return_value + svn import -m "Import from OC" "htmlbook.shtml" "http://orsoc.se:4488/svn/dct/htmlbook.shtml" + check_svn_return_value + svn import -m "Import from OC" "modexp.shtml" "http://orsoc.se:4488/svn/dct/modexp.shtml" + check_svn_return_value + popd + pushd "ddr_sdr" + svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_0.zip" + check_svn_return_value + svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://orsoc.se:4488/svn/ddr_sdr/ddr_sdr_V1_1.zip" + check_svn_return_value + svn import -m "Import from OC" "doc" "http://orsoc.se:4488/svn/ddr_sdr/doc" + check_svn_return_value + svn import -m "Import from OC" "LICENSE.dat" "http://orsoc.se:4488/svn/ddr_sdr/LICENSE.dat" + check_svn_return_value + svn import -m "Import from OC" "vhdl" "http://orsoc.se:4488/svn/ddr_sdr/vhdl" + check_svn_return_value + popd + pushd "ddsgen" + popd + pushd "decoder" + svn import -m "Import from OC" "mp3_decoder.zip" "http://orsoc.se:4488/svn/decoder/mp3_decoder.zip" + check_svn_return_value + popd + pushd "deflatecore" + popd + pushd "des" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/des/index.shtml" + check_svn_return_value + popd + pushd "design_dsp320tmsc10_with_vhdl" + popd + pushd "dfp" + svn import -m "Import from OC" "dfp.gif" "http://orsoc.se:4488/svn/dfp/dfp.gif" + check_svn_return_value + svn import -m "Import from OC" "DFPV10.zip" "http://orsoc.se:4488/svn/dfp/DFPV10.zip" + check_svn_return_value + svn import -m "Import from OC" "V3.zip" "http://orsoc.se:4488/svn/dfp/V3.zip" + check_svn_return_value + popd + pushd "digifilter" + popd + pushd "diogenes" + svn import -m "Import from OC" "diogenes.tar.bz2" "http://orsoc.se:4488/svn/diogenes/diogenes.tar.bz2" + check_svn_return_value + popd + pushd "dirac" + popd + pushd "djpeg" + popd + pushd "dmacontroller" + popd + pushd "dmt_tx" + popd + pushd "dram" + svn import -m "Import from OC" "dram.html" "http://orsoc.se:4488/svn/dram/dram.html" + check_svn_return_value + svn import -m "Import from OC" "dram.shtml" "http://orsoc.se:4488/svn/dram/dram.shtml" + check_svn_return_value + popd + pushd "dualspartainc6713cpci" + svn import -m "Import from OC" "6713_CPU.pdf" "http://orsoc.se:4488/svn/dualspartainc6713cpci/6713_CPU.pdf" + check_svn_return_value + svn import -m "Import from OC" "BotLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/BotLayer.jpg" + check_svn_return_value + svn import -m "Import from OC" "DSP_Front.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_Front.jpg" + check_svn_return_value + svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" + check_svn_return_value + svn import -m "Import from OC" "Mid1Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid1Layer.jpg" + check_svn_return_value + svn import -m "Import from OC" "Mid2Layer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/Mid2Layer.jpg" + check_svn_return_value + svn import -m "Import from OC" "SystemDiagram.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/SystemDiagram.jpg" + check_svn_return_value + svn import -m "Import from OC" "TopLayer.jpg" "http://orsoc.se:4488/svn/dualspartainc6713cpci/TopLayer.jpg" + check_svn_return_value + popd + pushd "dwt2d" + svn import -m "Import from OC" "DIPC1.zip" "http://orsoc.se:4488/svn/dwt2d/DIPC1.zip" + check_svn_return_value + popd + pushd "e123mux" + svn import -m "Import from OC" "Block_Diagram.jpg" "http://orsoc.se:4488/svn/e123mux/Block_Diagram.jpg" + check_svn_return_value + svn import -m "Import from OC" "E123MUX_Core.pdf" "http://orsoc.se:4488/svn/e123mux/E123MUX_Core.pdf" + check_svn_return_value + popd + pushd "e1framer" + popd + pushd "e1framerdeframer" + svn import -m "Import from OC" "e1_framer.zip" "http://orsoc.se:4488/svn/e1framerdeframer/e1_framer.zip" + check_svn_return_value + svn import -m "Import from OC" "fas_insert.vhd" "http://orsoc.se:4488/svn/e1framerdeframer/fas_insert.vhd" + check_svn_return_value + popd + pushd "edatools" + popd + pushd "elevator" + popd + pushd "elphel_353" + popd + pushd "embedded_risc" + svn import -m "Import from OC" "Block_Diagram" "http://orsoc.se:4488/svn/embedded_risc/Block_Diagram" + check_svn_return_value + popd + pushd "embed_z8" + popd + pushd "epp" + svn import -m "Import from OC" "epp.jpg" "http://orsoc.se:4488/svn/epp/epp.jpg" + check_svn_return_value + popd + pushd "epp-interface-v" + popd + pushd "epp-to-wishbone" + popd + pushd "erp" + svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport4.pdf" + check_svn_return_value + svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://orsoc.se:4488/svn/erp/ERPTechnicalReport5.pdf" + check_svn_return_value + svn import -m "Import from OC" "ERPverilogcore.txt" "http://orsoc.se:4488/svn/erp/ERPverilogcore.txt" + check_svn_return_value + popd + pushd "ethdev" + popd + pushd "ethernet_tri_mode" + svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://orsoc.se:4488/svn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" + check_svn_return_value + popd + pushd "ethmac10g" + popd + pushd "ethmacvhdl" + popd + pushd "ethswitch" + popd + pushd "eus100lx" + svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_B_N.jpg" + check_svn_return_value + svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://orsoc.se:4488/svn/eus100lx/180px-EUS_T_N.jpg" + check_svn_return_value + svn import -m "Import from OC" "EUS100LX_BD.gif" "http://orsoc.se:4488/svn/eus100lx/EUS100LX_BD.gif" + check_svn_return_value + popd + pushd "eusfs" + svn import -m "Import from OC" "eusfs-bd.jpg" "http://orsoc.se:4488/svn/eusfs/eusfs-bd.jpg" + check_svn_return_value + svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUSIIa_bottom_tn.jpg" + check_svn_return_value + svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://orsoc.se:4488/svn/eusfs/EUS_II_topa_tn.jpg" + check_svn_return_value + popd + pushd "evision" + popd + pushd "extension_pack" + popd + pushd "fac2222m" + svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://orsoc.se:4488/svn/fac2222m/ADC-DAC-AMP.png" + check_svn_return_value + svn import -m "Import from OC" "fac2222m.png" "http://orsoc.se:4488/svn/fac2222m/fac2222m.png" + check_svn_return_value + popd + pushd "fast-crc" + svn import -m "Import from OC" "CRC-generator.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC-generator.tgz" + check_svn_return_value + svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://orsoc.se:4488/svn/fast-crc/CRC_ie3_contest.pdf" + check_svn_return_value + svn import -m "Import from OC" "CRC.tgz" "http://orsoc.se:4488/svn/fast-crc/CRC.tgz" + check_svn_return_value + svn import -m "Import from OC" "Readme" "http://orsoc.se:4488/svn/fast-crc/Readme" + check_svn_return_value + popd + pushd "fbas_encoder" + svn import -m "Import from OC" "chroma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/chroma_gen.png" + check_svn_return_value + svn import -m "Import from OC" "connect.png" "http://orsoc.se:4488/svn/fbas_encoder/connect.png" + check_svn_return_value + svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas_encoder-0.21.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://orsoc.se:4488/svn/fbas_encoder/fbas-encoder_0.31.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://orsoc.se:4488/svn/fbas_encoder/fbas-enc_scrs1.jpg" + check_svn_return_value + svn import -m "Import from OC" "luma_gen.png" "http://orsoc.se:4488/svn/fbas_encoder/luma_gen.png" + check_svn_return_value + svn import -m "Import from OC" "main.png" "http://orsoc.se:4488/svn/fbas_encoder/main.png" + check_svn_return_value + popd + pushd "fcpu" + svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/fcpu/*" + check_svn_return_value + popd + pushd "ffr16" + svn import -m "Import from OC" "FFR16.jpg" "http://orsoc.se:4488/svn/ffr16/FFR16.jpg" + check_svn_return_value + popd + pushd "fft_32" + popd + pushd "fftprocessor" + popd + pushd "fht" + svn import -m "Import from OC" "fht_tb.v" "http://orsoc.se:4488/svn/fht/fht_tb.v" + check_svn_return_value + svn import -m "Import from OC" "fht.v" "http://orsoc.se:4488/svn/fht/fht.v" + check_svn_return_value + popd + pushd "fifouart" + svn import -m "Import from OC" "UART_datasheet.pdf" "http://orsoc.se:4488/svn/fifouart/UART_datasheet.pdf" + check_svn_return_value + popd + pushd "filter" + svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/filter/*" + check_svn_return_value + popd + pushd "firewire" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/firewire/index.shtml" + check_svn_return_value + popd + pushd "fir_filter_generator" + svn import -m "Import from OC" "design-of-high-speed.pdf" "http://orsoc.se:4488/svn/fir_filter_generator/design-of-high-speed.pdf" + check_svn_return_value + svn import -m "Import from OC" "FirGen_V1.0.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.0.zip" + check_svn_return_value + svn import -m "Import from OC" "FirGen_V1.1.zip" "http://orsoc.se:4488/svn/fir_filter_generator/FirGen_V1.1.zip" + check_svn_return_value + popd + pushd "flha" + popd + pushd "floatingcore" + popd + pushd "floating_point_adder_subtractor" + svn import -m "Import from OC" "addsub.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/addsub.vhd" + check_svn_return_value + svn import -m "Import from OC" "normalize.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/normalize.vhd" + check_svn_return_value + svn import -m "Import from OC" "shift.vhd" "http://orsoc.se:4488/svn/floating_point_adder_subtractor/shift.vhd" + check_svn_return_value + popd + pushd "floppyif" + popd + pushd "fmtransmitter" + popd + pushd "fpga" + svn import -m "Import from OC" "docs.jar" "http://orsoc.se:4488/svn/fpga/docs.jar" + check_svn_return_value + svn import -m "Import from OC" "examples.jar" "http://orsoc.se:4488/svn/fpga/examples.jar" + check_svn_return_value + svn import -m "Import from OC" "Fpga.pdf" "http://orsoc.se:4488/svn/fpga/Fpga.pdf" + check_svn_return_value + svn import -m "Import from OC" "fpga_sw.pdf" "http://orsoc.se:4488/svn/fpga/fpga_sw.pdf" + check_svn_return_value + svn import -m "Import from OC" "gpl.txt" "http://orsoc.se:4488/svn/fpga/gpl.txt" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpga/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "KRPAN.jar" "http://orsoc.se:4488/svn/fpga/KRPAN.jar" + check_svn_return_value + svn import -m "Import from OC" "KRPAN.zip" "http://orsoc.se:4488/svn/fpga/KRPAN.zip" + check_svn_return_value + svn import -m "Import from OC" "opencores.cer" "http://orsoc.se:4488/svn/fpga/opencores.cer" + check_svn_return_value + svn import -m "Import from OC" "pwm12_8s.v" "http://orsoc.se:4488/svn/fpga/pwm12_8s.v" + check_svn_return_value + svn import -m "Import from OC" "sources.jar" "http://orsoc.se:4488/svn/fpga/sources.jar" + check_svn_return_value + svn import -m "Import from OC" "sshot1.gif" "http://orsoc.se:4488/svn/fpga/sshot1.gif" + check_svn_return_value + popd + pushd "fpgabsp" + popd + pushd "fpgaconfig" + svn import -m "Import from OC" "altera_config.png" "http://orsoc.se:4488/svn/fpgaconfig/altera_config.png" + check_svn_return_value + svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig_system_block_diag.gif" + check_svn_return_value + svn import -m "Import from OC" "fpgaConfig.zip" "http://orsoc.se:4488/svn/fpgaconfig/fpgaConfig.zip" + check_svn_return_value + popd + pushd "fpgaproto" + popd + pushd "fpipelines" + popd + pushd "fpu" + svn import -m "Import from OC" "DEADJOE" "http://orsoc.se:4488/svn/fpu/DEADJOE" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/fpu/index.shtml" + check_svn_return_value + popd + pushd "fpu100" + svn import -m "Import from OC" "bug_report_260407.txt" "http://orsoc.se:4488/svn/fpu100/bug_report_260407.txt" + check_svn_return_value + svn import -m "Import from OC" "fpu_doc.pdf" "http://orsoc.se:4488/svn/fpu100/fpu_doc.pdf" + check_svn_return_value + svn import -m "Import from OC" "fpu_v18.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v18.zip" + check_svn_return_value + svn import -m "Import from OC" "fpu_v19.zip" "http://orsoc.se:4488/svn/fpu100/fpu_v19.zip" + check_svn_return_value + popd + pushd "fpu32bit" + popd + pushd "fpuvhdl" + popd + pushd "freetools" + svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/freetools/*" + check_svn_return_value + popd + pushd "froop" + popd + pushd "fsl2serial" + popd + pushd "gamepads" + svn import -m "Import from OC" "gcpad.png" "http://orsoc.se:4488/svn/gamepads/gcpad.png" + check_svn_return_value + svn import -m "Import from OC" "snespad.png" "http://orsoc.se:4488/svn/gamepads/snespad.png" + check_svn_return_value + svn import -m "Import from OC" "snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/snespad_wire.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_gcpad.png" "http://orsoc.se:4488/svn/gamepads/thumb_gcpad.png" + check_svn_return_value + svn import -m "Import from OC" "thumb_snespad.png" "http://orsoc.se:4488/svn/gamepads/thumb_snespad.png" + check_svn_return_value + svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://orsoc.se:4488/svn/gamepads/thumb_snespad_wire.jpg" + check_svn_return_value + popd + pushd "gcpu" + popd + pushd "generic_fifos" + popd + pushd "generic_fifovhd" + popd + pushd "gh_vhdl_library" + svn import -m "Import from OC" "gh_vhdl_lib_3_34.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_34.pdf" + check_svn_return_value + svn import -m "Import from OC" "gh_vhdl_lib_3_35.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_35.pdf" + check_svn_return_value + svn import -m "Import from OC" "gh_vhdl_lib_3_36.pdf" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_3_36.pdf" + check_svn_return_value + svn import -m "Import from OC" "gh_vhdl_lib_v3_34.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_34.zip" + check_svn_return_value + svn import -m "Import from OC" "gh_vhdl_lib_v3_35.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_35.zip" + check_svn_return_value + svn import -m "Import from OC" "gh_vhdl_lib_v3_36.zip" "http://orsoc.se:4488/svn/gh_vhdl_library/gh_vhdl_lib_v3_36.zip" + check_svn_return_value + popd + pushd "gig_ethernet_mac_core" + popd + pushd "gix96" + popd + pushd "gpio" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/gpio/index.shtml" + check_svn_return_value + popd + pushd "graphicallcd" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/graphicallcd/index.shtml" + check_svn_return_value + popd + pushd "graphiti" + svn import -m "Import from OC" "blockschaltbild.png" "http://orsoc.se:4488/svn/graphiti/blockschaltbild.png" + check_svn_return_value + svn import -m "Import from OC" "flowers.jpg" "http://orsoc.se:4488/svn/graphiti/flowers.jpg" + check_svn_return_value + svn import -m "Import from OC" "graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/graphitib.jpg" + check_svn_return_value + svn import -m "Import from OC" "graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/graphiti.jpg" + check_svn_return_value + svn import -m "Import from OC" "testbild.jpg" "http://orsoc.se:4488/svn/graphiti/testbild.jpg" + check_svn_return_value + svn import -m "Import from OC" "tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/tflowers.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_flowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_flowers.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_graphitib.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphitib.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_graphiti.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_graphiti.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_testbild.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_testbild.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_tflowers.jpg" "http://orsoc.se:4488/svn/graphiti/thumb_tflowers.jpg" + check_svn_return_value + popd + pushd "gsc" + svn import -m "Import from OC" "btyacc.tar.gz" "http://orsoc.se:4488/svn/gsc/btyacc.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://orsoc.se:4488/svn/gsc/graphviz-2.8.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://orsoc.se:4488/svn/gsc/gsc-0.1.1.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "gsc.pdf" "http://orsoc.se:4488/svn/gsc/gsc.pdf" + check_svn_return_value + svn import -m "Import from OC" "keystone.tar.gz" "http://orsoc.se:4488/svn/gsc/keystone.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://orsoc.se:4488/svn/gsc/treecc-0.3.8.tar.gz" + check_svn_return_value + popd + pushd "gup" + svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://orsoc.se:4488/svn/gup/gator_ucomputer_v1.0.zip" + check_svn_return_value + svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/gup_logo_thumb.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://orsoc.se:4488/svn/gup/thumb_gup_logo_thumb.jpg" + check_svn_return_value + popd + pushd "gzip" + popd + pushd "hamming" + popd + pushd "hamming_gen" + svn import -m "Import from OC" "hamming.zip" "http://orsoc.se:4488/svn/hamming_gen/hamming.zip" + check_svn_return_value + popd + pushd "hangyu" + popd + pushd "hasm" + popd + pushd "hdb3" + popd + pushd "hdbn" + popd + pushd "hdlc" + svn import -m "Import from OC" "HDLC_cont.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDLC_cont.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_cont.ps" + check_svn_return_value + svn import -m "Import from OC" "hdlc_fifo.jpg" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.jpg" + check_svn_return_value + svn import -m "Import from OC" "hdlc_fifo.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_fifo.ps" + check_svn_return_value + svn import -m "Import from OC" "hdlc_project.html" "http://orsoc.se:4488/svn/hdlc/hdlc_project.html" + check_svn_return_value + svn import -m "Import from OC" "hdlc_project.pdf" "http://orsoc.se:4488/svn/hdlc/hdlc_project.pdf" + check_svn_return_value + svn import -m "Import from OC" "hdlc_project.ps" "http://orsoc.se:4488/svn/hdlc/hdlc_project.ps" + check_svn_return_value + svn import -m "Import from OC" "HDLC_top.jpg" "http://orsoc.se:4488/svn/hdlc/HDLC_top.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDLC_top.ps" "http://orsoc.se:4488/svn/hdlc/HDLC_top.ps" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/hdlc/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/hdlc/wishlogo.ps" + check_svn_return_value + popd + pushd "help" + svn import -m "Import from OC" "exp1pf.gif" "http://orsoc.se:4488/svn/help/exp1pf.gif" + check_svn_return_value + svn import -m "Import from OC" "search.shtml" "http://orsoc.se:4488/svn/help/search.shtml" + check_svn_return_value + popd + pushd "hicovec" + popd + pushd "hierarch_unit" + popd + pushd "hmta" + popd + pushd "houmway" + popd + pushd "hpc-16" + popd + pushd "hpcmemory" + popd + pushd "hssdrc" + popd + pushd "ht_tunnel" + popd + pushd "hwlu" + popd + pushd "i2c" + svn import -m "Import from OC" "Block.gif" "http://orsoc.se:4488/svn/i2c/Block.gif" + check_svn_return_value + svn import -m "Import from OC" "i2c_rev03.pdf" "http://orsoc.se:4488/svn/i2c/i2c_rev03.pdf" + check_svn_return_value + svn import -m "Import from OC" "index_orig.shtml" "http://orsoc.se:4488/svn/i2c/index_orig.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/i2c/index.shtml" + check_svn_return_value + popd + pushd "i2clog" + svn import -m "Import from OC" "Documentation" "http://orsoc.se:4488/svn/i2clog/Documentation" + check_svn_return_value + svn import -m "Import from OC" "front" "http://orsoc.se:4488/svn/i2clog/front" + check_svn_return_value + svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://orsoc.se:4488/svn/i2clog/I2C_TrafficLogger.v" + check_svn_return_value + popd + pushd "i2c_master_slave_core" + popd + pushd "i2c_slave" + svn import -m "Import from OC" "iic_slave_3.v" "http://orsoc.se:4488/svn/i2c_slave/iic_slave_3.v" + check_svn_return_value + popd + pushd "i2c_vhdl" + popd + pushd "i2s" + svn import -m "Import from OC" "dff.vhd" "http://orsoc.se:4488/svn/i2s/dff.vhd" + check_svn_return_value + svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://orsoc.se:4488/svn/i2s/ebu_2_i2s.vhd" + check_svn_return_value + popd + pushd "i2s_interface" + svn import -m "Import from OC" "i2s_interface.zip" "http://orsoc.se:4488/svn/i2s_interface/i2s_interface.zip" + check_svn_return_value + popd + pushd "i2sparalell" + popd + pushd "ic6821" + svn import -m "Import from OC" "VHDL6821.vhd" "http://orsoc.se:4488/svn/ic6821/VHDL6821.vhd" + check_svn_return_value + popd + pushd "icu" + popd + pushd "ide" + popd + pushd "idea" + svn import -m "Import from OC" "block_opmode.tar.gz" "http://orsoc.se:4488/svn/idea/block_opmode.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "control.tar.gz" "http://orsoc.se:4488/svn/idea/control.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "IDEA core block.GIF" "http://orsoc.se:4488/svn/idea/IDEA core block.GIF" + check_svn_return_value + svn import -m "Import from OC" "idea_machine.tar.gz" "http://orsoc.se:4488/svn/idea/idea_machine.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "IDEA mechine block.GIF" "http://orsoc.se:4488/svn/idea/IDEA mechine block.GIF" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/idea/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "keys_generate.tar.gz" "http://orsoc.se:4488/svn/idea/keys_generate.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://orsoc.se:4488/svn/idea/Paper_IES2001_sby.PDF" + check_svn_return_value + svn import -m "Import from OC" "port_inout.tar.gz" "http://orsoc.se:4488/svn/idea/port_inout.tar.gz" + check_svn_return_value + popd + pushd "iiepci" + svn import -m "Import from OC" "iie_pci_back.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_back.jpg" + check_svn_return_value + svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_diagram.jpg" + check_svn_return_value + svn import -m "Import from OC" "iie_pci_front.jpg" "http://orsoc.se:4488/svn/iiepci/iie_pci_front.jpg" + check_svn_return_value + popd + pushd "ima-adpcm" + popd + pushd "interface_vga80x40" + svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://orsoc.se:4488/svn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" + check_svn_return_value + svn import -m "Import from OC" "if_vga80x40.zip" "http://orsoc.se:4488/svn/interface_vga80x40/if_vga80x40.zip" + check_svn_return_value + svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://orsoc.se:4488/svn/interface_vga80x40/VGA80x40_documentation.pdf" + check_svn_return_value + popd + pushd "ipchip" + popd + pushd "irda" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/irda/index.shtml" + check_svn_return_value + popd + pushd "iso7816-3" + svn import -m "Import from OC" "iso7816-3.tgz" "http://orsoc.se:4488/svn/iso7816-3/iso7816-3.tgz" + check_svn_return_value + popd + pushd "isp" + popd + pushd "jop" + popd + pushd "jpeg" + svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://orsoc.se:4488/svn/jpeg/DiagramaCompJPGen.png" + check_svn_return_value + svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://orsoc.se:4488/svn/jpeg/floresconsubsamp211.jpg" + check_svn_return_value + svn import -m "Import from OC" "floressinsubsamp.jpg" "http://orsoc.se:4488/svn/jpeg/floressinsubsamp.jpg" + check_svn_return_value + svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ05PSP.JPG" + check_svn_return_value + svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ15.jpg" + check_svn_return_value + svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ31.jpg" + check_svn_return_value + svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://orsoc.se:4488/svn/jpeg/imagenfrutasQ50.jpg" + check_svn_return_value + svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosPSPQ15.jpg" + check_svn_return_value + svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://orsoc.se:4488/svn/jpeg/imagenglobosQ15.jpg" + check_svn_return_value + popd + pushd "jpegcompression" + svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/jpegcompression/*" + check_svn_return_value + popd + pushd "jtag" + svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://orsoc.se:4488/svn/jtag/Boundary-Scan Architecture.pdf" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/jtag/index.shtml" + check_svn_return_value + popd + pushd "k68" + popd + pushd "k7_viterbi_decoder" + popd + pushd "kad" + popd + pushd "kcpsm3_interrupt_handling" + popd + pushd "keyboardcontroller" + popd + pushd "keypad_scanner" + svn import -m "Import from OC" "keypad_scanner.v" "http://orsoc.se:4488/svn/keypad_scanner/keypad_scanner.v" + check_svn_return_value + popd + pushd "kiss-board" + popd + pushd "ksystem" + popd + pushd "l8051" + svn import -m "Import from OC" "L8051.tar" "http://orsoc.se:4488/svn/l8051/L8051.tar" + check_svn_return_value + popd + pushd "lcd" + svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/lcd/alliance.shtml" + check_svn_return_value + svn import -m "Import from OC" "counterc.shtml" "http://orsoc.se:4488/svn/lcd/counterc.shtml" + check_svn_return_value + svn import -m "Import from OC" "counter.shtml" "http://orsoc.se:4488/svn/lcd/counter.shtml" + check_svn_return_value + svn import -m "Import from OC" "counterv.shtml" "http://orsoc.se:4488/svn/lcd/counterv.shtml" + check_svn_return_value + svn import -m "Import from OC" "decoderc.shtml" "http://orsoc.se:4488/svn/lcd/decoderc.shtml" + check_svn_return_value + svn import -m "Import from OC" "decoderv.shtml" "http://orsoc.se:4488/svn/lcd/decoderv.shtml" + check_svn_return_value + svn import -m "Import from OC" "dffresc.shtml" "http://orsoc.se:4488/svn/lcd/dffresc.shtml" + check_svn_return_value + svn import -m "Import from OC" "dffresv.shtml" "http://orsoc.se:4488/svn/lcd/dffresv.shtml" + check_svn_return_value + svn import -m "Import from OC" "dflipflop.shtml" "http://orsoc.se:4488/svn/lcd/dflipflop.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/lcd/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/lcd/index.shtml.old" + check_svn_return_value + svn import -m "Import from OC" "LCD.ht1.gif" "http://orsoc.se:4488/svn/lcd/LCD.ht1.gif" + check_svn_return_value + svn import -m "Import from OC" "lcd.zip" "http://orsoc.se:4488/svn/lcd/lcd.zip" + check_svn_return_value + svn import -m "Import from OC" "mcc.shtml" "http://orsoc.se:4488/svn/lcd/mcc.shtml" + check_svn_return_value + svn import -m "Import from OC" "mcv.shtml" "http://orsoc.se:4488/svn/lcd/mcv.shtml" + check_svn_return_value + svn import -m "Import from OC" "ramc.shtml" "http://orsoc.se:4488/svn/lcd/ramc.shtml" + check_svn_return_value + svn import -m "Import from OC" "ramv.shtml" "http://orsoc.se:4488/svn/lcd/ramv.shtml" + check_svn_return_value + svn import -m "Import from OC" "struct.shtml" "http://orsoc.se:4488/svn/lcd/struct.shtml" + check_svn_return_value + svn import -m "Import from OC" "test.shtml" "http://orsoc.se:4488/svn/lcd/test.shtml" + check_svn_return_value + popd + pushd "lcd1" + popd + pushd "lcd_controller" + svn import -m "Import from OC" "AP.zip" "http://orsoc.se:4488/svn/lcd_controller/AP.zip" + check_svn_return_value + svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://orsoc.se:4488/svn/lcd_controller/CM920TUserGuide.pdf" + check_svn_return_value + svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://orsoc.se:4488/svn/lcd_controller/ColorTFT-LCDController.ppt" + check_svn_return_value + svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://orsoc.se:4488/svn/lcd_controller/DUI0146C_LM600.pdf" + check_svn_return_value + svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://orsoc.se:4488/svn/lcd_controller/tx18d16vm1caa.pdf" + check_svn_return_value + popd + pushd "ldpc_decoder_802_3an" + svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://orsoc.se:4488/svn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" + check_svn_return_value + popd + pushd "ldpc_encoder_802_3an" + svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://orsoc.se:4488/svn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" + check_svn_return_value + popd + pushd "lem1_9min" + svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://orsoc.se:4488/svn/lem1_9min/d3_lem1_9min_hw.ucf" + check_svn_return_value + svn import -m "Import from OC" "Form1.cs" "http://orsoc.se:4488/svn/lem1_9min/Form1.cs" + check_svn_return_value + svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_asm.csproj" + check_svn_return_value + svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_defs.vhd" + check_svn_return_value + svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min_hw.vhd" + check_svn_return_value + svn import -m "Import from OC" "lem1_9min.vhd" "http://orsoc.se:4488/svn/lem1_9min/lem1_9min.vhd" + check_svn_return_value + svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://orsoc.se:4488/svn/lem1_9min/trinity_talk_041205.pdf" + check_svn_return_value + popd + pushd "light8080" + popd + pushd "lin-a" + popd + pushd "line_codes" + popd + pushd "linuxvcap" + popd + pushd "llc1394" + popd + pushd "log_anal" + popd + pushd "lowpowerfir" + svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://orsoc.se:4488/svn/lowpowerfir/FIRLowPowerConsiderations.doc" + check_svn_return_value + svn import -m "Import from OC" "fir.zip" "http://orsoc.se:4488/svn/lowpowerfir/fir.zip" + check_svn_return_value + popd + pushd "lpc" + popd + pushd "lpu" + svn import -m "Import from OC" "lpu.zip" "http://orsoc.se:4488/svn/lpu/lpu.zip" + check_svn_return_value + svn import -m "Import from OC" "Mem Driven Processor.doc" "http://orsoc.se:4488/svn/lpu/Mem Driven Processor.doc" + check_svn_return_value + popd + pushd "lq057q3dc02" + popd + pushd "lwmips" + popd + pushd "lwrisc" + svn import -m "Import from OC" "200735153855.bmp" "http://orsoc.se:4488/svn/lwrisc/200735153855.bmp" + check_svn_return_value + svn import -m "Import from OC" "200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/200735153855.JPG" + check_svn_return_value + svn import -m "Import from OC" "clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/clairisc.JPG" + check_svn_return_value + svn import -m "Import from OC" "thumb_200735153855.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_200735153855.JPG" + check_svn_return_value + svn import -m "Import from OC" "thumb_clairisc.JPG" "http://orsoc.se:4488/svn/lwrisc/thumb_clairisc.JPG" + check_svn_return_value + svn import -m "Import from OC" "thumb_we.GIF" "http://orsoc.se:4488/svn/lwrisc/thumb_we.GIF" + check_svn_return_value + svn import -m "Import from OC" "we.GIF" "http://orsoc.se:4488/svn/lwrisc/we.GIF" + check_svn_return_value + popd + pushd "m1_core" + popd + pushd "mac" + popd + pushd "macroblock_motion_detection" + popd + pushd "maf" + popd + pushd "mafa-pc-board" + popd + pushd "man2uart" + svn import -m "Import from OC" "Man2uartopencores.txt" "http://orsoc.se:4488/svn/man2uart/Man2uartopencores.txt" + check_svn_return_value + popd + pushd "manchesterencoderdecoder" + svn import -m "Import from OC" "ME2.vhd" "http://orsoc.se:4488/svn/manchesterencoderdecoder/ME2.vhd" + check_svn_return_value + popd + pushd "marca" + popd + pushd "matrix3x3" + popd + pushd "maxii-evalboard" + svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://orsoc.se:4488/svn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" + check_svn_return_value + popd + pushd "mb-jpeg" + svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://orsoc.se:4488/svn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" + check_svn_return_value + popd + pushd "mcbsp" + popd + pushd "mcpu" + svn import -m "Import from OC" "mcpu_1.06b.zip" "http://orsoc.se:4488/svn/mcpu/mcpu_1.06b.zip" + check_svn_return_value + svn import -m "Import from OC" "mcpu-doc.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu-doc.pdf" + check_svn_return_value + svn import -m "Import from OC" "mcpu.pdf" "http://orsoc.se:4488/svn/mcpu/mcpu.pdf" + check_svn_return_value + popd + pushd "mcu8" + popd + pushd "md5" + popd + pushd "mdct" + svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/mdct/block_diagram.jpg" + check_svn_return_value + popd + pushd "membist" + popd + pushd "mem_ctrl" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mem_ctrl/index.shtml" + check_svn_return_value + popd + pushd "memorycontroller" + popd + pushd "memory_cores" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_cores/index.shtml" + check_svn_return_value + popd + pushd "memory_sizer" + svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_dual_path.zip" + check_svn_return_value + svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://orsoc.se:4488/svn/memory_sizer/b10_safe_12_18_01_single_path.zip" + check_svn_return_value + svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/memory_sizer/documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/memory_sizer/download.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/memory_sizer/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer_dual_path.v" + check_svn_return_value + svn import -m "Import from OC" "memory_sizer.v" "http://orsoc.se:4488/svn/memory_sizer/memory_sizer.v" + check_svn_return_value + svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/memory_sizer/people.shtml" + check_svn_return_value + popd + pushd "mfpga" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/mfpga/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "mfpga_block.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block.gif" + check_svn_return_value + svn import -m "Import from OC" "mfpga_block_new.gif" "http://orsoc.se:4488/svn/mfpga/mfpga_block_new.gif" + check_svn_return_value + svn import -m "Import from OC" "micro_orcad.sch" "http://orsoc.se:4488/svn/mfpga/micro_orcad.sch" + check_svn_return_value + svn import -m "Import from OC" "micro_protelbinary.lib" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.lib" + check_svn_return_value + svn import -m "Import from OC" "micro_protelbinary.sch" "http://orsoc.se:4488/svn/mfpga/micro_protelbinary.sch" + check_svn_return_value + svn import -m "Import from OC" "micro_sch.pdf" "http://orsoc.se:4488/svn/mfpga/micro_sch.pdf" + check_svn_return_value + svn import -m "Import from OC" "xcv50.jpg" "http://orsoc.se:4488/svn/mfpga/xcv50.jpg" + check_svn_return_value + popd + pushd "micore" + popd + pushd "microprocessor" + popd + pushd "milsa" + popd + pushd "milstd1553bbusprotocol" + popd + pushd "mini-acex1k" + popd + pushd "mini_aes" + popd + pushd "minimips" + svn import -m "Import from OC" "miniMIPS.zip" "http://orsoc.se:4488/svn/minimips/miniMIPS.zip" + check_svn_return_value + popd + pushd "minirisc" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/minirisc/index.shtml" + check_svn_return_value + popd + pushd "mips789" + svn import -m "Import from OC" "cal_PI_2.GIF" "http://orsoc.se:4488/svn/mips789/cal_PI_2.GIF" + check_svn_return_value + svn import -m "Import from OC" "MIPS789.bmp" "http://orsoc.se:4488/svn/mips789/MIPS789.bmp" + check_svn_return_value + svn import -m "Import from OC" "pi_2200.GIF" "http://orsoc.se:4488/svn/mips789/pi_2200.GIF" + check_svn_return_value + svn import -m "Import from OC" "topview.GIF" "http://orsoc.se:4488/svn/mips789/topview.GIF" + check_svn_return_value + popd + pushd "mipss" + svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://orsoc.se:4488/svn/mipss/s70_32bit_to_9bit.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_ALU.vhd" "http://orsoc.se:4488/svn/mipss/s70_ALU.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://orsoc.se:4488/svn/mipss/s70_ctrl_unit.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem_comp.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_data_mem.vhd" "http://orsoc.se:4488/svn/mipss/s70_data_mem.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_datapath.vhd" "http://orsoc.se:4488/svn/mipss/s70_datapath.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://orsoc.se:4488/svn/mipss/s70_Ext_S_Z.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_inc.vhd" "http://orsoc.se:4488/svn/mipss/s70_inc.vhd" + check_svn_return_value + popd + pushd "mmcfpgaconfig" + popd + pushd "moonshadow" + popd + pushd "most" + svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://orsoc.se:4488/svn/most/MOST_Core_Compliance_Test_Specification.pdf" + check_svn_return_value + svn import -m "Import from OC" "MOSTSpecification.pdf" "http://orsoc.se:4488/svn/most/MOSTSpecification.pdf" + check_svn_return_value + popd + pushd "most_core" + popd + pushd "motion_controller" + popd + pushd "motionestimator" + popd + pushd "motor" + popd + pushd "mp3decoder" + popd + pushd "mpdma" + svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.14.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://orsoc.se:4488/svn/mpdma/BlazeCluster_v0.15.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.17.zip" + check_svn_return_value + svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://orsoc.se:4488/svn/mpdma/BlazeClusterv0.1.zip" + check_svn_return_value + svn import -m "Import from OC" "koblenz8_20070902.zip" "http://orsoc.se:4488/svn/mpdma/koblenz8_20070902.zip" + check_svn_return_value + svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061020.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023b.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023c.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://orsoc.se:4488/svn/mpdma/mpdma20061023.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://orsoc.se:4488/svn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" + check_svn_return_value + popd + pushd "mpeg2decoder" + popd + pushd "mpeg4_video_coding" + popd + pushd "mpegencoderdecoder" + popd + pushd "mup" + popd + pushd "ncore" + svn import -m "Import from OC" "CASM.C" "http://orsoc.se:4488/svn/ncore/CASM.C" + check_svn_return_value + svn import -m "Import from OC" "NCORE2.V" "http://orsoc.se:4488/svn/ncore/NCORE2.V" + check_svn_return_value + svn import -m "Import from OC" "NCORE3.V" "http://orsoc.se:4488/svn/ncore/NCORE3.V" + check_svn_return_value + svn import -m "Import from OC" "nCore_doc.pdf" "http://orsoc.se:4488/svn/ncore/nCore_doc.pdf" + check_svn_return_value + svn import -m "Import from OC" "NCORE.tar.bz2" "http://orsoc.se:4488/svn/ncore/NCORE.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "nCore.v" "http://orsoc.se:4488/svn/ncore/nCore.v" + check_svn_return_value + svn import -m "Import from OC" "SIM.C" "http://orsoc.se:4488/svn/ncore/SIM.C" + check_svn_return_value + popd + pushd "nemo_emotion" + popd + pushd "neot" + popd + pushd "neptune-core" + svn import -m "Import from OC" "triton-block.png" "http://orsoc.se:4488/svn/neptune-core/triton-block.png" + check_svn_return_value + popd + pushd "nnARM" + svn import -m "Import from OC" "Arch118.pdf" "http://orsoc.se:4488/svn/nnARM/Arch118.pdf" + check_svn_return_value + svn import -m "Import from OC" "Architecture111.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf" + check_svn_return_value + svn import -m "Import from OC" "Architecture111.pdf.old" "http://orsoc.se:4488/svn/nnARM/Architecture111.pdf.old" + check_svn_return_value + svn import -m "Import from OC" "Architecture_jc.pdf" "http://orsoc.se:4488/svn/nnARM/Architecture_jc.pdf" + check_svn_return_value + svn import -m "Import from OC" "BS.shtml" "http://orsoc.se:4488/svn/nnARM/BS.shtml" + check_svn_return_value + svn import -m "Import from OC" "default.htm" "http://orsoc.se:4488/svn/nnARM/default.htm" + check_svn_return_value + svn import -m "Import from OC" "Documentation.shtml" "http://orsoc.se:4488/svn/nnARM/Documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "Download.shtml" "http://orsoc.se:4488/svn/nnARM/Download.shtml" + check_svn_return_value + svn import -m "Import from OC" "GT.shtml" "http://orsoc.se:4488/svn/nnARM/GT.shtml" + check_svn_return_value + svn import -m "Import from OC" "index1.shtml" "http://orsoc.se:4488/svn/nnARM/index1.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml1" "http://orsoc.se:4488/svn/nnARM/index.shtml1" + check_svn_return_value + svn import -m "Import from OC" "index.shtml.old" "http://orsoc.se:4488/svn/nnARM/index.shtml.old" + check_svn_return_value + svn import -m "Import from OC" "Introduction.shtml" "http://orsoc.se:4488/svn/nnARM/Introduction.shtml" + check_svn_return_value + svn import -m "Import from OC" "News.htm" "http://orsoc.se:4488/svn/nnARM/News.htm" + check_svn_return_value + svn import -m "Import from OC" "News.shtml" "http://orsoc.se:4488/svn/nnARM/News.shtml" + check_svn_return_value + svn import -m "Import from OC" "nnARM.prog" "http://orsoc.se:4488/svn/nnARM/nnARM.prog" + check_svn_return_value + svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_10_1.zip" + check_svn_return_value + svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_19.zip" + check_svn_return_value + svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_07_20.zip" + check_svn_return_value + svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://orsoc.se:4488/svn/nnARM/nnARM_tb01_09_02.zip" + check_svn_return_value + svn import -m "Import from OC" "People.htm" "http://orsoc.se:4488/svn/nnARM/People.htm" + check_svn_return_value + svn import -m "Import from OC" "People.shtml" "http://orsoc.se:4488/svn/nnARM/People.shtml" + check_svn_return_value + svn import -m "Import from OC" "PR.shtml" "http://orsoc.se:4488/svn/nnARM/PR.shtml" + check_svn_return_value + svn import -m "Import from OC" "put.JPG" "http://orsoc.se:4488/svn/nnARM/put.JPG" + check_svn_return_value + svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_08_1.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_12_2.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_06_15_2.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_12_2.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_19_4.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_20_2.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_07_30_4.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_08_30_3.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_02_1.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_09_05_2.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://orsoc.se:4488/svn/nnARM/sARM01_11_1_3.zip.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM_tb.zip" "http://orsoc.se:4488/svn/nnARM/sARM_tb.zip" + check_svn_return_value + svn import -m "Import from OC" "tag3.bmp" "http://orsoc.se:4488/svn/nnARM/tag3.bmp" + check_svn_return_value + svn import -m "Import from OC" "Testbench" "http://orsoc.se:4488/svn/nnARM/Testbench" + check_svn_return_value + svn import -m "Import from OC" "topFrame.htm" "http://orsoc.se:4488/svn/nnARM/topFrame.htm" + check_svn_return_value + svn import -m "Import from OC" "wishlogo.jpg" "http://orsoc.se:4488/svn/nnARM/wishlogo.jpg" + check_svn_return_value + popd + pushd "nocem" + popd + pushd "noise_reduction" + popd + pushd "nonrestoringsquareroot" + popd + pushd "nova" + popd + pushd "npigrctrl" + svn import -m "Import from OC" "demo.png" "http://orsoc.se:4488/svn/npigrctrl/demo.png" + check_svn_return_value + svn import -m "Import from OC" "mpmc4.rar" "http://orsoc.se:4488/svn/npigrctrl/mpmc4.rar" + check_svn_return_value + svn import -m "Import from OC" "npi_eng.vhd" "http://orsoc.se:4488/svn/npigrctrl/npi_eng.vhd" + check_svn_return_value + popd + pushd "oab1" + svn import -m "Import from OC" "index.htm" "http://orsoc.se:4488/svn/oab1/index.htm" + check_svn_return_value + svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/oab1/title_logo.gif" + check_svn_return_value + svn import -m "Import from OC" "ver01.JPG" "http://orsoc.se:4488/svn/oab1/ver01.JPG" + check_svn_return_value + svn import -m "Import from OC" "ver02.jpg" "http://orsoc.se:4488/svn/oab1/ver02.jpg" + check_svn_return_value + popd + pushd "oberon" + popd + pushd "ocmips" + svn import -m "Import from OC" "fpga.gif" "http://orsoc.se:4488/svn/ocmips/fpga.gif" + check_svn_return_value + svn import -m "Import from OC" "opencores.gif" "http://orsoc.se:4488/svn/ocmips/opencores.gif" + check_svn_return_value + svn import -m "Import from OC" "sim.GIF" "http://orsoc.se:4488/svn/ocmips/sim.GIF" + check_svn_return_value + popd + pushd "ocp_wb_wrapper" + popd + pushd "ocrp-1" + svn import -m "Import from OC" "block.gif" "http://orsoc.se:4488/svn/ocrp-1/block.gif" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ocrp-1/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_bill_of_materials.txt" + check_svn_return_value + svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_gerber.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "ocrp1.jpg" "http://orsoc.se:4488/svn/ocrp-1/ocrp1.jpg" + check_svn_return_value + svn import -m "Import from OC" "ocrp1ord.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp1ord.pdf" + check_svn_return_value + svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://orsoc.se:4488/svn/ocrp-1/ocrp-1_sch.pdf" + check_svn_return_value + svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB1-72dpi.jpg" + check_svn_return_value + svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://orsoc.se:4488/svn/ocrp-1/PCB2-72dpi.jpg" + check_svn_return_value + svn import -m "Import from OC" "pic1.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic1.jpg" + check_svn_return_value + svn import -m "Import from OC" "pic2.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic2.jpg" + check_svn_return_value + svn import -m "Import from OC" "pic3.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic3.jpg" + check_svn_return_value + svn import -m "Import from OC" "pic4.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic4.jpg" + check_svn_return_value + svn import -m "Import from OC" "pic7.jpg" "http://orsoc.se:4488/svn/ocrp-1/pic7.jpg" + check_svn_return_value + svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xc95288xl_tq144.bsd" + check_svn_return_value + svn import -m "Import from OC" "xcv100_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv100_tq144.bsd" + check_svn_return_value + svn import -m "Import from OC" "xcv50_tq144.bsd" "http://orsoc.se:4488/svn/ocrp-1/xcv50_tq144.bsd" + check_svn_return_value + popd + pushd "ofdm" + popd + pushd "ofdm-baseband-receiver" + popd + pushd "ofdm_modulator" + popd + pushd "oks8" + popd + pushd "omega" + popd + pushd "opb_i2c" + popd + pushd "opb_isa" + popd + pushd "opb_onewire" + popd + pushd "opb_ps2_keyboard_controller" + popd + pushd "opb_psram_controller" + popd + pushd "opb_udp_transceiver" + popd + pushd "opb_vga_char_display_nodac" + popd + pushd "opb_wb_wrapper" + popd + pushd "open_1394_intellectual_property" + popd + pushd "open8_urisc" + popd + pushd "openarm" + popd + pushd "opencores" + svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://orsoc.se:4488/svn/opencores/27dec03_IrishTimes.pdf" + check_svn_return_value + svn import -m "Import from OC" "bottom.jpg" "http://orsoc.se:4488/svn/opencores/bottom.jpg" + check_svn_return_value + svn import -m "Import from OC" "dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/dr_logo_b.gif" + check_svn_return_value + svn import -m "Import from OC" "logos" "http://orsoc.se:4488/svn/opencores/logos" + check_svn_return_value + svn import -m "Import from OC" "mdl_logo.jpg" "http://orsoc.se:4488/svn/opencores/mdl_logo.jpg" + check_svn_return_value + svn import -m "Import from OC" "ORSoC_logo.jpg" "http://orsoc.se:4488/svn/opencores/ORSoC_logo.jpg" + check_svn_return_value + svn import -m "Import from OC" "press" "http://orsoc.se:4488/svn/opencores/press" + check_svn_return_value + svn import -m "Import from OC" "regionalbreakdown.png" "http://orsoc.se:4488/svn/opencores/regionalbreakdown.png" + check_svn_return_value + svn import -m "Import from OC" "siteranking.png" "http://orsoc.se:4488/svn/opencores/siteranking.png" + check_svn_return_value + svn import -m "Import from OC" "sponsors" "http://orsoc.se:4488/svn/opencores/sponsors" + check_svn_return_value + svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://orsoc.se:4488/svn/opencores/thumb_dr_logo_b.gif" + check_svn_return_value + svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://orsoc.se:4488/svn/opencores/Ultimodule_Logo_Blue.JPG" + check_svn_return_value + popd + pushd "opencpu678085" + popd + pushd "openfire" + popd + pushd "openfire2" + svn import -m "Import from OC" "freertos.zip" "http://orsoc.se:4488/svn/openfire2/freertos.zip" + check_svn_return_value + svn import -m "Import from OC" "targetselection.itb" "http://orsoc.se:4488/svn/openfire2/targetselection.itb" + check_svn_return_value + popd + pushd "openfire_core" + popd + pushd "openh263" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/openh263/index.shtml" + check_svn_return_value + popd + pushd "openriscdevboard" + svn import -m "Import from OC" "altera_dev_brd.zip" "http://orsoc.se:4488/svn/openriscdevboard/altera_dev_brd.zip" + check_svn_return_value + svn import -m "Import from OC" "cyc2-openrisc.zip" "http://orsoc.se:4488/svn/openriscdevboard/cyc2-openrisc.zip" + check_svn_return_value + svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://orsoc.se:4488/svn/openriscdevboard/fpgaConfigEval_V1_2.zip" + check_svn_return_value + svn import -m "Import from OC" "usbPlusUart.zip" "http://orsoc.se:4488/svn/openriscdevboard/usbPlusUart.zip" + check_svn_return_value + popd + pushd "open_tcpip" + popd + pushd "opentech" + svn import -m "Import from OC" "changes_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_0.txt" + check_svn_return_value + svn import -m "Import from OC" "changes_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_4_1.txt" + check_svn_return_value + svn import -m "Import from OC" "changes_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_0.txt" + check_svn_return_value + svn import -m "Import from OC" "changes_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_5_1.txt" + check_svn_return_value + svn import -m "Import from OC" "changes_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_0.txt" + check_svn_return_value + svn import -m "Import from OC" "changes_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/changes_1_6_1.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_4_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_0.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_4_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_4_1.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_5_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_0.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_5_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_5_1.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_6_0.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_0.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_6_1.txt" "http://orsoc.se:4488/svn/opentech/contents_1_6_1.txt" + check_svn_return_value + svn import -m "Import from OC" "content.txt" "http://orsoc.se:4488/svn/opentech/content.txt" + check_svn_return_value + svn import -m "Import from OC" "covers.zip" "http://orsoc.se:4488/svn/opentech/covers.zip" + check_svn_return_value + svn import -m "Import from OC" "icon.gif" "http://orsoc.se:4488/svn/opentech/icon.gif" + check_svn_return_value + svn import -m "Import from OC" "icon.jpg" "http://orsoc.se:4488/svn/opentech/icon.jpg" + check_svn_return_value + svn import -m "Import from OC" "icon.png" "http://orsoc.se:4488/svn/opentech/icon.png" + check_svn_return_value + svn import -m "Import from OC" "logo_full.jpg" "http://orsoc.se:4488/svn/opentech/logo_full.jpg" + check_svn_return_value + svn import -m "Import from OC" "OpenTech_Info.xls" "http://orsoc.se:4488/svn/opentech/OpenTech_Info.xls" + check_svn_return_value + svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://orsoc.se:4488/svn/opentech/OpenTechnologies_small.gif" + check_svn_return_value + svn import -m "Import from OC" "OT_Contents.zip" "http://orsoc.se:4488/svn/opentech/OT_Contents.zip" + check_svn_return_value + popd + pushd "openverifla" + svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://orsoc.se:4488/svn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" + check_svn_return_value + popd + pushd "or1200gct" + popd + pushd "or1k-cf" + popd + pushd "or1k-new" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/or1k-new/index.shtml" + check_svn_return_value + popd + pushd "ovcodec" + svn import -m "Import from OC" "ogg_files.zip" "http://orsoc.se:4488/svn/ovcodec/ogg_files.zip" + check_svn_return_value + popd + pushd "pap" + popd + pushd "pavr" + svn import -m "Import from OC" "pavr032.chm.zip" "http://orsoc.se:4488/svn/pavr/pavr032.chm.zip" + check_svn_return_value + svn import -m "Import from OC" "pavr032-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr032-devel.zip" + check_svn_return_value + svn import -m "Import from OC" "pavr032.html.zip" "http://orsoc.se:4488/svn/pavr/pavr032.html.zip" + check_svn_return_value + svn import -m "Import from OC" "pavr0351-devel.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-devel.zip" + check_svn_return_value + svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-chm.zip" + check_svn_return_value + svn import -m "Import from OC" "pavr0351-release-html.zip" "http://orsoc.se:4488/svn/pavr/pavr0351-release-html.zip" + check_svn_return_value + svn import -m "Import from OC" "todo.html" "http://orsoc.se:4488/svn/pavr/todo.html" + check_svn_return_value + popd + pushd "pci" + svn import -m "Import from OC" "charact.shtml" "http://orsoc.se:4488/svn/pci/charact.shtml" + check_svn_return_value + svn import -m "Import from OC" "contacts.shtml" "http://orsoc.se:4488/svn/pci/contacts.shtml" + check_svn_return_value + svn import -m "Import from OC" "current_stat.shtml" "http://orsoc.se:4488/svn/pci/current_stat.shtml" + check_svn_return_value + svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/pci/documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/pci/download.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/pci/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "links.shtml" "http://orsoc.se:4488/svn/pci/links.shtml" + check_svn_return_value + svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://orsoc.se:4488/svn/pci/PCI_HOST_architecture.jpg" + check_svn_return_value + svn import -m "Import from OC" "pci_parity.html" "http://orsoc.se:4488/svn/pci/pci_parity.html" + check_svn_return_value + svn import -m "Import from OC" "pci_prototype.shtml" "http://orsoc.se:4488/svn/pci/pci_prototype.shtml" + check_svn_return_value + svn import -m "Import from OC" "PCIsim.shtml" "http://orsoc.se:4488/svn/pci/PCIsim.shtml" + check_svn_return_value + svn import -m "Import from OC" "pci_snapshots.shtml" "http://orsoc.se:4488/svn/pci/pci_snapshots.shtml" + check_svn_return_value + svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_conn.jpg" + check_svn_return_value + svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_cristal.jpg" + check_svn_return_value + svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.gif" + check_svn_return_value + svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://orsoc.se:4488/svn/pci/PCI_VGA_sch.jpg" + check_svn_return_value + svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://orsoc.se:4488/svn/pci/PCI_VGA_test_brd.gif" + check_svn_return_value + svn import -m "Import from OC" "pcixwin.jpg" "http://orsoc.se:4488/svn/pci/pcixwin.jpg" + check_svn_return_value + svn import -m "Import from OC" "Pic00022.jpg" "http://orsoc.se:4488/svn/pci/Pic00022.jpg" + check_svn_return_value + svn import -m "Import from OC" "Pic00026.jpg" "http://orsoc.se:4488/svn/pci/Pic00026.jpg" + check_svn_return_value + svn import -m "Import from OC" "Pic00027.jpg" "http://orsoc.se:4488/svn/pci/Pic00027.jpg" + check_svn_return_value + svn import -m "Import from OC" "Pic00028.jpg" "http://orsoc.se:4488/svn/pci/Pic00028.jpg" + check_svn_return_value + svn import -m "Import from OC" "Pic00037.jpg" "http://orsoc.se:4488/svn/pci/Pic00037.jpg" + check_svn_return_value + svn import -m "Import from OC" "pics" "http://orsoc.se:4488/svn/pci/pics" + check_svn_return_value + svn import -m "Import from OC" "references.shtml" "http://orsoc.se:4488/svn/pci/references.shtml" + check_svn_return_value + svn import -m "Import from OC" "test_app.shtml" "http://orsoc.se:4488/svn/pci/test_app.shtml" + check_svn_return_value + svn import -m "Import from OC" "testbench.shtml" "http://orsoc.se:4488/svn/pci/testbench.shtml" + check_svn_return_value + svn import -m "Import from OC" "test_board.shtml" "http://orsoc.se:4488/svn/pci/test_board.shtml" + check_svn_return_value + svn import -m "Import from OC" "test_driver.shtml" "http://orsoc.se:4488/svn/pci/test_driver.shtml" + check_svn_return_value + svn import -m "Import from OC" "test_snapshots.shtml" "http://orsoc.se:4488/svn/pci/test_snapshots.shtml" + check_svn_return_value + svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://orsoc.se:4488/svn/pci/thumb_pcixwin.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00022.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00026.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00027.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00028.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://orsoc.se:4488/svn/pci/thumb_Pic00037.jpg" + check_svn_return_value + svn import -m "Import from OC" "todo_list.shtml" "http://orsoc.se:4488/svn/pci/todo_list.shtml" + check_svn_return_value + popd + pushd "pci32tlite_oc" + popd + pushd "pci-board" + svn import -m "Import from OC" "PCI-Board.jpeg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpeg" + check_svn_return_value + svn import -m "Import from OC" "PCI-Board.jpg" "http://orsoc.se:4488/svn/pci-board/PCI-Board.jpg" + check_svn_return_value + svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-CARD-SCH-v1.0.pdf" + check_svn_return_value + svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://orsoc.se:4488/svn/pci-board/PCI-Card-v1.0.pdf" + check_svn_return_value + popd + pushd "pci_controller" + svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/pci_controller/*" + check_svn_return_value + popd + pushd "pcie_vera_tb" + popd + pushd "pci_express" + popd + pushd "pci_express_crc" + popd + pushd "pci_ide_controller" + popd + pushd "pci_mini" + svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://orsoc.se:4488/svn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" + check_svn_return_value + svn import -m "Import from OC" "PCI_mini.zip" "http://orsoc.se:4488/svn/pci_mini/PCI_mini.zip" + check_svn_return_value + popd + pushd "pcix" + popd + pushd "pcmcia" + popd + pushd "performance_counter" + svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://orsoc.se:4488/svn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" + check_svn_return_value + popd + pushd "perlilog" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/perlilog/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "old-index.shtml" "http://orsoc.se:4488/svn/perlilog/old-index.shtml" + check_svn_return_value + svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.2.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://orsoc.se:4488/svn/perlilog/Perlilog-0.3.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.2.pdf" + check_svn_return_value + svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide-0.3.pdf" + check_svn_return_value + svn import -m "Import from OC" "perlilog-guide.pdf" "http://orsoc.se:4488/svn/perlilog/perlilog-guide.pdf" + check_svn_return_value + svn import -m "Import from OC" "perlilog.tar.gz" "http://orsoc.se:4488/svn/perlilog/perlilog.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "perlilog.zip" "http://orsoc.se:4488/svn/perlilog/perlilog.zip" + check_svn_return_value + popd + pushd "phoenix_controller" + popd + pushd "pic8259" + popd + pushd "picoblaze_interrupt_controller" + svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://orsoc.se:4488/svn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" + check_svn_return_value + popd + pushd "pif2wb" + popd + pushd "pipelined_aes" + popd + pushd "pipelined_dct" + popd + pushd "piranha" + svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/piranha/*" + check_svn_return_value + popd + pushd "power_inverter" + popd + pushd "ppcnorthbridge" + popd + pushd "ppx16" + popd + pushd "product_code_iterative_decoder" + popd + pushd "profibus_dp" + svn import -m "Import from OC" "vhdl_source_files.zip" "http://orsoc.se:4488/svn/profibus_dp/vhdl_source_files.zip" + check_svn_return_value + popd + pushd "programmabledct" + popd + pushd "project" + svn import -m "Import from OC" "datapath.pdf" "http://orsoc.se:4488/svn/project/datapath.pdf" + check_svn_return_value + svn import -m "Import from OC" "Informations.doc" "http://orsoc.se:4488/svn/project/Informations.doc" + check_svn_return_value + svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://orsoc.se:4488/svn/project/memories_core_jenerator_implementations.rar" + check_svn_return_value + svn import -m "Import from OC" "Readme-Instructions.doc" "http://orsoc.se:4488/svn/project/Readme-Instructions.doc" + check_svn_return_value + svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/RegFile_SystemC_implementation.rar" + check_svn_return_value + svn import -m "Import from OC" "systemC_Implementation.rar" "http://orsoc.se:4488/svn/project/systemC_Implementation.rar" + check_svn_return_value + svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://orsoc.se:4488/svn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" + check_svn_return_value + popd + pushd "ps2" + svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/ps2/documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/ps2/download.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ps2/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/ps2/people.shtml" + check_svn_return_value + svn import -m "Import from OC" "ps2_keyboard.v" "http://orsoc.se:4488/svn/ps2/ps2_keyboard.v" + check_svn_return_value + svn import -m "Import from OC" "ps2_mouse.v" "http://orsoc.se:4488/svn/ps2/ps2_mouse.v" + check_svn_return_value + svn import -m "Import from OC" "ps2_soc1.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc1.zip" + check_svn_return_value + svn import -m "Import from OC" "ps2_soc2.zip" "http://orsoc.se:4488/svn/ps2/ps2_soc2.zip" + check_svn_return_value + popd + pushd "ps2core" + popd + pushd "ptc" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ptc/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "ptc_spec.pdf" "http://orsoc.se:4488/svn/ptc/ptc_spec.pdf" + check_svn_return_value + popd + pushd "pyramid_unit" + popd + pushd "quadraturecount" + popd + pushd "r2000" + popd + pushd "radixrsa" + svn import -m "Import from OC" "core.shtml" "http://orsoc.se:4488/svn/radixrsa/core.shtml" + check_svn_return_value + svn import -m "Import from OC" "doc.shtml" "http://orsoc.se:4488/svn/radixrsa/doc.shtml" + check_svn_return_value + svn import -m "Import from OC" "dotty.gif" "http://orsoc.se:4488/svn/radixrsa/dotty.gif" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/radixrsa/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "montgo.jpg" "http://orsoc.se:4488/svn/radixrsa/montgo.jpg" + check_svn_return_value + svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://orsoc.se:4488/svn/radixrsa/RSAAlgorithm.pdf" + check_svn_return_value + svn import -m "Import from OC" "title_logo.gif" "http://orsoc.se:4488/svn/radixrsa/title_logo.gif" + check_svn_return_value + popd + pushd "raggedstone" + svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/raggedstone/README" + check_svn_return_value + popd + pushd "rc5-72" + popd + pushd "rc5_decoder" + popd + pushd "rfid" + svn import -m "Import from OC" "7Prog.pdf" "http://orsoc.se:4488/svn/rfid/7Prog.pdf" + check_svn_return_value + svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://orsoc.se:4488/svn/rfid/TheMultiTagTesterFinal.exe" + check_svn_return_value + popd + pushd "rijndael" + svn import -m "Import from OC" "dekrip_files" "http://orsoc.se:4488/svn/rijndael/dekrip_files" + check_svn_return_value + svn import -m "Import from OC" "dekrip.htm" "http://orsoc.se:4488/svn/rijndael/dekrip.htm" + check_svn_return_value + svn import -m "Import from OC" "enkrip_files" "http://orsoc.se:4488/svn/rijndael/enkrip_files" + check_svn_return_value + svn import -m "Import from OC" "enkrip.htm" "http://orsoc.se:4488/svn/rijndael/enkrip.htm" + check_svn_return_value + svn import -m "Import from OC" "enkrip.pdf" "http://orsoc.se:4488/svn/rijndael/enkrip.pdf" + check_svn_return_value + popd + pushd "risc16f84" + svn import -m "Import from OC" "b13c_environment.zip" "http://orsoc.se:4488/svn/risc16f84/b13c_environment.zip" + check_svn_return_value + svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/risc16f84/documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/risc16f84/download.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/risc16f84/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/risc16f84/people.shtml" + check_svn_return_value + svn import -m "Import from OC" "risc16f84_clk2x.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_clk2x.v" + check_svn_return_value + svn import -m "Import from OC" "risc16f84_lite.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_lite.v" + check_svn_return_value + svn import -m "Import from OC" "risc16f84_small.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84_small.v" + check_svn_return_value + svn import -m "Import from OC" "risc16f84.v" "http://orsoc.se:4488/svn/risc16f84/risc16f84.v" + check_svn_return_value + svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/risc16f84/srec_to_rs232.pl" + check_svn_return_value + popd + pushd "risc36" + popd + pushd "risc5x" + svn import -m "Import from OC" "hex_conv.zip" "http://orsoc.se:4488/svn/risc5x/hex_conv.zip" + check_svn_return_value + svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.0.zip" + check_svn_return_value + svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://orsoc.se:4488/svn/risc5x/risc5x_rel1.1.zip" + check_svn_return_value + popd + pushd "risc_core_i" + svn import -m "Import from OC" "risc_core_I.zip" "http://orsoc.se:4488/svn/risc_core_i/risc_core_I.zip" + check_svn_return_value + svn import -m "Import from OC" "RISCCore.pdf" "http://orsoc.se:4488/svn/risc_core_i/RISCCore.pdf" + check_svn_return_value + svn import -m "Import from OC" "vhdl files.zip" "http://orsoc.se:4488/svn/risc_core_i/vhdl files.zip" + check_svn_return_value + svn import -m "Import from OC" "Zusammenfassung.pdf" "http://orsoc.se:4488/svn/risc_core_i/Zusammenfassung.pdf" + check_svn_return_value + popd + pushd "riscmcu" + svn import -m "Import from OC" "BlockDiagram.gif" "http://orsoc.se:4488/svn/riscmcu/BlockDiagram.gif" + check_svn_return_value + popd + pushd "risc_processor_with_os" + popd + pushd "rise" + popd + pushd "rng_lib" + svn import -m "Import from OC" "rng_lib_v10.zip" "http://orsoc.se:4488/svn/rng_lib/rng_lib_v10.zip" + check_svn_return_value + popd + pushd "robot_control_library" + svn import -m "Import from OC" "documentation.zip" "http://orsoc.se:4488/svn/robot_control_library/documentation.zip" + check_svn_return_value + svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PID_v1_00_a.zip" + check_svn_return_value + svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" + check_svn_return_value + svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" + check_svn_return_value + svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://orsoc.se:4488/svn/robot_control_library/Stepper_Control_v1_00_a.zip" + check_svn_return_value + popd + pushd "rosetta" + popd + pushd "rs232_syscon" + svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://orsoc.se:4488/svn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" + check_svn_return_value + svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b11_risc16f84_05_03_02.zip" + check_svn_return_value + svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://orsoc.se:4488/svn/rs232_syscon/b13_safe_09_17_02.zip" + check_svn_return_value + svn import -m "Import from OC" "documentation.shtml" "http://orsoc.se:4488/svn/rs232_syscon/documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "download.shtml" "http://orsoc.se:4488/svn/rs232_syscon/download.shtml" + check_svn_return_value + svn import -m "Import from OC" "Image4.gif" "http://orsoc.se:4488/svn/rs232_syscon/Image4.gif" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rs232_syscon/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "people.shtml" "http://orsoc.se:4488/svn/rs232_syscon/people.shtml" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_00_source.zip" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon1.doc" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon1.doc" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_autobaud.zip" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon.htm" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.htm" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon.pdf" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon.pdf" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc1.zip" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc2.zip" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://orsoc.se:4488/svn/rs232_syscon/rs232_syscon_soc3.zip" + check_svn_return_value + svn import -m "Import from OC" "srec_to_rs232.pl" "http://orsoc.se:4488/svn/rs232_syscon/srec_to_rs232.pl" + check_svn_return_value + popd + pushd "rs_5_3_gf256" + svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://orsoc.se:4488/svn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" + check_svn_return_value + popd + pushd "rsa" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/rsa/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "rsa" "http://orsoc.se:4488/svn/rsa/rsa" + check_svn_return_value + svn import -m "Import from OC" "RSA.htm" "http://orsoc.se:4488/svn/rsa/RSA.htm" + check_svn_return_value + svn import -m "Import from OC" "RSA.shtml" "http://orsoc.se:4488/svn/rsa/RSA.shtml" + check_svn_return_value + popd + pushd "rs_decoder_31_19_6" + popd + pushd "rsencoder" + svn import -m "Import from OC" "readme.txt" "http://orsoc.se:4488/svn/rsencoder/readme.txt" + check_svn_return_value + svn import -m "Import from OC" "reed_solomon.v" "http://orsoc.se:4488/svn/rsencoder/reed_solomon.v" + check_svn_return_value + svn import -m "Import from OC" "rs_testbench.v" "http://orsoc.se:4488/svn/rsencoder/rs_testbench.v" + check_svn_return_value + popd + pushd "s1_core" + popd + pushd "sardmips" + popd + pushd "sasc" + popd + pushd "sata1a" + popd + pushd "sayeh_processor" + popd + pushd "sbd_sqrt_fp" + popd + pushd "sc2v" + popd + pushd "scarm" + svn import -m "Import from OC" "arm1.JPG" "http://orsoc.se:4488/svn/scarm/arm1.JPG" + check_svn_return_value + svn import -m "Import from OC" "chinese" "http://orsoc.se:4488/svn/scarm/chinese" + check_svn_return_value + svn import -m "Import from OC" "english" "http://orsoc.se:4488/svn/scarm/english" + check_svn_return_value + svn import -m "Import from OC" "images" "http://orsoc.se:4488/svn/scarm/images" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/scarm/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "main.shtml" "http://orsoc.se:4488/svn/scarm/main.shtml" + check_svn_return_value + svn import -m "Import from OC" "src.zip" "http://orsoc.se:4488/svn/scarm/src.zip" + check_svn_return_value + svn import -m "Import from OC" "test" "http://orsoc.se:4488/svn/scarm/test" + check_svn_return_value + svn import -m "Import from OC" "test.zip" "http://orsoc.se:4488/svn/scarm/test.zip" + check_svn_return_value + popd + pushd "scsi_interface" + popd + pushd "sdram" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sdram/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml2" "http://orsoc.se:4488/svn/sdram/index.shtml2" + check_svn_return_value + svn import -m "Import from OC" "intefacing block diagram.gif" "http://orsoc.se:4488/svn/sdram/intefacing block diagram.gif" + check_svn_return_value + svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://orsoc.se:4488/svn/sdram/interfacing_block_diagram.gif" + check_svn_return_value + svn import -m "Import from OC" "sdram_doc.pdf" "http://orsoc.se:4488/svn/sdram/sdram_doc.pdf" + check_svn_return_value + svn import -m "Import from OC" "sdram.html" "http://orsoc.se:4488/svn/sdram/sdram.html" + check_svn_return_value + svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://orsoc.se:4488/svn/sdram/sdram_ip_doc_preliminary.pdf" + check_svn_return_value + popd + pushd "sdram_ctrl" + popd + pushd "sdr_sdram_ctrl" + popd + pushd "serial_div_uu" + svn import -m "Import from OC" "pwm_reader.v" "http://orsoc.se:4488/svn/serial_div_uu/pwm_reader.v" + check_svn_return_value + svn import -m "Import from OC" "serial_divide_uu.v" "http://orsoc.se:4488/svn/serial_div_uu/serial_divide_uu.v" + check_svn_return_value + popd + pushd "serpent_core" + popd + pushd "sfpga" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/sfpga/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://orsoc.se:4488/svn/sfpga/ocrp-2_protel_sch.zip" + check_svn_return_value + svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://orsoc.se:4488/svn/sfpga/OCRP-2_sch_preliminary.pdf" + check_svn_return_value + svn import -m "Import from OC" "sfpga_block.gif" "http://orsoc.se:4488/svn/sfpga/sfpga_block.gif" + check_svn_return_value + popd + pushd "sha1" + svn import -m "Import from OC" "sha1_readme_v01.txt" "http://orsoc.se:4488/svn/sha1/sha1_readme_v01.txt" + check_svn_return_value + svn import -m "Import from OC" "sha1_v01.zip" "http://orsoc.se:4488/svn/sha1/sha1_v01.zip" + check_svn_return_value + popd + pushd "sha_core" + popd + pushd "simpcon" + popd + pushd "simplearm" + popd + pushd "simple-cpu" + popd + pushd "simple_fm_receiver" + popd + pushd "simple_gpio" + popd + pushd "simple_pic" + popd + pushd "simple_spi" + popd + pushd "simple_uart" + svn import -m "Import from OC" "simpleUart.zip" "http://orsoc.se:4488/svn/simple_uart/simpleUart.zip" + check_svn_return_value + popd + pushd "single_clock_divider" + popd + pushd "single_port" + svn import -m "Import from OC" "single_port.tar.gz" "http://orsoc.se:4488/svn/single_port/single_port.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "single_port.zip" "http://orsoc.se:4488/svn/single_port/single_port.zip" + check_svn_return_value + popd + pushd "slave_vme_bridge" + popd + pushd "smallarm" + popd + pushd "smbus_if" + svn import -m "Import from OC" "smbus_if.doc" "http://orsoc.se:4488/svn/smbus_if/smbus_if.doc" + check_svn_return_value + popd + pushd "socbuilder" + popd + pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" + popd + pushd "sonet" + svn import -m "Import from OC" "blockdia.doc" "http://orsoc.se:4488/svn/sonet/blockdia.doc" + check_svn_return_value + svn import -m "Import from OC" "overview.doc" "http://orsoc.se:4488/svn/sonet/overview.doc" + check_svn_return_value + popd + pushd "spacewire" + svn import -m "Import from OC" "Router.JPG" "http://orsoc.se:4488/svn/spacewire/Router.JPG" + check_svn_return_value + svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://orsoc.se:4488/svn/spacewire/SpWinterfacewithCODEC.JPG" + check_svn_return_value + popd + pushd "spacewire_if" + popd + pushd "spates" + popd + pushd "spdif_interface" + popd + pushd "spi" + popd + pushd "spi_boot" + popd + pushd "spicc" + popd + pushd "spiflashcontroller" + popd + pushd "spimaster" + svn import -m "Import from OC" "spiMaster.zip" "http://orsoc.se:4488/svn/spimaster/spiMaster.zip" + check_svn_return_value + popd + pushd "spi_slave" + popd + pushd "spi-slave" + svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/spi-slave/*" + check_svn_return_value + popd + pushd "srl_fifo" + popd + pushd "srtdivision" + popd + pushd "ss_pcm" + popd + pushd "ssram" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/ssram/index.shtml" + check_svn_return_value + popd + pushd "steppermotordrive" + popd + pushd "sts1" + svn import -m "Import from OC" "spe.vhd" "http://orsoc.se:4488/svn/sts1/spe.vhd" + check_svn_return_value + popd + pushd "svmac" + popd + pushd "sxp" + svn import -m "Import from OC" "sxp_block.gif" "http://orsoc.se:4488/svn/sxp/sxp_block.gif" + check_svn_return_value + popd + pushd "system05" + popd + pushd "system09" + svn import -m "Import from OC" "index.html" "http://orsoc.se:4488/svn/system09/index.html" + check_svn_return_value + svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://orsoc.se:4488/svn/system09/System09-oc-6sep03.zip" + check_svn_return_value + svn import -m "Import from OC" "xbasic.s19" "http://orsoc.se:4488/svn/system09/xbasic.s19" + check_svn_return_value + popd + pushd "system11" + svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://orsoc.se:4488/svn/system11/Sys11_X300_5sep03.zip" + check_svn_return_value + popd + pushd "system68" + svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://orsoc.se:4488/svn/system68/Sys68-X300-17jan04.zip" + check_svn_return_value + popd + pushd "system6801" + svn import -m "Import from OC" "System6801.zip" "http://orsoc.se:4488/svn/system6801/System6801.zip" + check_svn_return_value + svn import -m "Import from OC" "utilities.zip" "http://orsoc.se:4488/svn/system6801/utilities.zip" + check_svn_return_value + popd + pushd "systemcaes" + popd + pushd "systemc_cordic" + popd + pushd "systemcdes" + popd + pushd "systemcmd5" + popd + pushd "systemc_rng" + popd + pushd "t400" + popd + pushd "t48" + popd + pushd "t51" + popd + pushd "t65" + popd + pushd "t80" + popd + pushd "t8000" + popd + pushd "tdm" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/tdm/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "tdm_core.jpg" "http://orsoc.se:4488/svn/tdm/tdm_core.jpg" + check_svn_return_value + svn import -m "Import from OC" "tdm_core.ps" "http://orsoc.se:4488/svn/tdm/tdm_core.ps" + check_svn_return_value + svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.jpg" + check_svn_return_value + svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_ISDN_top.ps" + check_svn_return_value + svn import -m "Import from OC" "tdm_project.html" "http://orsoc.se:4488/svn/tdm/tdm_project.html" + check_svn_return_value + svn import -m "Import from OC" "tdm_project.pdf" "http://orsoc.se:4488/svn/tdm/tdm_project.pdf" + check_svn_return_value + svn import -m "Import from OC" "tdm_project.ps" "http://orsoc.se:4488/svn/tdm/tdm_project.ps" + check_svn_return_value + svn import -m "Import from OC" "tdm_top.jpg" "http://orsoc.se:4488/svn/tdm/tdm_top.jpg" + check_svn_return_value + svn import -m "Import from OC" "tdm_top.ps" "http://orsoc.se:4488/svn/tdm/tdm_top.ps" + check_svn_return_value + svn import -m "Import from OC" "wishlogo.ps" "http://orsoc.se:4488/svn/tdm/wishlogo.ps" + check_svn_return_value + popd + pushd "tdm_switch" + svn import -m "Import from OC" "map.dat" "http://orsoc.se:4488/svn/tdm_switch/map.dat" + check_svn_return_value + svn import -m "Import from OC" "ModelSim_Edition.exe" "http://orsoc.se:4488/svn/tdm_switch/ModelSim_Edition.exe" + check_svn_return_value + svn import -m "Import from OC" "stream_0.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_0.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_1.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_1.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_2.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_2.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_3.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_3.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_4.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_4.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_5.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_5.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_6.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_6.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_7.dat" "http://orsoc.se:4488/svn/tdm_switch/stream_7.dat" + check_svn_return_value + svn import -m "Import from OC" "tdm_switch_b.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_b.v" + check_svn_return_value + svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://orsoc.se:4488/svn/tdm_switch/TDM_Switch_DS.pdf" + check_svn_return_value + svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.sdf" + check_svn_return_value + svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top_timesim.v" + check_svn_return_value + svn import -m "Import from OC" "tdm_switch_top.v" "http://orsoc.se:4488/svn/tdm_switch/tdm_switch_top.v" + check_svn_return_value + svn import -m "Import from OC" "testbench_top.v" "http://orsoc.se:4488/svn/tdm_switch/testbench_top.v" + check_svn_return_value + popd + pushd "template" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/template/index.shtml" + check_svn_return_value + popd + pushd "test" + svn import -m "Import from OC" "apple.gif" "http://orsoc.se:4488/svn/test/apple.gif" + check_svn_return_value + svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://orsoc.se:4488/svn/test/FLEX_w_CMYK_R_LG.jpg" + check_svn_return_value + svn import -m "Import from OC" "include1.ssi" "http://orsoc.se:4488/svn/test/include1.ssi" + check_svn_return_value + svn import -m "Import from OC" "include2.ssi" "http://orsoc.se:4488/svn/test/include2.ssi" + check_svn_return_value + popd + pushd "test1" + svn import -m "Import from OC" "arrow_ltr.gif" "http://orsoc.se:4488/svn/test1/arrow_ltr.gif" + check_svn_return_value + svn import -m "Import from OC" "sed_awk.pdf" "http://orsoc.se:4488/svn/test1/sed_awk.pdf" + check_svn_return_value + popd + pushd "test2" + svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/test2/*" + check_svn_return_value + popd + pushd "test3" + popd + pushd "test_project" + popd + pushd "test-project" + svn import -m "Import from OC" "vl.bmp" "http://orsoc.se:4488/svn/test-project/vl.bmp" + check_svn_return_value + popd + pushd "tg68" + popd + pushd "tiny64" + popd + pushd "tiny8" + popd + pushd "tlc2" + popd + pushd "toe" + popd + pushd "tone_generator" + popd + pushd "totalcpu" + popd + pushd "trinitor" + popd + pushd "truescalar" + popd + pushd "ts7300_opencore" + svn import -m "Import from OC" "7300stclwp.jpg" "http://orsoc.se:4488/svn/ts7300_opencore/7300stclwp.jpg" + check_svn_return_value + svn import -m "Import from OC" "ts7300_opencore.zip" "http://orsoc.se:4488/svn/ts7300_opencore/ts7300_opencore.zip" + check_svn_return_value + popd + pushd "turbocodes" + svn import -m "Import from OC" "turbo.tar.gz" "http://orsoc.se:4488/svn/turbocodes/turbo.tar.gz" + check_svn_return_value + popd + pushd "tv80" + svn import -m "Import from OC" "tv80_rel1.0.zip" "http://orsoc.se:4488/svn/tv80/tv80_rel1.0.zip" + check_svn_return_value + popd + pushd "twofish" + popd + pushd "twofish_team" + svn import -m "Import from OC" "ciphertext.jpg" "http://orsoc.se:4488/svn/twofish_team/ciphertext.jpg" + check_svn_return_value + svn import -m "Import from OC" "cleartext.jpg" "http://orsoc.se:4488/svn/twofish_team/cleartext.jpg" + check_svn_return_value + svn import -m "Import from OC" "key-mod.jpg" "http://orsoc.se:4488/svn/twofish_team/key-mod.jpg" + check_svn_return_value + svn import -m "Import from OC" "modifiedF.jpg" "http://orsoc.se:4488/svn/twofish_team/modifiedF.jpg" + check_svn_return_value + svn import -m "Import from OC" "peracangan" "http://orsoc.se:4488/svn/twofish_team/peracangan" + check_svn_return_value + svn import -m "Import from OC" "qper.jpg" "http://orsoc.se:4488/svn/twofish_team/qper.jpg" + check_svn_return_value + svn import -m "Import from OC" "s-boxes.jpg" "http://orsoc.se:4488/svn/twofish_team/s-boxes.jpg" + check_svn_return_value + svn import -m "Import from OC" "twofish.jpg" "http://orsoc.se:4488/svn/twofish_team/twofish.jpg" + check_svn_return_value + svn import -m "Import from OC" "twofish.zip" "http://orsoc.se:4488/svn/twofish_team/twofish.zip" + check_svn_return_value + popd + pushd "ualpha" + popd + pushd "uart16550" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/uart16550/index.shtml" + check_svn_return_value + popd + pushd "uart8bit" + popd + pushd "uart_fifo" + popd + pushd "uart_serial" + popd + pushd "ucore" + svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://orsoc.se:4488/svn/ucore/ucsys-0.0.1.rar" + check_svn_return_value + popd + pushd "ultimate_crc" + svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://orsoc.se:4488/svn/ultimate_crc/ultimate_crc_1_0.zip" + check_svn_return_value + popd + pushd "ultramegasquirt" + popd + pushd "ultravec" + popd + pushd "upcable" + svn import -m "Import from OC" "odd_vhdl.zip" "http://orsoc.se:4488/svn/upcable/odd_vhdl.zip" + check_svn_return_value + svn import -m "Import from OC" "OneDollarDongle.pdf" "http://orsoc.se:4488/svn/upcable/OneDollarDongle.pdf" + check_svn_return_value + svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://orsoc.se:4488/svn/upcable/ver1_xc9536xl_vq44_single_side.zip" + check_svn_return_value + popd + pushd "usb11" + popd + pushd "usb1_funct" + popd + pushd "usb_dongle_fpga" + svn import -m "Import from OC" "block_diagram.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/block_diagram.png" + check_svn_return_value + svn import -m "Import from OC" "dongle_block.png" "http://orsoc.se:4488/svn/usb_dongle_fpga/dongle_block.png" + check_svn_return_value + svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" + check_svn_return_value + svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/small_LR_DSC_0016.jpg" + check_svn_return_value + svn import -m "Import from OC" "usb_dongle.jpg" "http://orsoc.se:4488/svn/usb_dongle_fpga/usb_dongle.jpg" + check_svn_return_value + popd + pushd "usbhost" + svn import -m "Import from OC" "alliance.shtml" "http://orsoc.se:4488/svn/usbhost/alliance.shtml" + check_svn_return_value + svn import -m "Import from OC" "HDL" "http://orsoc.se:4488/svn/usbhost/HDL" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh10.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh10.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh11.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh11.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh12.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh12.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh13.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh13.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh14.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh14.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh15.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh15.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh16.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh16.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh17.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh17.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh18.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh18.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh19.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh19.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh1.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh1.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh20.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh20.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh21.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh21.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh22.jpg" "http://orsoc.se:4488/svn/usbhost/HDL.sh22.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.shtml" "http://orsoc.se:4488/svn/usbhost/HDL.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.1.gif" "http://orsoc.se:4488/svn/usbhost/index.1.gif" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/usbhost/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "README" "http://orsoc.se:4488/svn/usbhost/README" + check_svn_return_value + popd + pushd "usbhostslave" + svn import -m "Import from OC" "ALDEC_logo.jpg" "http://orsoc.se:4488/svn/usbhostslave/ALDEC_logo.jpg" + check_svn_return_value + svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://orsoc.se:4488/svn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" + check_svn_return_value + svn import -m "Import from OC" "NIOSsoftware.zip" "http://orsoc.se:4488/svn/usbhostslave/NIOSsoftware.zip" + check_svn_return_value + svn import -m "Import from OC" "ohs900.zip" "http://orsoc.se:4488/svn/usbhostslave/ohs900.zip" + check_svn_return_value + svn import -m "Import from OC" "usbhostslave.zip" "http://orsoc.se:4488/svn/usbhostslave/usbhostslave.zip" + check_svn_return_value + popd + pushd "usb_phy" + popd + pushd "usucc" + svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/usucc/*" + check_svn_return_value + popd + pushd "utop_lvl_1" + popd + pushd "verilator" + popd + pushd "vgafb" + popd + pushd "vga_lcd" + svn import -m "Import from OC" "block_diagram.gif" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.gif" + check_svn_return_value + svn import -m "Import from OC" "block_diagram.jpg" "http://orsoc.se:4488/svn/vga_lcd/block_diagram.jpg" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/vga_lcd/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "vga_core.pdf" "http://orsoc.se:4488/svn/vga_lcd/vga_core.pdf" + check_svn_return_value + popd + pushd "vhcg" + svn import -m "Import from OC" "morpheus1.1release.rar" "http://orsoc.se:4488/svn/vhcg/morpheus1.1release.rar" + check_svn_return_value + svn import -m "Import from OC" "morpheus.tar.gz" "http://orsoc.se:4488/svn/vhcg/morpheus.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "Specification.pdf" "http://orsoc.se:4488/svn/vhcg/Specification.pdf" + check_svn_return_value + popd + pushd "vhdl_cpu_emulator" + svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://orsoc.se:4488/svn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" + check_svn_return_value + popd + pushd "vhdlmd5" + popd + pushd "vhld_tb" + popd + pushd "video_starter_kit" + svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://orsoc.se:4488/svn/video_starter_kit/main_designoverview0.0.2.pdf" + check_svn_return_value + popd + pushd "vip_regs" + popd + pushd "viterbi_decoder" + popd + pushd "viterbi_decoder_k_7_r_1_2" + popd + pushd "vmebus" + popd + pushd "vmm" + popd + pushd "warp" + popd + pushd "wb2hpi" + svn import -m "Import from OC" "BlockTransfer1.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer1.jpg" + check_svn_return_value + svn import -m "Import from OC" "BlockTransfer2.jpg" "http://orsoc.se:4488/svn/wb2hpi/BlockTransfer2.jpg" + check_svn_return_value + svn import -m "Import from OC" "DspFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspFill1.jpg" + check_svn_return_value + svn import -m "Import from OC" "DspMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory1.jpg" + check_svn_return_value + svn import -m "Import from OC" "DspMemory2.jpg" "http://orsoc.se:4488/svn/wb2hpi/DspMemory2.jpg" + check_svn_return_value + svn import -m "Import from OC" "DSPMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/DSPMove1.jpg" + check_svn_return_value + svn import -m "Import from OC" "Registers.jpg" "http://orsoc.se:4488/svn/wb2hpi/Registers.jpg" + check_svn_return_value + svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryFill1.jpg" + check_svn_return_value + svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SistemMemoryMove1.jpg" + check_svn_return_value + svn import -m "Import from OC" "SystemMemory1.jpg" "http://orsoc.se:4488/svn/wb2hpi/SystemMemory1.jpg" + check_svn_return_value + svn import -m "Import from OC" "TestBench051.jpg" "http://orsoc.se:4488/svn/wb2hpi/TestBench051.jpg" + check_svn_return_value + svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://orsoc.se:4488/svn/wb2hpi/wb2hpi_hw2.jpg" + check_svn_return_value + popd + pushd "wb2npi" + popd + pushd "wb_builder" + svn import -m "Import from OC" "users_manual.pdf" "http://orsoc.se:4488/svn/wb_builder/users_manual.pdf" + check_svn_return_value + popd + pushd "wb_conbus" + popd + pushd "wb_conmax" + svn import -m "Import from OC" "conmax.jpg" "http://orsoc.se:4488/svn/wb_conmax/conmax.jpg" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_conmax/index.shtml" + check_svn_return_value + popd + pushd "wbc_parallel_master" + svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://orsoc.se:4488/svn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" + check_svn_return_value + popd + pushd "wb_ddr" + popd + pushd "wb_dma" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_dma/index.shtml" + check_svn_return_value + popd + pushd "wb_flash" + popd + pushd "wbif_68k" + popd + pushd "wb_lpc" + popd + pushd "wb_mcs51" + popd + pushd "wb_rtc" + svn import -m "Import from OC" "ports.jpg" "http://orsoc.se:4488/svn/wb_rtc/ports.jpg" + check_svn_return_value + svn import -m "Import from OC" "structure.jpg" "http://orsoc.se:4488/svn/wb_rtc/structure.jpg" + check_svn_return_value + svn import -m "Import from OC" "wb_rtc.zip" "http://orsoc.se:4488/svn/wb_rtc/wb_rtc.zip" + check_svn_return_value + popd + pushd "wb_tk" + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_tk/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_arbiter.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_arbiter.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_async_master.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_master.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_async_slave.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_async_slave.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_bus_resizer.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_extensions.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_extensions.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_out_reg.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_out_reg.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_ram.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_ram.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_test.shtml" "http://orsoc.se:4488/svn/wb_tk/wb_test.shtml" + check_svn_return_value + popd + pushd "wb_vga" + svn import -m "Import from OC" "accel.shtml" "http://orsoc.se:4488/svn/wb_vga/accel.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://orsoc.se:4488/svn/wb_vga/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "mouse.shtml" "http://orsoc.se:4488/svn/wb_vga/mouse.shtml" + check_svn_return_value + svn import -m "Import from OC" "palette.shtml" "http://orsoc.se:4488/svn/wb_vga/palette.shtml" + check_svn_return_value + svn import -m "Import from OC" "vga_chip.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_chip.shtml" + check_svn_return_value + svn import -m "Import from OC" "vga_core.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core.shtml" + check_svn_return_value + svn import -m "Import from OC" "vga_core_v2.shtml" "http://orsoc.se:4488/svn/wb_vga/vga_core_v2.shtml" + check_svn_return_value + popd + pushd "wb_z80" + popd + pushd "wb_zbt" + popd + pushd "wisbone_2_ahb" + popd + pushd "wishbone" + svn import -m "Import from OC" "appnote_01.pdf" "http://orsoc.se:4488/svn/wishbone/appnote_01.pdf" + check_svn_return_value + svn import -m "Import from OC" "flex.pdf" "http://orsoc.se:4488/svn/wishbone/flex.pdf" + check_svn_return_value + svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://orsoc.se:4488/svn/wishbone/press_release_12_08_2002.pdf" + check_svn_return_value + svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://orsoc.se:4488/svn/wishbone/soc_bus_comparison.pdf" + check_svn_return_value + svn import -m "Import from OC" "wbspec_b1.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b1.pdf" + check_svn_return_value + svn import -m "Import from OC" "wbspec_b2.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b2.pdf" + check_svn_return_value + svn import -m "Import from OC" "wbspec_b3.pdf" "http://orsoc.se:4488/svn/wishbone/wbspec_b3.pdf" + check_svn_return_value + popd + pushd "wishbone2ahb" + popd + pushd "wishbone_bfm" + popd + pushd "wishbone_checker" + popd + pushd "wishbone_out_port" + popd + pushd "wishbone_to_ahb" + popd + pushd "wlanmac" + popd + pushd "wlan_modem" + popd + pushd "wpf" + popd + pushd "x25_protocol_interface_project" + popd + pushd "x86soc" + popd + pushd "xge_mac" + popd + pushd "xmatchpro" + svn import -m "Import from OC" "open_xmw2.zip" "http://orsoc.se:4488/svn/xmatchpro/open_xmw2.zip" + check_svn_return_value + popd + pushd "xtea" + popd + pushd "yacc" + popd + pushd "yellowstar" + svn import -m "Import from OC" "appendix.pdf" "http://orsoc.se:4488/svn/yellowstar/appendix.pdf" + check_svn_return_value + svn import -m "Import from OC" "processor.v" "http://orsoc.se:4488/svn/yellowstar/processor.v" + check_svn_return_value + svn import -m "Import from OC" "report.pdf" "http://orsoc.se:4488/svn/yellowstar/report.pdf" + check_svn_return_value + svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_schematics.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellowstar_symbols.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "yellow_star.tar.gz" "http://orsoc.se:4488/svn/yellowstar/yellow_star.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "ys_logo.jpg" "http://orsoc.se:4488/svn/yellowstar/ys_logo.jpg" + check_svn_return_value + popd + pushd "yoda" + svn import -m "Import from OC" "*" "http://orsoc.se:4488/svn/yoda/*" + check_svn_return_value + popd + pushd "z80soc" + svn import -m "Import from OC" "mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/mP5170003.JPG" + check_svn_return_value + svn import -m "Import from OC" "mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/mP5180007.JPG" + check_svn_return_value + svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5170003.JPG" + check_svn_return_value + svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://orsoc.se:4488/svn/z80soc/thumb_mP5180007.JPG" + check_svn_return_value + popd + pushd "zpu" + svn import -m "Import from OC" "compile.PNG" "http://orsoc.se:4488/svn/zpu/compile.PNG" + check_svn_return_value + svn import -m "Import from OC" "simulator2.PNG" "http://orsoc.se:4488/svn/zpu/simulator2.PNG" + check_svn_return_value + svn import -m "Import from OC" "simulator3.PNG" "http://orsoc.se:4488/svn/zpu/simulator3.PNG" + check_svn_return_value + svn import -m "Import from OC" "simulator.PNG" "http://orsoc.se:4488/svn/zpu/simulator.PNG" + check_svn_return_value + svn import -m "Import from OC" "thumb_compile.PNG" "http://orsoc.se:4488/svn/zpu/thumb_compile.PNG" + check_svn_return_value + svn import -m "Import from OC" "thumb_simulator2.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator2.PNG" + check_svn_return_value + svn import -m "Import from OC" "thumb_simulator3.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator3.PNG" + check_svn_return_value + svn import -m "Import from OC" "thumb_simulator.PNG" "http://orsoc.se:4488/svn/zpu/thumb_simulator.PNG" + check_svn_return_value + popd + ALL_DONE="1" + echo "All checkins done" +done
svn_checkin.sh Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: oc_cvs_checkin.sh =================================================================== --- oc_cvs_checkin.sh (nonexistent) +++ oc_cvs_checkin.sh (revision 5) @@ -0,0 +1,112 @@ +#!/bin/bash +#oc_cvs_checkin.sh + +echo "#!/bin/bash" +echo "# AUTOMATICALLY GENERATED SCRIPT" +echo "# Scans the cores directory, excludes the projects and subdirectories" +echo "# listed below, and generates a script which checks in all of the " +echo "# remaining files to the SVN repository" +echo "# This should be run and the output piped to a new file something like:" +echo "# ./oc_cvs_checkin.sh > checkin_script.sh" +echo "# and then probably the execute permission enabled on checkin_script.sh" + +DO_CHECKIN="1" +DIRECTORY_HAS_CONTENTS="1" + +echo "# Encapsulate the checkins inside this loop we can " +echo "# break out of in the event of a problem checking" +echo "# one of them in" +echo "" +echo "# Function to check the return value of each SVN checkin" +echo "function check_svn_return_value { if [ \$? -gt 1 ]; then echo \"Error during checkins - aborting script.\"; exit 1; fi" +echo "}" +echo "ALL_DONE=\"0\"" +echo "while [ \$ALL_DONE = 0 ]; do" +for PROJECT in *; do + DO_CHECKIN="1" + DIRECTORY_HAS_CONTENTS="1" + if [ -d "$PROJECT" ] # Check if we're looking at a directory + then + # A list of projects we don't want to checkin + # automatically, they will be done manually + if [ "$PROJECT" = "or1k" ]; then DO_CHECKIN="0" ; fi + if [ "$PROJECT" = "or1k-backup" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "or1200-gct" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "or2k" ]; then DO_CHECKIN="0"; fi + + # The following need to be checked in to the repository + # with a slightly different name to its directory name + if [ "$PROJECT" = "8051" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "ac97" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "DebugInterface" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "ethmac" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "mips" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "uart" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "usb" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "miniuart2" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "video_systems" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "microriscii" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "oc54x" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "divider" ]; then DO_CHECKIN="0"; fi + if [ "$PROJECT" = "hsca_adder" ]; then DO_CHECKIN="0"; fi + # Bug with this project when using this script, so don't check it in + if [ "$PROJECT" = "ae68" ]; then DO_CHECKIN="0"; fi + + if [ $DO_CHECKIN -gt 0 ] + then + cd "$PROJECT" + # Now we're in the project subdirectory, we + # want to checkin everything apart from the + # stats and lint directories + + + # This pushd and the following popd make the script + # change into the right directory to do the checkin + # The command above runs an ls and word count (wc) + # and strips the whitespace to determine the number + # of files in the directory. An empty one with just + # a stats dir has a value of 4, so if it's more than + # that, odds are we have something to checkin. + #if [ `ls | wc -l | sed 's/^[ ]*//'` -gt 3 ] + #then + echo " pushd \"$PROJECT\"" + # echo "$PROJECT" + #else + #DIRECTORY_HAS_CONTENTS="0" + #fi + + # Only go through the directory checking if + # there's things in there + #if [ $DIRECTORY_HAS_CONTENTS -gt 0 ] + #then + for PROJ_FILE in *; do + DO_CHECKIN="1" + if [ "$PROJ_FILE" = "stats" ]; then DO_CHECKIN="0"; fi + if [ "$PROJ_FILE" = "lint" ]; then DO_CHECKIN="0"; fi + if [ $DO_CHECKIN -gt 0 ] + then + #Do checkin + #echo "#Checking in $PROJECT/$PROJ_FILE" + echo " svn import -m \"Import from OC\" \"$PROJ_FILE\" \"http://orsoc.se:4488/svn/$PROJECT/$PROJ_FILE\"" + echo " check_svn_return_value" + #else + #echo "#Excluding $PROJ_FILE from checkin of $PROJECT" + fi + done + # We now write out the popd to change back to the main dir + # in the script + echo " popd" + #if [ $DIRECTORY_HAS_CONTENTS -gt 0 ]; then echo "$PROJECT"; fi + #fi #if [ $DIRECTORY_HAS_CONTENTS -gt 0 ] + cd .. + + #else + #echo "#Excluding project $PROJECT from checkin!" + fi #if [ $DO_CHECKIN -gt 0 ] + fi #if [ -d "$PROJECT" ] +done +echo " ALL_DONE=\"1\"" +echo " echo \"All checkins done\"" +echo "done" + +
oc_cvs_checkin.sh Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: svn_checkin.log =================================================================== --- svn_checkin.log (nonexistent) +++ svn_checkin.log (revision 5) @@ -0,0 +1,3638 @@ +/home/oc/cores/100baset /home/oc/cores +/home/oc/cores +/home/oc/cores/1394ohci /home/oc/cores +/home/oc/cores +/home/oc/cores/2dcoprocessor /home/oc/cores +/home/oc/cores +/home/oc/cores/395_vgs /home/oc/cores +/home/oc/cores +/home/oc/cores/3des_vhdl /home/oc/cores +/home/oc/cores +/home/oc/cores/4bitprocesor /home/oc/cores +/home/oc/cores +/home/oc/cores/6502vhdl /home/oc/cores +/home/oc/cores +/home/oc/cores/68hc05 /home/oc/cores +/home/oc/cores +/home/oc/cores/68hc08 /home/oc/cores +/home/oc/cores +/home/oc/cores/8051_serial /home/oc/cores +/home/oc/cores +/home/oc/cores/8051_to_ahb_interface /home/oc/cores +/home/oc/cores +/home/oc/cores/8b10b_encdec /home/oc/cores +Adding 8b10_dec.vhd + +Committed revision 7. +Adding 8b10_enc.vhd + +Committed revision 8. +Adding enc_8b10b_TB.vhd + +Committed revision 9. +Adding encdec_8b10b_TB.vhd + +Committed revision 10. +/home/oc/cores +/home/oc/cores/8bituartvhdl /home/oc/cores +/home/oc/cores +/home/oc/cores/aacencode /home/oc/cores +/home/oc/cores +/home/oc/cores/acxbrd /home/oc/cores +/home/oc/cores +/home/oc/cores/adaptivefilter /home/oc/cores +/home/oc/cores +/home/oc/cores/adaptive_lms_equalizer /home/oc/cores +/home/oc/cores +/home/oc/cores/adder /home/oc/cores +/home/oc/cores +/home/oc/cores/ae18 /home/oc/cores +/home/oc/cores +/home/oc/cores/aemb /home/oc/cores +/home/oc/cores +/home/oc/cores/aes128 /home/oc/cores +/home/oc/cores +/home/oc/cores/aes_128_192_256 /home/oc/cores +Adding aes_dec.vhdl + +Committed revision 1. +Adding aes_enc.vhdl + +Committed revision 2. +Adding aes_pkg.vhdl + +Committed revision 3. +Adding (bin) aes_top.pdf + +Committed revision 4. +Adding key_expansion.vhdl + +Committed revision 5. +/home/oc/cores +/home/oc/cores/aes_core /home/oc/cores +/home/oc/cores +/home/oc/cores/aes_crypto_core /home/oc/cores +/home/oc/cores +/home/oc/cores/aes_fekete256 /home/oc/cores +Adding (bin) AES.ZIP + +Committed revision 1. +/home/oc/cores +/home/oc/cores/ahb2wishbone /home/oc/cores +/home/oc/cores +/home/oc/cores/ahbahb /home/oc/cores +/home/oc/cores +/home/oc/cores/ahb_arbiter /home/oc/cores +/home/oc/cores +/home/oc/cores/ahb_system_generator /home/oc/cores +/home/oc/cores +/home/oc/cores/all_digital_fm_receiver /home/oc/cores +Adding (bin) architecture.png + +Committed revision 2. +Adding (bin) fmsquare.jpg + +Committed revision 3. +Adding (bin) fmtriangular.jpg + +Committed revision 4. +/home/oc/cores +/home/oc/cores/alternascope /home/oc/cores +Adding (bin) Alternascope_Sept15_2005.rar + +Committed revision 2. +Adding (bin) BlockDiagram_small.GIF + +Committed revision 3. +Adding (bin) OpenCores.JPG + +Committed revision 4. +/home/oc/cores +/home/oc/cores/alu_with_selectable_inputs_and_outputs /home/oc/cores +/home/oc/cores +/home/oc/cores/amba_compliant_fifo_core /home/oc/cores +/home/oc/cores +/home/oc/cores/ambasdram /home/oc/cores +/home/oc/cores +/home/oc/cores/aquarius /home/oc/cores +/home/oc/cores +/home/oc/cores/aspida /home/oc/cores +Adding (bin) aspida_dlx_core.tar.gz + +Committed revision 1. +Adding (bin) aspida.gif + +Committed revision 2. +Adding (bin) faq.tar.gz + +Committed revision 3. +Adding (bin) thumb_aspida.gif + +Committed revision 4. +/home/oc/cores +/home/oc/cores/asynchronous_clocks /home/oc/cores +/home/oc/cores +/home/oc/cores/ata /home/oc/cores +Adding index.shtml + +Committed revision 2. +Adding (bin) preliminary_ata_core.pdf + +Committed revision 3. +/home/oc/cores +/home/oc/cores/auto_baud /home/oc/cores +/home/oc/cores +/home/oc/cores/a_vhd_16550_uart /home/oc/cores +Adding (bin) gh_uart_16550_101307.zip + +Committed revision 1. +Adding vhdl_16550_uart_2_2.pdf + +Committed revision 2. +/home/oc/cores +/home/oc/cores/a_vhdl_can_controller /home/oc/cores +Adding (bin) can_parts.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/avr_core /home/oc/cores +Adding (bin) AVR_Core8F.tar.gz + +Committed revision 1. +/home/oc/cores +/home/oc/cores/ax8 /home/oc/cores +/home/oc/cores +/home/oc/cores/basicdes /home/oc/cores +/home/oc/cores +/home/oc/cores/basicrsa /home/oc/cores +/home/oc/cores +/home/oc/cores/baudgen /home/oc/cores +Adding am_baud_rate_gen.vhd + +Committed revision 2. +/home/oc/cores +/home/oc/cores/baud_select_uart /home/oc/cores +/home/oc/cores +/home/oc/cores/bc6502 /home/oc/cores +/home/oc/cores +/home/oc/cores/big_counter /home/oc/cores +/home/oc/cores +/home/oc/cores/binary_to_bcd /home/oc/cores +/home/oc/cores +/home/oc/cores/bips /home/oc/cores +/home/oc/cores +/home/oc/cores/biquad /home/oc/cores +Adding (bin) biquad.pdf + +Committed revision 1. +Adding biquad.v + +Committed revision 2. +Adding bqmain.v + +Committed revision 3. +Adding (bin) bquad_blk.gif + +Committed revision 4. +Adding coefio.v + +Committed revision 5. +Adding index.shtml + +Committed revision 6. +Adding multa.v + +Committed revision 7. +Adding multb.v + +Committed revision 8. +Adding vsource.html + +Committed revision 9. +/home/oc/cores +/home/oc/cores/bluespec-80211atransmitter /home/oc/cores +/home/oc/cores +/home/oc/cores/bluespec-bsp /home/oc/cores +/home/oc/cores +/home/oc/cores/bluespec-convolutional-codec /home/oc/cores +/home/oc/cores +/home/oc/cores/bluespec-fft /home/oc/cores +/home/oc/cores +/home/oc/cores/bluespec-galoisfield /home/oc/cores +/home/oc/cores +/home/oc/cores/bluespec-h264 /home/oc/cores +/home/oc/cores +/home/oc/cores/bluespec-ofdm /home/oc/cores +/home/oc/cores +/home/oc/cores/bluespec-reedsolomon /home/oc/cores +/home/oc/cores +/home/oc/cores/bluetooth /home/oc/cores +Adding BBspec.shtml + +Committed revision 2. +Adding (bin) Bluetooth_01b.zip + +Committed revision 3. +Adding (bin) Bluetooth_02b.zip + +Committed revision 4. +Adding (bin) Bluetooth.zip + +Committed revision 5. +Adding index.shtml + +Committed revision 6. +/home/oc/cores +/home/oc/cores/bluetooth_ver /home/oc/cores +/home/oc/cores +/home/oc/cores/board /home/oc/cores +Adding (bin) blockdiagram.jpg + +Committed revision 1. +Adding (bin) boardflow.jpg + +Committed revision 2. +Adding board.shtml + +Committed revision 3. +Adding (bin) coreflow.jpg + +Committed revision 4. +Adding index.shtml + +Committed revision 5. +Adding (bin) led.jpg + +Committed revision 6. +Adding (bin) matrics.gif + +Committed revision 7. +Adding (bin) power_led.gif + +Committed revision 8. +Adding XC95108-PC84.sym + +Committed revision 9. +/home/oc/cores +/home/oc/cores/boundaries /home/oc/cores +/home/oc/cores +/home/oc/cores/brisc /home/oc/cores +/home/oc/cores +/home/oc/cores/butterfly /home/oc/cores +/home/oc/cores +/home/oc/cores/c16 /home/oc/cores +/home/oc/cores +/home/oc/cores/cable /home/oc/cores +/home/oc/cores +/home/oc/cores/cachemodel /home/oc/cores +/home/oc/cores +/home/oc/cores/cam /home/oc/cores +/home/oc/cores +/home/oc/cores/camellia /home/oc/cores +Adding camellia_core_tb.vhd + +Committed revision 1. +Adding CAMELLIA_CORE.vhd + +Committed revision 2. +Adding (bin) Camellia_doc.pdf + +Committed revision 3. +/home/oc/cores +/home/oc/cores/camellia-vhdl /home/oc/cores +/home/oc/cores +/home/oc/cores/can /home/oc/cores +Adding (bin) CAN.gif + +Committed revision 2. +/home/oc/cores +/home/oc/cores/cas /home/oc/cores +/home/oc/cores +/home/oc/cores/cdma /home/oc/cores +/home/oc/cores +/home/oc/cores/cereon /home/oc/cores +Adding (bin) AssemblerReference.pdf + +Committed revision 1. +Adding CereonArchitectureReferenceManual_Version1.pdf + +Committed revision 2. +Adding (bin) ProcedureCallingStandards.pdf + +Committed revision 3. +Adding (bin) ProcessorIdentificationScheme.pdf + +Committed revision 4. +/home/oc/cores +/home/oc/cores/cf_cordic /home/oc/cores +Adding (bin) cf_cordic.tgz + +Committed revision 1. +/home/oc/cores +/home/oc/cores/cf_fft /home/oc/cores +Adding (bin) cf_fft_test_large.tgz + +Committed revision 1. +Adding (bin) cf_fft_test.tgz + +Committed revision 2. +Adding (bin) cf_fft.tgz + +Committed revision 3. +/home/oc/cores +/home/oc/cores/cf_fir /home/oc/cores +Adding (bin) cf_fir.tgz + +Committed revision 1. +/home/oc/cores +/home/oc/cores/cf_fp_mul /home/oc/cores +Adding (bin) cf_fp_mul.tgz + +Committed revision 1. +/home/oc/cores +/home/oc/cores/cfft /home/oc/cores +/home/oc/cores +/home/oc/cores/cfinterface /home/oc/cores +/home/oc/cores +/home/oc/cores/cf_interleaver /home/oc/cores +Adding (bin) cf_interleaver.tgz + +Committed revision 1. +/home/oc/cores +/home/oc/cores/cf_ldpc /home/oc/cores +Adding (bin) cf_ldpc.tgz + +Committed revision 1. +/home/oc/cores +/home/oc/cores/cf_rca /home/oc/cores +Adding (bin) cf_rca.tgz + +Committed revision 1. +Adding (bin) rca_tile.png + +Committed revision 2. +/home/oc/cores +/home/oc/cores/cf_ssp /home/oc/cores +Adding (bin) cf_ssp.tgz + +Committed revision 1. +Adding ssp_cordic.c + +Committed revision 2. +Adding ssp_first_order.c + +Committed revision 3. +/home/oc/cores +/home/oc/cores/cia /home/oc/cores +/home/oc/cores +/home/oc/cores/claw /home/oc/cores +/home/oc/cores +/home/oc/cores/clocklessalu /home/oc/cores +/home/oc/cores +/home/oc/cores/cmpct /home/oc/cores +/home/oc/cores +/home/oc/cores/c-nit_soc /home/oc/cores +/home/oc/cores +/home/oc/cores/color_converter /home/oc/cores +/home/oc/cores +/home/oc/cores/constellation_vga /home/oc/cores +/home/oc/cores +/home/oc/cores/const_encoder /home/oc/cores +Adding (bin) Const_enc_oc.doc + +Committed revision 1. +Adding const_enc.vhd + +Committed revision 2. +/home/oc/cores +/home/oc/cores/cordic /home/oc/cores +Adding cordic.pdf + +Committed revision 2. +Adding index.shtml + +Committed revision 3. +/home/oc/cores +/home/oc/cores/core_arm /home/oc/cores +/home/oc/cores +/home/oc/cores/cowgirl /home/oc/cores +/home/oc/cores +/home/oc/cores/cpu6502_true_cycle /home/oc/cores +/home/oc/cores +/home/oc/cores/cpu65c02_true_cycle /home/oc/cores +/home/oc/cores +/home/oc/cores/cpu8080 /home/oc/cores +/home/oc/cores +/home/oc/cores/cpugen /home/oc/cores +Adding (bin) cpugen.jpg + +Committed revision 2. +/home/oc/cores +/home/oc/cores/cryptopan_core /home/oc/cores +/home/oc/cores +/home/oc/cores/cryptosorter /home/oc/cores +/home/oc/cores +/home/oc/cores/csa /home/oc/cores +/home/oc/cores +/home/oc/cores/dallas_one-wire /home/oc/cores +/home/oc/cores +/home/oc/cores/dct /home/oc/cores +Adding dct.shtml + +Committed revision 1. +Adding (bin) dct.zip + +Committed revision 2. +Adding htmlbook.shtml + +Committed revision 3. +Adding modexp.shtml + +Committed revision 4. +/home/oc/cores +/home/oc/cores/ddr_sdr /home/oc/cores +Adding (bin) ddr_sdr_V1_0.zip + +Committed revision 1. +Adding (bin) ddr_sdr_V1_1.zip + +Committed revision 2. +Adding doc/readme.txt + +Committed revision 3. +Adding LICENSE.dat + +Committed revision 4. + +Committed revision 5. +/home/oc/cores +/home/oc/cores/ddsgen /home/oc/cores +/home/oc/cores +/home/oc/cores/decoder /home/oc/cores +Adding (bin) mp3_decoder.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/deflatecore /home/oc/cores +/home/oc/cores +/home/oc/cores/des /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/design_dsp320tmsc10_with_vhdl /home/oc/cores +/home/oc/cores +/home/oc/cores/dfp /home/oc/cores +Adding (bin) dfp.gif + +Committed revision 1. +Adding (bin) DFPV10.zip + +Committed revision 2. +Adding (bin) V3.zip + +Committed revision 3. +/home/oc/cores +/home/oc/cores/digifilter /home/oc/cores +/home/oc/cores +/home/oc/cores/diogenes /home/oc/cores +Adding (bin) diogenes.tar.bz2 + +Committed revision 2. +/home/oc/cores +/home/oc/cores/dirac /home/oc/cores +/home/oc/cores +/home/oc/cores/djpeg /home/oc/cores +/home/oc/cores +/home/oc/cores/dmacontroller /home/oc/cores +/home/oc/cores +/home/oc/cores/dmt_tx /home/oc/cores +/home/oc/cores +/home/oc/cores/dram /home/oc/cores +Adding dram.html + +Committed revision 1. +Adding dram.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/dualspartainc6713cpci /home/oc/cores +Adding (bin) 6713_CPU.pdf + +Committed revision 1. +Adding (bin) BotLayer.jpg + +Committed revision 2. +Adding (bin) DSP_Front.jpg + +Committed revision 3. +Adding (bin) DSP_near_done_tiny.jpg + +Committed revision 4. +Adding (bin) Mid1Layer.jpg + +Committed revision 5. +Adding (bin) Mid2Layer.jpg + +Committed revision 6. +Adding (bin) SystemDiagram.jpg + +Committed revision 7. +Adding (bin) TopLayer.jpg + +Committed revision 8. +/home/oc/cores +/home/oc/cores/dwt2d /home/oc/cores +Adding (bin) DIPC1.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/e123mux /home/oc/cores +Adding (bin) Block_Diagram.jpg + +Committed revision 1. +Adding E123MUX_Core.pdf + +Committed revision 2. +/home/oc/cores +/home/oc/cores/e1framer /home/oc/cores +/home/oc/cores +/home/oc/cores/e1framerdeframer /home/oc/cores +Adding (bin) e1_framer.zip + +Committed revision 1. +Adding fas_insert.vhd + +Committed revision 2. +/home/oc/cores +/home/oc/cores/edatools /home/oc/cores +/home/oc/cores +/home/oc/cores/elevator /home/oc/cores +/home/oc/cores +/home/oc/cores/elphel_353 /home/oc/cores +/home/oc/cores +/home/oc/cores/embedded_risc /home/oc/cores +Adding (bin) Block_Diagram/sdram_controller.pdf + +Committed revision 2. +/home/oc/cores +/home/oc/cores/embed_z8 /home/oc/cores +/home/oc/cores +/home/oc/cores/epp /home/oc/cores +Adding (bin) epp.jpg + +Committed revision 1. +/home/oc/cores +/home/oc/cores/epp-interface-v /home/oc/cores +/home/oc/cores +/home/oc/cores/epp-to-wishbone /home/oc/cores +/home/oc/cores +/home/oc/cores/erp /home/oc/cores +Adding ERPTechnicalReport4.pdf + +Committed revision 1. +Adding ERPTechnicalReport5.pdf + +Committed revision 2. +Adding ERPverilogcore.txt + +Committed revision 3. +/home/oc/cores +/home/oc/cores/ethdev /home/oc/cores +/home/oc/cores +/home/oc/cores/ethernet_tri_mode /home/oc/cores +Adding (bin) ethernet_tri_mode.rel-1-0.tar.gz + +Committed revision 2. +/home/oc/cores +/home/oc/cores/ethmac10g /home/oc/cores +/home/oc/cores +/home/oc/cores/ethmacvhdl /home/oc/cores +/home/oc/cores +/home/oc/cores/ethswitch /home/oc/cores +/home/oc/cores +/home/oc/cores/eus100lx /home/oc/cores +Adding (bin) 180px-EUS_B_N.jpg + +Committed revision 2. +Adding (bin) 180px-EUS_T_N.jpg + +Committed revision 3. +Adding (bin) EUS100LX_BD.gif + +Committed revision 4. +/home/oc/cores +/home/oc/cores/eusfs /home/oc/cores +Adding (bin) eusfs-bd.jpg + +Committed revision 1. +Adding (bin) EUSIIa_bottom_tn.jpg + +Committed revision 2. +Adding (bin) EUS_II_topa_tn.jpg + +Committed revision 3. +/home/oc/cores +/home/oc/cores/evision /home/oc/cores +/home/oc/cores +/home/oc/cores/extension_pack /home/oc/cores +/home/oc/cores +/home/oc/cores/fac2222m /home/oc/cores +Adding (bin) ADC-DAC-AMP.png + +Committed revision 2. +Adding (bin) fac2222m.png + +Committed revision 3. +/home/oc/cores +/home/oc/cores/fast-crc /home/oc/cores +Adding (bin) CRC-generator.tgz + +Committed revision 2. +Adding (bin) CRC_ie3_contest.pdf + +Committed revision 3. +Adding (bin) CRC.tgz + +Committed revision 4. +Adding Readme + +Committed revision 5. +/home/oc/cores +/home/oc/cores/fbas_encoder /home/oc/cores +Adding (bin) chroma_gen.png + +Committed revision 1. +Adding (bin) connect.png + +Committed revision 2. +Adding (bin) fbas_encoder-0.21.tar.gz + +Committed revision 3. +Adding (bin) fbas-encoder_0.31.tar.gz + +Committed revision 4. +Adding (bin) fbas-enc_scrs1.jpg + +Committed revision 5. +Adding (bin) luma_gen.png + +Committed revision 6. +Adding (bin) main.png + +Committed revision 7. +/home/oc/cores +/home/oc/cores/fcpu /home/oc/cores +/home/oc/cores +/home/oc/cores/ffr16 /home/oc/cores +Adding (bin) FFR16.jpg + +Committed revision 2. +/home/oc/cores +/home/oc/cores/fft_32 /home/oc/cores +/home/oc/cores +/home/oc/cores/fftprocessor /home/oc/cores +/home/oc/cores +/home/oc/cores/fht /home/oc/cores +/home/oc/cores +/home/oc/cores/fifouart /home/oc/cores +Adding (bin) UART_datasheet.pdf + +Committed revision 1. +/home/oc/cores +/home/oc/cores/filter /home/oc/cores +/home/oc/cores +/home/oc/cores/firewire /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/fir_filter_generator /home/oc/cores +Adding design-of-high-speed.pdf + +Committed revision 1. +Adding (bin) FirGen_V1.0.zip + +Committed revision 2. +Adding (bin) FirGen_V1.1.zip + +Committed revision 3. +/home/oc/cores +/home/oc/cores/flha /home/oc/cores +/home/oc/cores +/home/oc/cores/floatingcore /home/oc/cores +/home/oc/cores +/home/oc/cores/floating_point_adder_subtractor /home/oc/cores +Adding addsub.vhd + +Committed revision 1. +Adding normalize.vhd + +Committed revision 2. +Adding shift.vhd + +Committed revision 3. +/home/oc/cores +/home/oc/cores/floppyif /home/oc/cores +/home/oc/cores +/home/oc/cores/fmtransmitter /home/oc/cores +/home/oc/cores +/home/oc/cores/fpga /home/oc/cores +Adding (bin) docs.jar + +Committed revision 1. +Adding (bin) examples.jar + +Committed revision 2. +Adding (bin) Fpga.pdf + +Committed revision 3. +Adding (bin) fpga_sw.pdf + +Committed revision 4. +Adding gpl.txt + +Committed revision 5. +Adding index.shtml + +Committed revision 6. +Adding (bin) KRPAN.jar + +Committed revision 7. +Adding (bin) KRPAN.zip + +Committed revision 8. +Adding (bin) opencores.cer + +Committed revision 9. +Adding pwm12_8s.v + +Committed revision 10. +Adding (bin) sources.jar + +Committed revision 11. +Adding (bin) sshot1.gif + +Committed revision 12. +/home/oc/cores +/home/oc/cores/fpgabsp /home/oc/cores +/home/oc/cores +/home/oc/cores/fpgaconfig /home/oc/cores +Adding (bin) altera_config.png + +Committed revision 1. +Adding (bin) fpgaConfig_system_block_diag.gif + +Committed revision 2. +Adding (bin) fpgaConfig.zip + +Committed revision 3. +/home/oc/cores +/home/oc/cores/fpgaproto /home/oc/cores +/home/oc/cores +/home/oc/cores/fpipelines /home/oc/cores +/home/oc/cores +/home/oc/cores/fpu /home/oc/cores +Adding DEADJOE + +Committed revision 2. +Adding index.shtml + +Committed revision 3. +/home/oc/cores +/home/oc/cores/fpu100 /home/oc/cores +Adding bug_report_260407.txt + +Committed revision 2. +Adding (bin) fpu_doc.pdf + +Committed revision 3. +Adding (bin) fpu_v18.zip + +Committed revision 4. +Adding (bin) fpu_v19.zip + +Committed revision 5. +/home/oc/cores +/home/oc/cores/fpu32bit /home/oc/cores +/home/oc/cores +/home/oc/cores/fpuvhdl /home/oc/cores +/home/oc/cores +/home/oc/cores/freetools /home/oc/cores +/home/oc/cores +/home/oc/cores/froop /home/oc/cores +/home/oc/cores +/home/oc/cores/fsl2serial /home/oc/cores +/home/oc/cores +/home/oc/cores/gamepads /home/oc/cores +Adding (bin) gcpad.png + +Committed revision 2. +Adding (bin) snespad.png + +Committed revision 3. +Adding (bin) snespad_wire.jpg + +Committed revision 4. +Adding (bin) thumb_gcpad.png + +Committed revision 5. +Adding (bin) thumb_snespad.png + +Committed revision 6. +Adding (bin) thumb_snespad_wire.jpg + +Committed revision 7. +/home/oc/cores +/home/oc/cores/gcpu /home/oc/cores +/home/oc/cores +/home/oc/cores/generic_fifos /home/oc/cores +/home/oc/cores +/home/oc/cores/generic_fifovhd /home/oc/cores +/home/oc/cores +/home/oc/cores/gh_vhdl_library /home/oc/cores +Adding (bin) gh_vhdl_lib_3_34.pdf + +Committed revision 1. +Adding (bin) gh_vhdl_lib_3_35.pdf + +Committed revision 2. +Adding (bin) gh_vhdl_lib_3_36.pdf + +Committed revision 3. +Adding (bin) gh_vhdl_lib_v3_34.zip + +Committed revision 4. +Adding (bin) gh_vhdl_lib_v3_35.zip + +Committed revision 5. +Adding (bin) gh_vhdl_lib_v3_36.zip + +Committed revision 6. +/home/oc/cores +/home/oc/cores/gig_ethernet_mac_core /home/oc/cores +/home/oc/cores +/home/oc/cores/gix96 /home/oc/cores +/home/oc/cores +/home/oc/cores/gpio /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/graphicallcd /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/graphiti /home/oc/cores +Adding (bin) blockschaltbild.png + +Committed revision 2. +Adding (bin) flowers.jpg + +Committed revision 3. +Adding (bin) graphitib.jpg + +Committed revision 4. +Adding (bin) graphiti.jpg + +Committed revision 5. +Adding (bin) testbild.jpg + +Committed revision 6. +Adding (bin) tflowers.jpg + +Committed revision 7. +Adding (bin) thumb_flowers.jpg + +Committed revision 8. +Adding (bin) thumb_graphitib.jpg + +Committed revision 9. +Adding (bin) thumb_graphiti.jpg + +Committed revision 10. +Adding (bin) thumb_testbild.jpg + +Committed revision 11. +Adding (bin) thumb_tflowers.jpg + +Committed revision 12. +/home/oc/cores +/home/oc/cores/gsc /home/oc/cores +Adding (bin) btyacc.tar.gz + +Committed revision 1. +Adding (bin) graphviz-2.8.tar.gz + +Committed revision 2. +Adding (bin) gsc-0.1.1.tar.gz + +Committed revision 3. +Adding (bin) gsc.pdf + +Committed revision 4. +Adding (bin) keystone.tar.gz + +Committed revision 5. +Adding (bin) treecc-0.3.8.tar.gz + +Committed revision 6. +/home/oc/cores +/home/oc/cores/gup /home/oc/cores +Adding (bin) gator_ucomputer_v1.0.zip + +Committed revision 1. +Adding (bin) gup_logo_thumb.jpg + +Committed revision 2. +Adding (bin) thumb_gup_logo_thumb.jpg + +Committed revision 3. +/home/oc/cores +/home/oc/cores/gzip /home/oc/cores +/home/oc/cores +/home/oc/cores/hamming /home/oc/cores +/home/oc/cores +/home/oc/cores/hamming_gen /home/oc/cores +Adding (bin) hamming.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/hangyu /home/oc/cores +/home/oc/cores +/home/oc/cores/hasm /home/oc/cores +/home/oc/cores +/home/oc/cores/hdb3 /home/oc/cores +/home/oc/cores +/home/oc/cores/hdbn /home/oc/cores +/home/oc/cores +/home/oc/cores/hdlc /home/oc/cores +Adding (bin) HDLC_cont.jpg + +Committed revision 2. +Adding HDLC_cont.ps + +Committed revision 3. +Adding (bin) hdlc_fifo.jpg + +Committed revision 4. +Adding hdlc_fifo.ps + +Committed revision 5. +Adding hdlc_project.html + +Committed revision 6. +Adding (bin) hdlc_project.pdf + +Committed revision 7. +Adding hdlc_project.ps + +Committed revision 8. +Adding (bin) HDLC_top.jpg + +Committed revision 9. +Adding HDLC_top.ps + +Committed revision 10. +Adding index.shtml + +Committed revision 11. +Adding wishlogo.ps + +Committed revision 12. +/home/oc/cores +/home/oc/cores/help /home/oc/cores +Adding (bin) exp1pf.gif + +Committed revision 2. +Adding search.shtml + +Committed revision 3. +/home/oc/cores +/home/oc/cores/hicovec /home/oc/cores +/home/oc/cores +/home/oc/cores/hierarch_unit /home/oc/cores +/home/oc/cores +/home/oc/cores/hmta /home/oc/cores +/home/oc/cores +/home/oc/cores/houmway /home/oc/cores +/home/oc/cores +/home/oc/cores/hpc-16 /home/oc/cores +/home/oc/cores +/home/oc/cores/hpcmemory /home/oc/cores +/home/oc/cores +/home/oc/cores/hssdrc /home/oc/cores +/home/oc/cores +/home/oc/cores/ht_tunnel /home/oc/cores +/home/oc/cores +/home/oc/cores/hwlu /home/oc/cores +/home/oc/cores +/home/oc/cores/i2c /home/oc/cores +Adding (bin) Block.gif + +Committed revision 2. +Adding (bin) i2c_rev03.pdf + +Committed revision 3. +Adding index_orig.shtml + +Committed revision 4. +Adding index.shtml + +Committed revision 5. +/home/oc/cores +/home/oc/cores/i2clog /home/oc/cores +Adding Documentation/I2CLog.v + +Committed revision 1. +Adding front + +Committed revision 2. +Adding I2C_TrafficLogger.v + +Committed revision 3. +/home/oc/cores +/home/oc/cores/i2c_master_slave_core /home/oc/cores +/home/oc/cores +/home/oc/cores/i2c_slave /home/oc/cores +Adding iic_slave_3.v + +Committed revision 1. +/home/oc/cores +/home/oc/cores/i2c_vhdl /home/oc/cores +/home/oc/cores +/home/oc/cores/i2s /home/oc/cores +Adding dff.vhd + +Committed revision 1. +Adding ebu_2_i2s.vhd + +Committed revision 2. +/home/oc/cores +/home/oc/cores/i2s_interface /home/oc/cores +Adding (bin) i2s_interface.zip + +Committed revision 2. +/home/oc/cores +/home/oc/cores/i2sparalell /home/oc/cores +/home/oc/cores +/home/oc/cores/ic6821 /home/oc/cores +Adding VHDL6821.vhd + +Committed revision 1. +/home/oc/cores +/home/oc/cores/icu /home/oc/cores +/home/oc/cores +/home/oc/cores/ide /home/oc/cores +/home/oc/cores +/home/oc/cores/idea /home/oc/cores +Adding (bin) block_opmode.tar.gz + +Committed revision 2. +Adding (bin) control.tar.gz + +Committed revision 3. +Adding (bin) IDEA core block.GIF + +Committed revision 4. +Adding (bin) idea_machine.tar.gz + +Committed revision 5. +Adding (bin) IDEA mechine block.GIF + +Committed revision 6. +Adding index.shtml + +Committed revision 7. +Adding (bin) keys_generate.tar.gz + +Committed revision 8. +Adding (bin) Paper_IES2001_sby.PDF + +Committed revision 9. +Adding (bin) port_inout.tar.gz + +Committed revision 10. +/home/oc/cores +/home/oc/cores/iiepci /home/oc/cores +Adding (bin) iie_pci_back.jpg + +Committed revision 2. +Adding (bin) iie_pci_diagram.jpg + +Committed revision 3. +Adding (bin) iie_pci_front.jpg + +Committed revision 4. +/home/oc/cores +/home/oc/cores/ima-adpcm /home/oc/cores +/home/oc/cores +/home/oc/cores/interface_vga80x40 /home/oc/cores +Adding (bin) FPGA_VGA_Electrical_Interface.png + +Committed revision 1. +Adding (bin) if_vga80x40.zip + +Committed revision 2. +Adding VGA80x40_documentation.pdf + +Committed revision 3. +/home/oc/cores +/home/oc/cores/ipchip /home/oc/cores +/home/oc/cores +/home/oc/cores/irda /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/iso7816-3 /home/oc/cores +Adding (bin) iso7816-3.tgz + +Committed revision 1. +/home/oc/cores +/home/oc/cores/isp /home/oc/cores +/home/oc/cores +/home/oc/cores/jop /home/oc/cores +/home/oc/cores +/home/oc/cores/jpeg /home/oc/cores +Adding (bin) DiagramaCompJPGen.png + +Committed revision 2. +Adding (bin) floresconsubsamp211.jpg + +Committed revision 3. +Adding (bin) floressinsubsamp.jpg + +Committed revision 4. +Adding (bin) imagenfrutasQ05PSP.JPG + +Committed revision 5. +Adding (bin) imagenfrutasQ15.jpg + +Committed revision 6. +Adding (bin) imagenfrutasQ31.jpg + +Committed revision 7. +Adding (bin) imagenfrutasQ50.jpg + +Committed revision 8. +Adding (bin) imagenglobosPSPQ15.jpg + +Committed revision 9. +Adding (bin) imagenglobosQ15.jpg + +Committed revision 10. +/home/oc/cores +/home/oc/cores/jpegcompression /home/oc/cores +/home/oc/cores +/home/oc/cores/jtag /home/oc/cores +Adding (bin) Boundary-Scan Architecture.pdf + +Committed revision 2. +Adding index.shtml + +Committed revision 3. +/home/oc/cores +/home/oc/cores/k68 /home/oc/cores +/home/oc/cores +/home/oc/cores/k7_viterbi_decoder /home/oc/cores +/home/oc/cores +/home/oc/cores/kad /home/oc/cores +/home/oc/cores +/home/oc/cores/kcpsm3_interrupt_handling /home/oc/cores +/home/oc/cores +/home/oc/cores/keyboardcontroller /home/oc/cores +/home/oc/cores +/home/oc/cores/keypad_scanner /home/oc/cores +/home/oc/cores +/home/oc/cores/kiss-board /home/oc/cores +/home/oc/cores +/home/oc/cores/ksystem /home/oc/cores +/home/oc/cores +/home/oc/cores/l8051 /home/oc/cores +Adding (bin) L8051.tar + +Committed revision 1. +/home/oc/cores +/home/oc/cores/lcd /home/oc/cores +Adding alliance.shtml + +Committed revision 1. +Adding counterc.shtml + +Committed revision 2. +Adding counter.shtml + +Committed revision 3. +Adding counterv.shtml + +Committed revision 4. +Adding decoderc.shtml + +Committed revision 5. +Adding decoderv.shtml + +Committed revision 6. +Adding dffresc.shtml + +Committed revision 7. +Adding dffresv.shtml + +Committed revision 8. +Adding dflipflop.shtml + +Committed revision 9. +Adding index.shtml + +Committed revision 10. +Adding index.shtml.old + +Committed revision 11. +Adding (bin) LCD.ht1.gif + +Committed revision 12. +Adding (bin) lcd.zip + +Committed revision 13. +Adding mcc.shtml + +Committed revision 14. +Adding mcv.shtml + +Committed revision 15. +Adding ramc.shtml + +Committed revision 16. +Adding ramv.shtml + +Committed revision 17. +Adding struct.shtml + +Committed revision 18. +Adding test.shtml + +Committed revision 19. +/home/oc/cores +/home/oc/cores/lcd1 /home/oc/cores +/home/oc/cores +/home/oc/cores/lcd_controller /home/oc/cores +Adding (bin) AP.zip + +Committed revision 1. +Adding CM920TUserGuide.pdf + +Committed revision 2. +Adding (bin) ColorTFT-LCDController.ppt + +Committed revision 3. +Adding DUI0146C_LM600.pdf + +Committed revision 4. +Adding tx18d16vm1caa.pdf + +Committed revision 5. +/home/oc/cores +/home/oc/cores/ldpc_decoder_802_3an /home/oc/cores +Adding (bin) ldpc_decoder_802_3an.tar.gz + +Committed revision 1. +/home/oc/cores +/home/oc/cores/ldpc_encoder_802_3an /home/oc/cores +Adding (bin) ldpc_encoder_802_3an.v.gz + +Committed revision 1. +/home/oc/cores +/home/oc/cores/lem1_9min /home/oc/cores +Adding d3_lem1_9min_hw.ucf + +Committed revision 1. +Adding Form1.cs + +Committed revision 2. +Adding lem1_9min_asm.csproj + +Committed revision 3. +Adding lem1_9min_defs.vhd + +Committed revision 4. +Adding lem1_9min_hw.vhd + +Committed revision 5. +Adding lem1_9min.vhd + +Committed revision 6. +Adding trinity_talk_041205.pdf + +Committed revision 7. +/home/oc/cores +/home/oc/cores/light8080 /home/oc/cores +/home/oc/cores +/home/oc/cores/lin-a /home/oc/cores +/home/oc/cores +/home/oc/cores/line_codes /home/oc/cores +/home/oc/cores +/home/oc/cores/linuxvcap /home/oc/cores +/home/oc/cores +/home/oc/cores/llc1394 /home/oc/cores +/home/oc/cores +/home/oc/cores/log_anal /home/oc/cores +/home/oc/cores +/home/oc/cores/lowpowerfir /home/oc/cores +Adding (bin) FIRLowPowerConsiderations.doc + +Committed revision 1. +Adding (bin) fir.zip + +Committed revision 2. +/home/oc/cores +/home/oc/cores/lpc /home/oc/cores +/home/oc/cores +/home/oc/cores/lpu /home/oc/cores +Adding (bin) lpu.zip + +Committed revision 1. +Adding (bin) Mem Driven Processor.doc + +Committed revision 2. +/home/oc/cores +/home/oc/cores/lq057q3dc02 /home/oc/cores +/home/oc/cores +/home/oc/cores/lwmips /home/oc/cores +/home/oc/cores +/home/oc/cores/lwrisc /home/oc/cores +Adding (bin) 200735153855.bmp + +Committed revision 2. +Adding (bin) 200735153855.JPG + +Committed revision 3. +Adding (bin) clairisc.JPG + +Committed revision 4. +Adding (bin) thumb_200735153855.JPG + +Committed revision 5. +Adding (bin) thumb_clairisc.JPG + +Committed revision 6. +Adding (bin) thumb_we.GIF + +Committed revision 7. +Adding (bin) we.GIF + +Committed revision 8. +/home/oc/cores +/home/oc/cores/m1_core /home/oc/cores +/home/oc/cores +/home/oc/cores/mac /home/oc/cores +/home/oc/cores +/home/oc/cores/macroblock_motion_detection /home/oc/cores +/home/oc/cores +/home/oc/cores/maf /home/oc/cores +/home/oc/cores +/home/oc/cores/mafa-pc-board /home/oc/cores +/home/oc/cores +/home/oc/cores/man2uart /home/oc/cores +Adding Man2uartopencores.txt + +Committed revision 1. +/home/oc/cores +/home/oc/cores/manchesterencoderdecoder /home/oc/cores +Adding ME2.vhd + +Committed revision 1. +/home/oc/cores +/home/oc/cores/marca /home/oc/cores +/home/oc/cores +/home/oc/cores/matrix3x3 /home/oc/cores +/home/oc/cores +/home/oc/cores/maxii-evalboard /home/oc/cores +Adding (bin) MAXII-Evalboard-V1.00-Designpackage.zip + +Committed revision 1. +Adding (bin) MAXII-Evalboard_V1.0_a.jpg + +Committed revision 2. +Adding (bin) MAXII-Evalboard_V1.0_b.jpg + +Committed revision 3. +Adding (bin) MAXII-Evalboard_V1.0_BOM.xls + +Committed revision 4. +Adding (bin) MAXII-Evalboard_V1.0_Gerber&CAM.zip + +Committed revision 5. +Adding (bin) MAXII-Evalboard_V1.0.jpg + +Committed revision 6. +Adding MAXII-Evalboard_V1.0_PCB-Errata.txt + +Committed revision 7. +Adding MAXII-Evalboard_V1.0_PCB.pdf + +Committed revision 8. +Adding (bin) MAXII-Evalboard_V1.0_Placement.pdf + +Committed revision 9. +Adding (bin) MAXII-Evalboard_V1.0_Protel.zip + +Committed revision 10. +Adding (bin) MAXII-Evalboard_V1.0_Schem.pdf + +Committed revision 11. +/home/oc/cores +/home/oc/cores/mb-jpeg /home/oc/cores +Adding (bin) mb-jpeg_STEP2_1b.tar.bz2 + +Committed revision 2. +Adding (bin) mb-jpeg_STEP2_2b.tar.bz2 + +Committed revision 3. +Adding (bin) mb-jpeg_STEP7_2.tar.bz2 + +Committed revision 4. +/home/oc/cores +/home/oc/cores/mcbsp /home/oc/cores +/home/oc/cores +/home/oc/cores/mcpu /home/oc/cores +Adding (bin) mcpu_1.06b.zip + +Committed revision 1. +Adding (bin) mcpu-doc.pdf + +Committed revision 2. +Adding (bin) mcpu.pdf + +Committed revision 3. +/home/oc/cores +/home/oc/cores/mcu8 /home/oc/cores +/home/oc/cores +/home/oc/cores/md5 /home/oc/cores +/home/oc/cores +/home/oc/cores/mdct /home/oc/cores +Adding (bin) block_diagram.jpg + +Committed revision 2. +/home/oc/cores +/home/oc/cores/membist /home/oc/cores +/home/oc/cores +/home/oc/cores/mem_ctrl /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/memorycontroller /home/oc/cores +/home/oc/cores +/home/oc/cores/memory_cores /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/memory_sizer /home/oc/cores +Adding documentation.shtml + +Committed revision 2. +Adding download.shtml + +Committed revision 3. +Adding index.shtml + +Committed revision 4. +Adding people.shtml + +Committed revision 5. +/home/oc/cores +/home/oc/cores/mfpga /home/oc/cores +Adding index.shtml + +Committed revision 1. +Adding (bin) mfpga_block.gif + +Committed revision 2. +Adding (bin) mfpga_block_new.gif + +Committed revision 3. +Adding (bin) micro_orcad.sch + +Committed revision 4. +Adding (bin) micro_protelbinary.lib + +Committed revision 5. +Adding (bin) micro_protelbinary.sch + +Committed revision 6. +Adding (bin) micro_sch.pdf + +Committed revision 7. +Adding (bin) xcv50.jpg + +Committed revision 8. +/home/oc/cores +/home/oc/cores/micore /home/oc/cores +/home/oc/cores +/home/oc/cores/microprocessor /home/oc/cores +/home/oc/cores +/home/oc/cores/milsa /home/oc/cores +/home/oc/cores +/home/oc/cores/milstd1553bbusprotocol /home/oc/cores +/home/oc/cores +/home/oc/cores/mini-acex1k /home/oc/cores +/home/oc/cores +/home/oc/cores/mini_aes /home/oc/cores +/home/oc/cores +/home/oc/cores/minimips /home/oc/cores +Adding (bin) miniMIPS.zip + +Committed revision 2. +/home/oc/cores +/home/oc/cores/minirisc /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/mips789 /home/oc/cores +Adding (bin) cal_PI_2.GIF + +Committed revision 1. +Adding (bin) MIPS789.bmp + +Committed revision 2. +Adding (bin) pi_2200.GIF + +Committed revision 3. +Adding (bin) topview.GIF + +Committed revision 4. +/home/oc/cores +/home/oc/cores/mipss /home/oc/cores +Adding s70_32bit_to_9bit.vhd + +Committed revision 1. +Adding s70_ALU.vhd + +Committed revision 2. +Adding s70_ctrl_unit.vhd + +Committed revision 3. +Adding s70_data_mem_comp.vhd + +Committed revision 4. +Adding s70_data_mem.vhd + +Committed revision 5. +Adding s70_datapath.vhd + +Committed revision 6. +Adding s70_Ext_S_Z.vhd + +Committed revision 7. +Adding s70_inc.vhd + +Committed revision 8. +/home/oc/cores +/home/oc/cores/mmcfpgaconfig /home/oc/cores +/home/oc/cores +/home/oc/cores/moonshadow /home/oc/cores +/home/oc/cores +/home/oc/cores/most /home/oc/cores +Adding (bin) MOST_Core_Compliance_Test_Specification.pdf + +Committed revision 2. +Adding MOSTSpecification.pdf + +Committed revision 3. +/home/oc/cores +/home/oc/cores/most_core /home/oc/cores +/home/oc/cores +/home/oc/cores/motion_controller /home/oc/cores +/home/oc/cores +/home/oc/cores/motionestimator /home/oc/cores +/home/oc/cores +/home/oc/cores/motor /home/oc/cores +/home/oc/cores +/home/oc/cores/mp3decoder /home/oc/cores +/home/oc/cores +/home/oc/cores/mpdma /home/oc/cores +Adding (bin) BlazeCluster_v0.14.tar.bz2 + +Committed revision 2. +Adding (bin) BlazeCluster_v0.15.tar.bz2 + +Committed revision 3. +Adding (bin) BlazeClusterv0.17.zip + +Committed revision 4. +Adding (bin) BlazeClusterv0.1.zip + +Committed revision 5. +Adding (bin) koblenz8_20070902.zip + +Committed revision 6. +Adding (bin) mpdma20061020.tar.bz2 + +Committed revision 7. +Adding (bin) mpdma20061023b.tar.bz2 + +Committed revision 8. +Adding (bin) mpdma20061023c.tar.bz2 + +Committed revision 9. +Adding (bin) mpdma20061023.tar.bz2 + +Committed revision 10. +Adding (bin) SoftwareMultiprocessoronFPGA20070608.pdf + +Committed revision 11. +/home/oc/cores +/home/oc/cores/mpeg2decoder /home/oc/cores +/home/oc/cores +/home/oc/cores/mpeg4_video_coding /home/oc/cores +/home/oc/cores +/home/oc/cores/mpegencoderdecoder /home/oc/cores +/home/oc/cores +/home/oc/cores/mup /home/oc/cores +/home/oc/cores +/home/oc/cores/ncore /home/oc/cores +Adding CASM.C + +Committed revision 1. +Adding NCORE2.V + +Committed revision 2. +Adding NCORE3.V + +Committed revision 3. +Adding (bin) nCore_doc.pdf + +Committed revision 4. +Adding (bin) NCORE.tar.bz2 + +Committed revision 5. +Adding nCore.v + +Committed revision 6. +Adding SIM.C + +Committed revision 7. +/home/oc/cores +/home/oc/cores/nemo_emotion /home/oc/cores +/home/oc/cores +/home/oc/cores/neot /home/oc/cores +/home/oc/cores +/home/oc/cores/neptune-core /home/oc/cores +Adding (bin) triton-block.png + +Committed revision 1. +/home/oc/cores +/home/oc/cores/nnARM /home/oc/cores +Adding Arch118.pdf + +Committed revision 1. +Adding Architecture111.pdf + +Committed revision 2. +Adding (bin) Architecture111.pdf.old + +Committed revision 3. +Adding Architecture_jc.pdf + +Committed revision 4. +Adding BS.shtml + +Committed revision 5. +Adding default.htm + +Committed revision 6. +Adding Documentation.shtml + +Committed revision 7. +Adding Download.shtml + +Committed revision 8. +Adding GT.shtml + +Committed revision 9. +Adding index1.shtml + +Committed revision 10. +Adding index.shtml1 + +Committed revision 11. +Adding index.shtml.old + +Committed revision 12. +Adding Introduction.shtml + +Committed revision 13. +Adding News.htm + +Committed revision 14. +Adding News.shtml + +Committed revision 15. +Adding nnARM.prog + +Committed revision 16. +Adding (bin) nnARM_tb01_07_10_1.zip + +Committed revision 17. +Adding (bin) nnARM_tb01_07_19.zip + +Committed revision 18. +Adding (bin) nnARM_tb01_07_20.zip + +Committed revision 19. +Adding (bin) nnARM_tb01_09_02.zip + +Committed revision 20. +Adding People.htm + +Committed revision 21. +Adding People.shtml + +Committed revision 22. +Adding PR.shtml + +Committed revision 23. +Adding (bin) put.JPG + +Committed revision 24. +Adding (bin) sARM01_06_08_1.zip + +Committed revision 25. +Adding (bin) sARM01_06_12_2.zip + +Committed revision 26. +Adding (bin) sARM01_06_15_2.zip + +Committed revision 27. +Adding (bin) sARM01_07_12_2.zip + +Committed revision 28. +Adding (bin) sARM01_07_19_4.zip + +Committed revision 29. +Adding (bin) sARM01_07_20_2.zip + +Committed revision 30. +Adding (bin) sARM01_07_30_4.zip + +Committed revision 31. +Adding (bin) sARM01_08_30_3.zip + +Committed revision 32. +Adding (bin) sARM01_09_02_1.zip + +Committed revision 33. +Adding (bin) sARM01_09_05_2.zip + +Committed revision 34. +Adding (bin) sARM01_11_1_3.zip.zip + +Committed revision 35. +Adding (bin) sARM_tb.zip + +Committed revision 36. +Adding (bin) tag3.bmp + +Committed revision 37. +Adding (bin) Testbench/setlinker.jpg +Adding Testbench/loadcon +Adding Testbench/loadcon/loadcon.shtml +Adding (bin) Testbench/loadcon/loadcon_SDT.jpg +Adding Testbench/loadcon/loadcon.txt +Adding (bin) Testbench/loadcon/loadcon.jpg +Adding (bin) Testbench/setlinker.JPG +Adding Testbench/ldrlabel +Adding Testbench/ldrlabel/ldrlabel.txt +Adding (bin) Testbench/ldrlabel/ldrlabel.jpg +Adding Testbench/ldrlabel/ldrlabel.shtml +Adding (bin) Testbench/ldrlabel/ldrlabel_SDT.jpg +Adding (bin) Testbench/add2proj.jpg +Adding (bin) Testbench/put.JPG +Adding (bin) Testbench/put.jpg +Adding Testbench/thumbsub +Adding Testbench/thumbsub/thumbsub.shtml +Adding (bin) Testbench/thumbsub/thumbsub_SDT.JPG +Adding Testbench/thumbsub/thumbsub.txt +Adding (bin) Testbench/thumbsub/thumbsub.JPG +Adding Testbench/block +Adding Testbench/block/block.shtml +Adding Testbench/block/block.txt +Adding (bin) Testbench/block/block.JPG +Adding Testbench/mul +Adding (bin) Testbench/mul/mul3.jpg +Adding Testbench/mul/mul.shtml +Adding Testbench/mul/mul.txt +Adding (bin) Testbench/mul/mul1.jpg +Adding (bin) Testbench/mul/mul2.jpg +Adding Testbench/add64 +Adding Testbench/add64/add64.txt +Adding (bin) Testbench/add64/add64.jpg +Adding Testbench/add64/add64.shtml +Adding (bin) Testbench/add64/add64_SDT.jpg +Adding Testbench/strcopy +Adding (bin) Testbench/strcopy/strcopy.jpg +Adding Testbench/strcopy/strcopy.shtml +Adding (bin) Testbench/strcopy/strcopy_SDT.jpg +Adding Testbench/Testbench.shtml +Adding Testbench/adrlabel +Adding (bin) Testbench/adrlabel/source.jpg +Adding (bin) Testbench/adrlabel/adrlable_SDT.jpg +Adding Testbench/adrlabel/adrlabel.txt +Adding (bin) Testbench/adrlabel/adrlable.jpg +Adding Testbench/adrlabel/adrlabel.shtml +Adding (bin) Testbench/thumbsub.JPG +Adding Testbench/mla +Adding Testbench/mla/mla.shtml +Adding (bin) Testbench/mla/mul3.jpg +Adding Testbench/mla/mla.txt +Adding (bin) Testbench/mla/mla1.jpg +Adding (bin) Testbench/mla/mla2.jpg +Adding (bin) Testbench/mla/mla3.jpg +Adding (bin) Testbench/mla/mul1.jpg +Adding (bin) Testbench/mla/mul2.jpg +Adding Testbench/mull +Adding Testbench/mull/mull.shtml +Adding (bin) Testbench/mull/mull_SDT.JPG +Adding Testbench/mull/mull.txt +Adding (bin) Testbench/mull/mull.JPG +Adding Testbench/jump +Adding Testbench/jump/jump.shtml +Adding (bin) Testbench/jump/jump_SDT.jpg +Adding Testbench/jump/adrlabel.txt +Adding Testbench/jump/jump.txt +Adding (bin) Testbench/jump/jump.jpg +Adding Testbench/armex +Adding Testbench/armex/armex.shtml +Adding (bin) Testbench/armex/armex_SDT.jpg +Adding Testbench/armex/armex_src.shtml +Adding Testbench/armex/Armex.txt +Adding (bin) Testbench/armex/armex.jpg +Adding (bin) Testbench/armex/armex2.jpg +Adding Testbench/ldm +Adding Testbench/ldm/ldm.shtml +Adding (bin) Testbench/ldm/ldm_SDT.JPG +Adding Testbench/ldm/ldm.txt +Adding (bin) Testbench/ldm/ldm.JPG +Adding Testbench/tblock +Adding Testbench/tblock/tblock.shtml +Adding (bin) Testbench/tblock/block.JPG +Adding Testbench/tblock/tblock.txt +Adding (bin) Testbench/tblock/tblock.JPG + +Committed revision 38. +Adding topFrame.htm + +Committed revision 39. +Adding (bin) wishlogo.jpg + +Committed revision 40. +/home/oc/cores +/home/oc/cores/nocem /home/oc/cores +/home/oc/cores +/home/oc/cores/noise_reduction /home/oc/cores +/home/oc/cores +/home/oc/cores/nonrestoringsquareroot /home/oc/cores +/home/oc/cores +/home/oc/cores/nova /home/oc/cores +/home/oc/cores +/home/oc/cores/npigrctrl /home/oc/cores +Adding (bin) demo.png + +Committed revision 2. +Adding (bin) mpmc4.rar + +Committed revision 3. +Adding npi_eng.vhd + +Committed revision 4. +/home/oc/cores +/home/oc/cores/oab1 /home/oc/cores +Adding index.htm + +Committed revision 1. +Adding (bin) title_logo.gif + +Committed revision 2. +Adding (bin) ver01.JPG + +Committed revision 3. +Adding (bin) ver02.jpg + +Committed revision 4. +/home/oc/cores +/home/oc/cores/oberon /home/oc/cores +/home/oc/cores +/home/oc/cores/ocmips /home/oc/cores +Adding (bin) fpga.gif + +Committed revision 1. +Adding (bin) opencores.gif + +Committed revision 2. +Adding (bin) sim.GIF + +Committed revision 3. +/home/oc/cores +/home/oc/cores/ocp_wb_wrapper /home/oc/cores +/home/oc/cores +/home/oc/cores/ocrp-1 /home/oc/cores +Adding (bin) block.gif + +Committed revision 1. +Adding index.shtml + +Committed revision 2. +Adding ocrp-1_bill_of_materials.txt + +Committed revision 3. +Adding (bin) ocrp-1_gerber.tar.gz + +Committed revision 4. +Adding (bin) ocrp1.jpg + +Committed revision 5. +Adding (bin) ocrp1ord.pdf + +Committed revision 6. +Adding (bin) ocrp-1_sch.pdf + +Committed revision 7. +Adding (bin) PCB1-72dpi.jpg + +Committed revision 8. +Adding (bin) PCB2-72dpi.jpg + +Committed revision 9. +Adding (bin) pic1.jpg + +Committed revision 10. +Adding (bin) pic2.jpg + +Committed revision 11. +Adding (bin) pic3.jpg + +Committed revision 12. +Adding (bin) pic4.jpg + +Committed revision 13. +Adding (bin) pic7.jpg + +Committed revision 14. +Adding xc95288xl_tq144.bsd + +Committed revision 15. +Adding xcv100_tq144.bsd + +Committed revision 16. +Adding xcv50_tq144.bsd + +Committed revision 17. +/home/oc/cores +/home/oc/cores/ofdm /home/oc/cores +/home/oc/cores +/home/oc/cores/ofdm-baseband-receiver /home/oc/cores +/home/oc/cores +/home/oc/cores/ofdm_modulator /home/oc/cores +/home/oc/cores +/home/oc/cores/oks8 /home/oc/cores +/home/oc/cores +/home/oc/cores/omega /home/oc/cores +/home/oc/cores +/home/oc/cores/opb_i2c /home/oc/cores +/home/oc/cores +/home/oc/cores/opb_isa /home/oc/cores +/home/oc/cores +/home/oc/cores/opb_onewire /home/oc/cores +/home/oc/cores +/home/oc/cores/opb_ps2_keyboard_controller /home/oc/cores +/home/oc/cores +/home/oc/cores/opb_psram_controller /home/oc/cores +/home/oc/cores +/home/oc/cores/opb_udp_transceiver /home/oc/cores +/home/oc/cores +/home/oc/cores/opb_vga_char_display_nodac /home/oc/cores +/home/oc/cores +/home/oc/cores/opb_wb_wrapper /home/oc/cores +/home/oc/cores +/home/oc/cores/open_1394_intellectual_property /home/oc/cores +/home/oc/cores +/home/oc/cores/open8_urisc /home/oc/cores +/home/oc/cores +/home/oc/cores/openarm /home/oc/cores +/home/oc/cores +/home/oc/cores/opencores /home/oc/cores +Adding (bin) 27dec03_IrishTimes.pdf + +Committed revision 2. +Adding (bin) bottom.jpg + +Committed revision 3. +Adding (bin) dr_logo_b.gif + +Committed revision 4. +Adding (bin) logos/oc_logo_black.gif +Adding (bin) logos/logos.zip +Adding (bin) logos/oc_bnr1.gif +Adding (bin) logos/oc_logo_outlined.gif +Adding (bin) logos/oc_bnr2.gif +Adding (bin) logos/oc_logo.cdr +Adding (bin) logos/oc_bnr3.gif +Adding (bin) logos/oc_btn1.gif +Adding (bin) logos/eliud3.gif +Adding (bin) logos/oc_logo.pdf +Adding (bin) logos/oc_btn2.gif +Adding (bin) logos/oc_btn3.gif +Adding (bin) logos/joerg1.gif +Adding (bin) logos/joerg2.gif +Adding (bin) logos/scott1.jpg +Adding (bin) logos/joerg3.gif +Adding (bin) logos/phuzzy1.gif +Adding (bin) logos/joerg4.gif +Adding (bin) logos/phuzzy2.gif +Adding (bin) logos/paolo1.gif +Adding (bin) logos/joerg5.gif +Adding (bin) logos/paolo2.gif +Adding (bin) logos/lwei1.jpg +Adding logos/johansson +Adding (bin) logos/johansson/open_c_l.gif +Adding (bin) logos/johansson/open_c.gif +Adding (bin) logos/johansson/open_c_r.gif +Adding logos/johansson/open_c.shtml +Adding (bin) logos/johansson/blank.gif +Adding (bin) logos/johansson/open_c_ani.gif +Adding (bin) logos/jng1.gif +Adding (bin) logos/jng2.gif +Adding (bin) logos/jng3.gif +Adding (bin) logos/jng4.gif +Adding (bin) logos/jng5.gif +Adding (bin) logos/jng6.gif +Adding (bin) logos/otso1.gif +Adding (bin) logos/bobklein1.gif +Adding (bin) logos/bobklein2.gif +Adding (bin) logos/scott2.gif +Adding (bin) logos/oc_logo1.wmf +Adding (bin) logos/menezes1.gif +Adding (bin) logos/oc_logo2.wmf +Adding (bin) logos/oc_logo3.wmf +Adding logos/index.shtml +Adding (bin) logos/mlampret_01.jpg +Adding (bin) logos/azadnik.jpg +Adding (bin) logos/vogel1.gif +Adding (bin) logos/mlampret_02.jpg +Adding (bin) logos/clbutler1.gif +Adding (bin) logos/bach_01.gif +Adding (bin) logos/battenberg1.gif +Adding (bin) logos/clbutler2.gif +Adding (bin) logos/eliud1.jpg +Adding (bin) logos/bach_02.gif +Adding (bin) logos/eliud2.jpg +Adding (bin) logos/clbutler3.gif +Adding (bin) logos/clbutler4.gif +Adding (bin) logos/title_logo.gif +Adding (bin) logos/garcia_01.png +Adding logos/oc_logo.ai +Adding (bin) logos/oc_logo_grey.gif + +Committed revision 5. +Adding (bin) mdl_logo.jpg + +Committed revision 6. +Adding (bin) ORSoC_logo.jpg + +Committed revision 7. +Adding press/press_release_9dec1999.shtml +Adding press/FG-RISCLIBRE383.doc.pdf +Adding press/drafts +Adding (bin) press/or1k_avtomatika.pdf +Adding press/pr_8jan2001.shtml +Adding (bin) press/pr_12aug2002.pdf +Adding press/pr_25feb2002.shtml + +Committed revision 8. +Adding (bin) regionalbreakdown.png + +Committed revision 9. +Adding (bin) siteranking.png + +Committed revision 10. +Adding (bin) sponsors/simwave.gif +Adding (bin) sponsors/Altera_img.jpg +Adding (bin) sponsors/synapticadlogo.gif +Adding (bin) sponsors/xesslogo.png +Adding (bin) sponsors/dr_logo_b.gif +Adding (bin) sponsors/veriloggerscreen1.gif +Adding (bin) sponsors/protellogo.gif +Adding (bin) sponsors/veriloggerscreen2.gif +Adding (bin) sponsors/b_board.gif +Adding (bin) sponsors/protel99screen1big.gif +Adding (bin) sponsors/nclaunch.gif +Adding (bin) sponsors/protel99screen2big.gif +Adding (bin) sponsors/FlexSemiLogo.gif +Adding (bin) sponsors/cadence_logo.gif +Adding (bin) sponsors/xsv300.gif +Adding (bin) sponsors/altera_logo.jpg +Adding (bin) sponsors/protel99screen1.gif +Adding (bin) sponsors/veriloggerscreen1big.gif +Adding (bin) sponsors/veriloggerscreen2big.gif +Adding (bin) sponsors/protel99screen2.gif + +Committed revision 11. +Adding (bin) thumb_dr_logo_b.gif + +Committed revision 12. +Adding (bin) Ultimodule_Logo_Blue.JPG + +Committed revision 13. +/home/oc/cores +/home/oc/cores/opencpu678085 /home/oc/cores +/home/oc/cores +/home/oc/cores/openfire /home/oc/cores +/home/oc/cores +/home/oc/cores/openfire2 /home/oc/cores +Adding (bin) freertos.zip + +Committed revision 2. +Adding targetselection.itb + +Committed revision 3. +/home/oc/cores +/home/oc/cores/openfire_core /home/oc/cores +/home/oc/cores +/home/oc/cores/openh263 /home/oc/cores +/home/oc/cores +/home/oc/cores/openriscdevboard /home/oc/cores +Adding (bin) altera_dev_brd.zip + +Committed revision 1. +Adding (bin) cyc2-openrisc.zip + +Committed revision 2. +Adding (bin) fpgaConfigEval_V1_2.zip + +Committed revision 3. +Adding (bin) usbPlusUart.zip + +Committed revision 4. +/home/oc/cores +/home/oc/cores/open_tcpip /home/oc/cores +/home/oc/cores +/home/oc/cores/opentech /home/oc/cores +Adding changes_1_4_0.txt + +Committed revision 1. +Adding changes_1_4_1.txt + +Committed revision 2. +Adding changes_1_5_0.txt + +Committed revision 3. +Adding changes_1_5_1.txt + +Committed revision 4. +Adding changes_1_6_0.txt + +Committed revision 5. +Adding changes_1_6_1.txt + +Committed revision 6. +Adding contents_1_4_0.txt + +Committed revision 7. +Adding contents_1_4_1.txt + +Committed revision 8. +Adding contents_1_5_0.txt + +Committed revision 9. +Adding contents_1_5_1.txt + +Committed revision 10. +Adding contents_1_6_0.txt + +Committed revision 11. +Adding contents_1_6_1.txt + +Committed revision 12. +Adding content.txt + +Committed revision 13. +Adding (bin) covers.zip + +Committed revision 14. +Adding (bin) icon.gif + +Committed revision 15. +Adding (bin) icon.jpg + +Committed revision 16. +Adding (bin) icon.png + +Committed revision 17. +Adding (bin) logo_full.jpg + +Committed revision 18. +Adding (bin) OpenTech_Info.xls + +Committed revision 19. +Adding (bin) OpenTechnologies_small.gif + +Committed revision 20. +Adding (bin) OT_Contents.zip + +Committed revision 21. +/home/oc/cores +/home/oc/cores/openverifla /home/oc/cores +Adding (bin) verifla_keyboard_protocol_verification_50procent.jpg + +Committed revision 2. +/home/oc/cores +/home/oc/cores/or1200gct /home/oc/cores +/home/oc/cores +/home/oc/cores/or1k-cf /home/oc/cores +/home/oc/cores +/home/oc/cores/or1k-new /home/oc/cores +/home/oc/cores +/home/oc/cores/ovcodec /home/oc/cores +Adding (bin) ogg_files.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/pap /home/oc/cores +/home/oc/cores +/home/oc/cores/pavr /home/oc/cores +Adding (bin) pavr032.chm.zip + +Committed revision 2. +Adding (bin) pavr032-devel.zip + +Committed revision 3. +Adding (bin) pavr032.html.zip + +Committed revision 4. +Adding (bin) pavr0351-devel.zip + +Committed revision 5. +Adding (bin) pavr0351-release-chm.zip + +Committed revision 6. +Adding (bin) pavr0351-release-html.zip + +Committed revision 7. +Adding todo.html + +Committed revision 8. +/home/oc/cores +/home/oc/cores/pci /home/oc/cores +Adding charact.shtml + +Committed revision 2. +Adding contacts.shtml + +Committed revision 3. +Adding current_stat.shtml + +Committed revision 4. +Adding documentation.shtml + +Committed revision 5. +Adding download.shtml + +Committed revision 6. +Adding index.shtml + +Committed revision 7. +Adding links.shtml + +Committed revision 8. +Adding (bin) PCI_HOST_architecture.jpg + +Committed revision 9. +Adding pci_parity.html + +Committed revision 10. +Adding pci_prototype.shtml + +Committed revision 11. +Adding PCIsim.shtml + +Committed revision 12. +Adding pci_snapshots.shtml + +Committed revision 13. +Adding (bin) PCI_VGA_conn.jpg + +Committed revision 14. +Adding (bin) PCI_VGA_cristal.jpg + +Committed revision 15. +Adding (bin) PCI_VGA_sch.gif + +Committed revision 16. +Adding (bin) PCI_VGA_sch.jpg + +Committed revision 17. +Adding (bin) PCI_VGA_test_brd.gif + +Committed revision 18. +Adding (bin) pcixwin.jpg + +Committed revision 19. +Adding (bin) Pic00022.jpg + +Committed revision 20. +Adding (bin) Pic00026.jpg + +Committed revision 21. +Adding (bin) Pic00027.jpg + +Committed revision 22. +Adding (bin) Pic00028.jpg + +Committed revision 23. +Adding (bin) Pic00037.jpg + +Committed revision 24. +Adding (bin) pics/Pic00026.jpg +Adding (bin) pics/Pic00027.jpg +Adding (bin) pics/Pic00028.jpg +Adding (bin) pics/Pic00037.jpg +Adding (bin) pics/Pic00022.jpg + +Committed revision 25. +Adding references.shtml + +Committed revision 26. +Adding test_app.shtml + +Committed revision 27. +Adding testbench.shtml + +Committed revision 28. +Adding test_board.shtml + +Committed revision 29. +Adding test_driver.shtml + +Committed revision 30. +Adding test_snapshots.shtml + +Committed revision 31. +Adding (bin) thumb_pcixwin.jpg + +Committed revision 32. +Adding (bin) thumb_Pic00022.jpg + +Committed revision 33. +Adding (bin) thumb_Pic00026.jpg + +Committed revision 34. +Adding (bin) thumb_Pic00027.jpg + +Committed revision 35. +Adding (bin) thumb_Pic00028.jpg + +Committed revision 36. +Adding (bin) thumb_Pic00037.jpg + +Committed revision 37. +Adding todo_list.shtml + +Committed revision 38. +/home/oc/cores +/home/oc/cores/pci32tlite_oc /home/oc/cores +/home/oc/cores +/home/oc/cores/pci-board /home/oc/cores +Adding (bin) PCI-Board.jpeg + +Committed revision 1. +Adding (bin) PCI-Board.jpg + +Committed revision 2. +Adding PCI-CARD-SCH-v1.0.pdf + +Committed revision 3. +Adding PCI-Card-v1.0.pdf + +Committed revision 4. +/home/oc/cores +/home/oc/cores/pci_controller /home/oc/cores +/home/oc/cores +/home/oc/cores/pcie_vera_tb /home/oc/cores +/home/oc/cores +/home/oc/cores/pci_express /home/oc/cores +/home/oc/cores +/home/oc/cores/pci_express_crc /home/oc/cores +/home/oc/cores +/home/oc/cores/pci_ide_controller /home/oc/cores +/home/oc/cores +/home/oc/cores/pci_mini /home/oc/cores +Adding (bin) PCI_Mini_IP_core_Datasheet2.0_oc.pdf + +Committed revision 1. +Adding (bin) PCI_mini.zip + +Committed revision 2. +/home/oc/cores +/home/oc/cores/pcix /home/oc/cores +/home/oc/cores +/home/oc/cores/pcmcia /home/oc/cores +/home/oc/cores +/home/oc/cores/performance_counter /home/oc/cores +Adding (bin) PeformanceCounterforMicroblazev0.1.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/perlilog /home/oc/cores +Adding index.shtml + +Committed revision 1. +Adding old-index.shtml + +Committed revision 2. +Adding (bin) Perlilog-0.2.tar.gz + +Committed revision 3. +Adding (bin) Perlilog-0.3.tar.gz + +Committed revision 4. +Adding perlilog-guide-0.2.pdf + +Committed revision 5. +Adding perlilog-guide-0.3.pdf + +Committed revision 6. +Adding perlilog-guide.pdf + +Committed revision 7. +Adding (bin) perlilog.tar.gz + +Committed revision 8. +Adding (bin) perlilog.zip + +Committed revision 9. +/home/oc/cores +/home/oc/cores/phoenix_controller /home/oc/cores +/home/oc/cores +/home/oc/cores/pic8259 /home/oc/cores +/home/oc/cores +/home/oc/cores/picoblaze_interrupt_controller /home/oc/cores +Adding (bin) Pblaze_IntController-061221.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/pif2wb /home/oc/cores +/home/oc/cores +/home/oc/cores/pipelined_aes /home/oc/cores +/home/oc/cores +/home/oc/cores/pipelined_dct /home/oc/cores +/home/oc/cores +/home/oc/cores/piranha /home/oc/cores +/home/oc/cores +/home/oc/cores/power_inverter /home/oc/cores +/home/oc/cores +/home/oc/cores/ppcnorthbridge /home/oc/cores +/home/oc/cores +/home/oc/cores/ppx16 /home/oc/cores +/home/oc/cores +/home/oc/cores/product_code_iterative_decoder /home/oc/cores +/home/oc/cores +/home/oc/cores/profibus_dp /home/oc/cores +Adding (bin) vhdl_source_files.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/programmabledct /home/oc/cores +/home/oc/cores +/home/oc/cores/project /home/oc/cores +Adding (bin) datapath.pdf + +Committed revision 1. +Adding (bin) Informations.doc + +Committed revision 2. +Adding (bin) memories_core_jenerator_implementations.rar + +Committed revision 3. +Adding (bin) Readme-Instructions.doc + +Committed revision 4. +Adding (bin) RegFile_SystemC_implementation.rar + +Committed revision 5. +Adding (bin) systemC_Implementation.rar + +Committed revision 6. +Adding (bin) Xilinx_project_from_files_from_SystemC_implementation.rar + +Committed revision 7. +/home/oc/cores +/home/oc/cores/ps2 /home/oc/cores +Adding documentation.shtml + +Committed revision 2. +Adding download.shtml + +Committed revision 3. +Adding index.shtml + +Committed revision 4. +Adding people.shtml + +Committed revision 5. +/home/oc/cores +/home/oc/cores/ps2core /home/oc/cores +/home/oc/cores +/home/oc/cores/ptc /home/oc/cores +Adding index.shtml + +Committed revision 2. +Adding ptc_spec.pdf + +Committed revision 3. +/home/oc/cores +/home/oc/cores/pyramid_unit /home/oc/cores +/home/oc/cores +/home/oc/cores/quadraturecount /home/oc/cores +/home/oc/cores +/home/oc/cores/r2000 /home/oc/cores +/home/oc/cores +/home/oc/cores/radixrsa /home/oc/cores +Adding core.shtml + +Committed revision 1. +Adding doc.shtml + +Committed revision 2. +Adding (bin) dotty.gif + +Committed revision 3. +Adding index.shtml + +Committed revision 4. +Adding (bin) montgo.jpg + +Committed revision 5. +Adding (bin) RSAAlgorithm.pdf + +Committed revision 6. +Adding (bin) title_logo.gif + +Committed revision 7. +/home/oc/cores +/home/oc/cores/raggedstone /home/oc/cores +/home/oc/cores +/home/oc/cores/rc5-72 /home/oc/cores +/home/oc/cores +/home/oc/cores/rc5_decoder /home/oc/cores +/home/oc/cores +/home/oc/cores/rfid /home/oc/cores +Adding 7Prog.pdf + +Committed revision 1. +Adding (bin) TheMultiTagTesterFinal.exe + +Committed revision 2. +/home/oc/cores +/home/oc/cores/rijndael /home/oc/cores +Adding (bin) dekrip_files/image018.gif +Adding (bin) dekrip_files/image001.wmz +Adding (bin) dekrip_files/image003.wmz +Adding (bin) dekrip_files/image011.emz +Adding (bin) dekrip_files/image013.emz +Adding (bin) dekrip_files/image005.emz +Adding (bin) dekrip_files/image015.emz +Adding (bin) dekrip_files/image007.emz +Adding (bin) dekrip_files/image017.emz +Adding (bin) dekrip_files/image009.emz +Adding (bin) dekrip_files/image019.emz +Adding (bin) dekrip_files/oledata.mso +Adding (bin) dekrip_files/image010.gif +Adding (bin) dekrip_files/image020.gif +Adding (bin) dekrip_files/image002.gif +Adding (bin) dekrip_files/image012.gif +Adding (bin) dekrip_files/image021.gif +Adding (bin) dekrip_files/image004.gif +Adding dekrip_files/filelist.xml +Adding (bin) dekrip_files/image014.gif +Adding (bin) dekrip_files/image006.gif +Adding (bin) dekrip_files/image008.gif + +Committed revision 1. +Adding dekrip.htm + +Committed revision 2. +Adding (bin) enkrip_files/image010.wmz +Adding (bin) enkrip_files/image009.gif +Adding (bin) enkrip_files/image019.gif +Adding (bin) enkrip_files/image012.wmz +Adding (bin) enkrip_files/image014.wmz +Adding (bin) enkrip_files/image006.wmz +Adding (bin) enkrip_files/image016.wmz +Adding (bin) enkrip_files/image008.wmz +Adding (bin) enkrip_files/image018.wmz +Adding (bin) enkrip_files/enkrip.zip +Adding (bin) enkrip_files/oledata.mso +Adding (bin) enkrip_files/image001.gif +Adding (bin) enkrip_files/image002.gif +Adding (bin) enkrip_files/image011.gif +Adding (bin) enkrip_files/image003.gif +Adding (bin) enkrip_files/image013.gif +Adding (bin) enkrip_files/image004.gif +Adding enkrip_files/filelist.xml +Adding (bin) enkrip_files/image005.gif +Adding (bin) enkrip_files/image015.gif +Adding (bin) enkrip_files/image007.gif +Adding (bin) enkrip_files/image017.gif + +Committed revision 3. +Adding enkrip.htm + +Committed revision 4. +Adding enkrip.pdf + +Committed revision 5. +/home/oc/cores +/home/oc/cores/risc16f84 /home/oc/cores +Adding risc16f84_lite.v + +Committed revision 2. +Adding risc16f84_small.v + +Committed revision 3. +Adding risc16f84.v + +Committed revision 4. +/home/oc/cores +/home/oc/cores/risc36 /home/oc/cores +/home/oc/cores +/home/oc/cores/risc5x /home/oc/cores +Adding (bin) hex_conv.zip + +Committed revision 2. +Adding (bin) risc5x_rel1.0.zip + +Committed revision 3. +Adding (bin) risc5x_rel1.1.zip + +Committed revision 4. +/home/oc/cores +/home/oc/cores/risc_core_i /home/oc/cores +Adding (bin) risc_core_I.zip + +Committed revision 1. +Adding (bin) RISCCore.pdf + +Committed revision 2. +Adding (bin) vhdl files.zip + +Committed revision 3. +Adding (bin) Zusammenfassung.pdf + +Committed revision 4. +/home/oc/cores +/home/oc/cores/riscmcu /home/oc/cores +/home/oc/cores +/home/oc/cores/risc_processor_with_os /home/oc/cores +/home/oc/cores +/home/oc/cores/rise /home/oc/cores +/home/oc/cores +/home/oc/cores/rng_lib /home/oc/cores +Adding (bin) rng_lib_v10.zip + +Committed revision 2. +/home/oc/cores +/home/oc/cores/robot_control_library /home/oc/cores +Adding (bin) documentation.zip + +Committed revision 1. +Adding (bin) OPB_PID_v1_00_a.zip + +Committed revision 2. +Adding (bin) OPB_PS2_Joypad_v1_00_a.zip + +Committed revision 3. +Adding (bin) Quadrature_Encoder_v1_00_a.zip + +Committed revision 4. +Adding (bin) Stepper_Control_v1_00_a.zip + +Committed revision 5. +/home/oc/cores +/home/oc/cores/rosetta /home/oc/cores +/home/oc/cores +/home/oc/cores/rs232_syscon /home/oc/cores +Adding (bin) b10_safe_12_18_01_dual_path.zip + +Committed revision 2. +Adding (bin) b11_risc16f84_05_03_02.zip + +Committed revision 3. +Adding (bin) b13_safe_09_17_02.zip + +Committed revision 4. +Adding documentation.shtml + +Committed revision 5. +Adding download.shtml + +Committed revision 6. +Adding (bin) Image4.gif + +Committed revision 7. +Adding index.shtml + +Committed revision 8. +Adding people.shtml + +Committed revision 9. +Adding (bin) rs232_syscon_1_00_source.zip + +Committed revision 10. +Adding (bin) rs232_syscon_1_01_xsoc.zip + +Committed revision 11. +Adding (bin) rs232_syscon1.doc + +Committed revision 12. +Adding (bin) rs232_syscon_autobaud.zip + +Committed revision 13. +Adding rs232_syscon.htm + +Committed revision 14. +Adding rs232_syscon.pdf + +Committed revision 15. +Adding (bin) rs232_syscon_soc1.zip + +Committed revision 16. +Adding (bin) rs232_syscon_soc2.zip + +Committed revision 17. +Adding (bin) rs232_syscon_soc3.zip + +Committed revision 18. +Adding srec_to_rs232.pl + +Committed revision 19. +/home/oc/cores +/home/oc/cores/rs_5_3_gf256 /home/oc/cores +Adding (bin) ReedSolomon(5,3)Codec.ppt + +Committed revision 2. +/home/oc/cores +/home/oc/cores/rsa /home/oc/cores +Adding index.shtml + +Committed revision 2. +Adding rsa + +Committed revision 3. +Adding RSA.htm + +Committed revision 4. +Adding RSA.shtml + +Committed revision 5. +/home/oc/cores +/home/oc/cores/rs_decoder_31_19_6 /home/oc/cores +/home/oc/cores +/home/oc/cores/rsencoder /home/oc/cores +Adding readme.txt + +Committed revision 1. +Adding reed_solomon.v + +Committed revision 2. +Adding rs_testbench.v + +Committed revision 3. +/home/oc/cores +/home/oc/cores/s1_core /home/oc/cores +/home/oc/cores +/home/oc/cores/sardmips /home/oc/cores +/home/oc/cores +/home/oc/cores/sasc /home/oc/cores +/home/oc/cores +/home/oc/cores/sata1a /home/oc/cores +/home/oc/cores +/home/oc/cores/sayeh_processor /home/oc/cores +/home/oc/cores +/home/oc/cores/sbd_sqrt_fp /home/oc/cores +/home/oc/cores +/home/oc/cores/sc2v /home/oc/cores +/home/oc/cores +/home/oc/cores/scarm /home/oc/cores +Adding (bin) arm1.JPG + +Committed revision 1. +Adding chinese/sysmec.htm +Adding chinese/systemc.htm + +Committed revision 2. +Adding english/scarm.htm + +Committed revision 3. +Adding (bin) images/Welco.gif + +Committed revision 4. +Adding index.shtml + +Committed revision 5. +Adding main.shtml + +Committed revision 6. +Adding (bin) src.zip + +Committed revision 7. + +Committed revision 8. +Adding (bin) test.zip + +Committed revision 9. +/home/oc/cores +/home/oc/cores/scsi_interface /home/oc/cores +/home/oc/cores +/home/oc/cores/sdram /home/oc/cores +Adding index.shtml + +Committed revision 2. +Adding index.shtml2 + +Committed revision 3. +Adding (bin) intefacing block diagram.gif + +Committed revision 4. +Adding (bin) interfacing_block_diagram.gif + +Committed revision 5. +Adding (bin) sdram_doc.pdf + +Committed revision 6. +Adding sdram.html + +Committed revision 7. +Adding (bin) sdram_ip_doc_preliminary.pdf + +Committed revision 8. +/home/oc/cores +/home/oc/cores/sdram_ctrl /home/oc/cores +/home/oc/cores +/home/oc/cores/sdr_sdram_ctrl /home/oc/cores +/home/oc/cores +/home/oc/cores/serial_div_uu /home/oc/cores +/home/oc/cores +/home/oc/cores/serpent_core /home/oc/cores +/home/oc/cores +/home/oc/cores/sfpga /home/oc/cores +Adding index.shtml + +Committed revision 1. +Adding (bin) ocrp-2_protel_sch.zip + +Committed revision 2. +Adding (bin) OCRP-2_sch_preliminary.pdf + +Committed revision 3. +Adding (bin) sfpga_block.gif + +Committed revision 4. +/home/oc/cores +/home/oc/cores/sha1 /home/oc/cores +Adding sha1_readme_v01.txt + +Committed revision 2. +Adding (bin) sha1_v01.zip + +Committed revision 3. +/home/oc/cores +/home/oc/cores/sha_core /home/oc/cores +/home/oc/cores +/home/oc/cores/simpcon /home/oc/cores +/home/oc/cores +/home/oc/cores/simplearm /home/oc/cores +/home/oc/cores +/home/oc/cores/simple-cpu /home/oc/cores +/home/oc/cores +/home/oc/cores/simple_fm_receiver /home/oc/cores +/home/oc/cores +/home/oc/cores/simple_gpio /home/oc/cores +/home/oc/cores +/home/oc/cores/simple_pic /home/oc/cores +/home/oc/cores +/home/oc/cores/simple_spi /home/oc/cores +/home/oc/cores +/home/oc/cores/simple_uart /home/oc/cores +Adding (bin) simpleUart.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/single_clock_divider /home/oc/cores +/home/oc/cores +/home/oc/cores/single_port /home/oc/cores +Adding (bin) single_port.tar.gz + +Committed revision 2. +Adding (bin) single_port.zip + +Committed revision 3. +/home/oc/cores +/home/oc/cores/slave_vme_bridge /home/oc/cores +/home/oc/cores +/home/oc/cores/smallarm /home/oc/cores +/home/oc/cores +/home/oc/cores/smbus_if /home/oc/cores +Adding (bin) smbus_if.doc + +Committed revision 1. +/home/oc/cores +/home/oc/cores/socbuilder /home/oc/cores +/home/oc/cores +/home/oc/cores/soft_core_risc_microprocessor_design_enabling_the_port_of_an_os /home/oc/cores +/home/oc/cores +/home/oc/cores/sonet /home/oc/cores +Adding (bin) blockdia.doc + +Committed revision 1. +Adding (bin) overview.doc + +Committed revision 2. +/home/oc/cores +/home/oc/cores/spacewire /home/oc/cores +Adding (bin) Router.JPG + +Committed revision 2. +Adding (bin) SpWinterfacewithCODEC.JPG + +Committed revision 3. +/home/oc/cores +/home/oc/cores/spacewire_if /home/oc/cores +/home/oc/cores +/home/oc/cores/spates /home/oc/cores +/home/oc/cores +/home/oc/cores/spdif_interface /home/oc/cores +/home/oc/cores +/home/oc/cores/spi /home/oc/cores +/home/oc/cores +/home/oc/cores/spi_boot /home/oc/cores +/home/oc/cores +/home/oc/cores/spicc /home/oc/cores +/home/oc/cores +/home/oc/cores/spiflashcontroller /home/oc/cores +/home/oc/cores +/home/oc/cores/spimaster /home/oc/cores +Adding (bin) spiMaster.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/spi_slave /home/oc/cores +/home/oc/cores +/home/oc/cores/spi-slave /home/oc/cores +/home/oc/cores +/home/oc/cores/srl_fifo /home/oc/cores +/home/oc/cores +/home/oc/cores/srtdivision /home/oc/cores +/home/oc/cores +/home/oc/cores/ss_pcm /home/oc/cores +/home/oc/cores +/home/oc/cores/ssram /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/steppermotordrive /home/oc/cores +/home/oc/cores +/home/oc/cores/sts1 /home/oc/cores +Adding spe.vhd + +Committed revision 1. +/home/oc/cores +/home/oc/cores/svmac /home/oc/cores +/home/oc/cores +/home/oc/cores/sxp /home/oc/cores +Adding (bin) sxp_block.gif + +Committed revision 2. +/home/oc/cores +/home/oc/cores/system05 /home/oc/cores +/home/oc/cores +/home/oc/cores/system09 /home/oc/cores +/home/oc/cores +/home/oc/cores/system11 /home/oc/cores +/home/oc/cores +/home/oc/cores/system68 /home/oc/cores +/home/oc/cores +/home/oc/cores/system6801 /home/oc/cores +Adding (bin) System6801.zip + +Committed revision 1. +Adding (bin) utilities.zip + +Committed revision 2. +/home/oc/cores +/home/oc/cores/systemcaes /home/oc/cores +/home/oc/cores +/home/oc/cores/systemc_cordic /home/oc/cores +/home/oc/cores +/home/oc/cores/systemcdes /home/oc/cores +/home/oc/cores +/home/oc/cores/systemcmd5 /home/oc/cores +/home/oc/cores +/home/oc/cores/systemc_rng /home/oc/cores +/home/oc/cores +/home/oc/cores/t400 /home/oc/cores +/home/oc/cores +/home/oc/cores/t48 /home/oc/cores +/home/oc/cores +/home/oc/cores/t51 /home/oc/cores +/home/oc/cores +/home/oc/cores/t65 /home/oc/cores +/home/oc/cores +/home/oc/cores/t80 /home/oc/cores +/home/oc/cores +/home/oc/cores/t8000 /home/oc/cores +/home/oc/cores +/home/oc/cores/tdm /home/oc/cores +Adding index.shtml + +Committed revision 2. +Adding (bin) tdm_core.jpg + +Committed revision 3. +Adding tdm_core.ps + +Committed revision 4. +Adding (bin) tdm_ISDN_top.jpg + +Committed revision 5. +Adding tdm_ISDN_top.ps + +Committed revision 6. +Adding tdm_project.html + +Committed revision 7. +Adding (bin) tdm_project.pdf + +Committed revision 8. +Adding tdm_project.ps + +Committed revision 9. +Adding (bin) tdm_top.jpg + +Committed revision 10. +Adding tdm_top.ps + +Committed revision 11. +Adding wishlogo.ps + +Committed revision 12. +/home/oc/cores +/home/oc/cores/tdm_switch /home/oc/cores +Adding map.dat + +Committed revision 1. +Adding (bin) ModelSim_Edition.exe + +Committed revision 2. +Adding stream_0.dat + +Committed revision 3. +Adding stream_1.dat + +Committed revision 4. +Adding stream_2.dat + +Committed revision 5. +Adding stream_3.dat + +Committed revision 6. +Adding stream_4.dat + +Committed revision 7. +Adding stream_5.dat + +Committed revision 8. +Adding stream_6.dat + +Committed revision 9. +Adding stream_7.dat + +Committed revision 10. +Adding tdm_switch_b.v + +Committed revision 11. +Adding (bin) TDM_Switch_DS.pdf + +Committed revision 12. +Adding tdm_switch_top_timesim.sdf + +Committed revision 13. +Adding tdm_switch_top_timesim.v + +Committed revision 14. +Adding tdm_switch_top.v + +Committed revision 15. +Adding testbench_top.v + +Committed revision 16. +/home/oc/cores +/home/oc/cores/template /home/oc/cores +/home/oc/cores +/home/oc/cores/test /home/oc/cores +Adding (bin) apple.gif + +Committed revision 2. +Adding (bin) FLEX_w_CMYK_R_LG.jpg + +Committed revision 3. +Adding include1.ssi + +Committed revision 4. +Adding include2.ssi + +Committed revision 5. +/home/oc/cores +/home/oc/cores/test1 /home/oc/cores +/home/oc/cores +/home/oc/cores/test2 /home/oc/cores +/home/oc/cores +/home/oc/cores/test3 /home/oc/cores +/home/oc/cores +/home/oc/cores/test_project /home/oc/cores +/home/oc/cores +/home/oc/cores/test-project /home/oc/cores +/home/oc/cores +/home/oc/cores/tg68 /home/oc/cores +/home/oc/cores +/home/oc/cores/tiny64 /home/oc/cores +/home/oc/cores +/home/oc/cores/tiny8 /home/oc/cores +/home/oc/cores +/home/oc/cores/tlc2 /home/oc/cores +/home/oc/cores +/home/oc/cores/toe /home/oc/cores +/home/oc/cores +/home/oc/cores/tone_generator /home/oc/cores +/home/oc/cores +/home/oc/cores/totalcpu /home/oc/cores +/home/oc/cores +/home/oc/cores/trinitor /home/oc/cores +/home/oc/cores +/home/oc/cores/truescalar /home/oc/cores +/home/oc/cores +/home/oc/cores/ts7300_opencore /home/oc/cores +Adding (bin) 7300stclwp.jpg + +Committed revision 2. +Adding (bin) ts7300_opencore.zip + +Committed revision 3. +/home/oc/cores +/home/oc/cores/turbocodes /home/oc/cores +Adding (bin) turbo.tar.gz + +Committed revision 1. +/home/oc/cores +/home/oc/cores/tv80 /home/oc/cores +Adding (bin) tv80_rel1.0.zip + +Committed revision 2. +/home/oc/cores +/home/oc/cores/twofish /home/oc/cores +/home/oc/cores +/home/oc/cores/twofish_team /home/oc/cores +Adding (bin) ciphertext.jpg + +Committed revision 1. +Adding (bin) cleartext.jpg + +Committed revision 2. +Adding (bin) key-mod.jpg + +Committed revision 3. +Adding (bin) modifiedF.jpg + +Committed revision 4. +Adding peracangan + +Committed revision 5. +Adding (bin) qper.jpg + +Committed revision 6. +Adding (bin) s-boxes.jpg + +Committed revision 7. +Adding (bin) twofish.jpg + +Committed revision 8. +Adding (bin) twofish.zip + +Committed revision 9. +/home/oc/cores +/home/oc/cores/ualpha /home/oc/cores +/home/oc/cores +/home/oc/cores/uart16550 /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/uart8bit /home/oc/cores +/home/oc/cores +/home/oc/cores/uart_fifo /home/oc/cores +/home/oc/cores +/home/oc/cores/uart_serial /home/oc/cores +/home/oc/cores +/home/oc/cores/ucore /home/oc/cores +Adding (bin) ucsys-0.0.1.rar + +Committed revision 1. +/home/oc/cores +/home/oc/cores/ultimate_crc /home/oc/cores +Adding (bin) ultimate_crc_1_0.zip + +Committed revision 2. +/home/oc/cores +/home/oc/cores/ultramegasquirt /home/oc/cores +/home/oc/cores +/home/oc/cores/ultravec /home/oc/cores +/home/oc/cores +/home/oc/cores/upcable /home/oc/cores +Adding (bin) odd_vhdl.zip + +Committed revision 1. +Adding OneDollarDongle.pdf + +Committed revision 2. +Adding (bin) ver1_xc9536xl_vq44_single_side.zip + +Committed revision 3. +/home/oc/cores +/home/oc/cores/usb11 /home/oc/cores +/home/oc/cores +/home/oc/cores/usb1_funct /home/oc/cores +/home/oc/cores +/home/oc/cores/usb_dongle_fpga /home/oc/cores +Adding (bin) block_diagram.png + +Committed revision 2. +Adding (bin) dongle_block.png + +Committed revision 3. +Adding (bin) mini_LR_DSC_0016.jpg + +Committed revision 4. +Adding (bin) small_LR_DSC_0016.jpg + +Committed revision 5. +Adding (bin) usb_dongle.jpg + +Committed revision 6. +/home/oc/cores +/home/oc/cores/usbhost /home/oc/cores +/home/oc/cores +/home/oc/cores/usbhostslave /home/oc/cores +Adding (bin) ALDEC_logo.jpg + +Committed revision 2. +Adding (bin) dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip + +Committed revision 3. +Adding (bin) NIOSsoftware.zip + +Committed revision 4. +Adding (bin) ohs900.zip + +Committed revision 5. +Adding (bin) usbhostslave.zip + +Committed revision 6. +/home/oc/cores +/home/oc/cores/usb_phy /home/oc/cores +/home/oc/cores +/home/oc/cores/usucc /home/oc/cores +/home/oc/cores +/home/oc/cores/utop_lvl_1 /home/oc/cores +/home/oc/cores +/home/oc/cores/verilator /home/oc/cores +/home/oc/cores +/home/oc/cores/vgafb /home/oc/cores +/home/oc/cores +/home/oc/cores/vga_lcd /home/oc/cores +Adding (bin) block_diagram.gif + +Committed revision 2. +Adding (bin) block_diagram.jpg + +Committed revision 3. +Adding index.shtml + +Committed revision 4. +Adding vga_core.pdf + +Committed revision 5. +/home/oc/cores +/home/oc/cores/vhcg /home/oc/cores +Adding (bin) morpheus1.1release.rar + +Committed revision 1. +Adding (bin) morpheus.tar.gz + +Committed revision 2. +Adding (bin) Specification.pdf + +Committed revision 3. +/home/oc/cores +/home/oc/cores/vhdl_cpu_emulator /home/oc/cores +Adding (bin) vhdl_cpu_emulator_Beta.7z + +Committed revision 2. +/home/oc/cores +/home/oc/cores/vhdlmd5 /home/oc/cores +/home/oc/cores +/home/oc/cores/vhld_tb /home/oc/cores +/home/oc/cores +/home/oc/cores/video_starter_kit /home/oc/cores +Adding (bin) main_designoverview0.0.2.pdf + +Committed revision 1. +/home/oc/cores +/home/oc/cores/vip_regs /home/oc/cores +/home/oc/cores +/home/oc/cores/viterbi_decoder /home/oc/cores +/home/oc/cores +/home/oc/cores/viterbi_decoder_k_7_r_1_2 /home/oc/cores +/home/oc/cores +/home/oc/cores/vmebus /home/oc/cores +/home/oc/cores +/home/oc/cores/vmm /home/oc/cores +/home/oc/cores +/home/oc/cores/warp /home/oc/cores +/home/oc/cores +/home/oc/cores/wb2hpi /home/oc/cores +Adding (bin) BlockTransfer1.jpg + +Committed revision 2. +Adding (bin) BlockTransfer2.jpg + +Committed revision 3. +Adding (bin) DspFill1.jpg + +Committed revision 4. +Adding (bin) DspMemory1.jpg + +Committed revision 5. +Adding (bin) DspMemory2.jpg + +Committed revision 6. +Adding (bin) DSPMove1.jpg + +Committed revision 7. +Adding (bin) Registers.jpg + +Committed revision 8. +Adding (bin) SistemMemoryFill1.jpg + +Committed revision 9. +Adding (bin) SistemMemoryMove1.jpg + +Committed revision 10. +Adding (bin) SystemMemory1.jpg + +Committed revision 11. +Adding (bin) TestBench051.jpg + +Committed revision 12. +Adding (bin) wb2hpi_hw2.jpg + +Committed revision 13. +/home/oc/cores +/home/oc/cores/wb2npi /home/oc/cores +/home/oc/cores +/home/oc/cores/wb_builder /home/oc/cores +Adding (bin) users_manual.pdf + +Committed revision 2. +/home/oc/cores +/home/oc/cores/wb_conbus /home/oc/cores +/home/oc/cores +/home/oc/cores/wb_conmax /home/oc/cores +Adding (bin) conmax.jpg + +Committed revision 2. +Adding index.shtml + +Committed revision 3. +/home/oc/cores +/home/oc/cores/wbc_parallel_master /home/oc/cores +Adding (bin) wbc_parallel_master-spec_doc-r01.pdf + +Committed revision 2. +/home/oc/cores +/home/oc/cores/wb_ddr /home/oc/cores +/home/oc/cores +/home/oc/cores/wb_dma /home/oc/cores +Adding index.shtml + +Committed revision 2. +/home/oc/cores +/home/oc/cores/wb_flash /home/oc/cores +/home/oc/cores +/home/oc/cores/wbif_68k /home/oc/cores +/home/oc/cores +/home/oc/cores/wb_lpc /home/oc/cores +/home/oc/cores +/home/oc/cores/wb_mcs51 /home/oc/cores +/home/oc/cores +/home/oc/cores/wb_rtc /home/oc/cores +Adding (bin) ports.jpg + +Committed revision 1. +Adding (bin) structure.jpg + +Committed revision 2. +Adding (bin) wb_rtc.zip + +Committed revision 3. +/home/oc/cores +/home/oc/cores/wb_tk /home/oc/cores +Adding index.shtml + +Committed revision 2. +Adding wb_arbiter.shtml + +Committed revision 3. +Adding wb_async_master.shtml + +Committed revision 4. +Adding wb_async_slave.shtml + +Committed revision 5. +Adding wb_bus_resizer.shtml + +Committed revision 6. +Adding wb_extensions.shtml + +Committed revision 7. +Adding wb_out_reg.shtml + +Committed revision 8. +Adding wb_ram.shtml + +Committed revision 9. +Adding wb_test.shtml + +Committed revision 10. +/home/oc/cores +/home/oc/cores/wb_vga /home/oc/cores +Adding accel.shtml + +Committed revision 2. +Adding index.shtml + +Committed revision 3. +Adding mouse.shtml + +Committed revision 4. +Adding palette.shtml + +Committed revision 5. +Adding vga_chip.shtml + +Committed revision 6. +Adding vga_core.shtml + +Committed revision 7. +Adding vga_core_v2.shtml + +Committed revision 8. +/home/oc/cores +/home/oc/cores/wb_z80 /home/oc/cores +/home/oc/cores +/home/oc/cores/wb_zbt /home/oc/cores +/home/oc/cores +/home/oc/cores/wisbone_2_ahb /home/oc/cores +/home/oc/cores +/home/oc/cores/wishbone /home/oc/cores +Adding appnote_01.pdf + +Committed revision 1. +Adding flex.pdf + +Committed revision 2. +Adding (bin) press_release_12_08_2002.pdf + +Committed revision 3. +Adding soc_bus_comparison.pdf + +Committed revision 4. +Adding wbspec_b1.pdf + +Committed revision 5. +Adding wbspec_b2.pdf + +Committed revision 6. +Adding wbspec_b3.pdf + +Committed revision 7. +/home/oc/cores +/home/oc/cores/wishbone2ahb /home/oc/cores +/home/oc/cores +/home/oc/cores/wishbone_bfm /home/oc/cores +/home/oc/cores +/home/oc/cores/wishbone_checker /home/oc/cores +/home/oc/cores +/home/oc/cores/wishbone_out_port /home/oc/cores +/home/oc/cores +/home/oc/cores/wishbone_to_ahb /home/oc/cores +/home/oc/cores +/home/oc/cores/wlanmac /home/oc/cores +/home/oc/cores +/home/oc/cores/wlan_modem /home/oc/cores +/home/oc/cores +/home/oc/cores/wpf /home/oc/cores +/home/oc/cores +/home/oc/cores/x25_protocol_interface_project /home/oc/cores +/home/oc/cores +/home/oc/cores/x86soc /home/oc/cores +/home/oc/cores +/home/oc/cores/xge_mac /home/oc/cores +/home/oc/cores +/home/oc/cores/xmatchpro /home/oc/cores +Adding (bin) open_xmw2.zip + +Committed revision 1. +/home/oc/cores +/home/oc/cores/xtea /home/oc/cores +/home/oc/cores +/home/oc/cores/yacc /home/oc/cores +/home/oc/cores +/home/oc/cores/yellowstar /home/oc/cores +Adding appendix.pdf + +Committed revision 1. +Adding processor.v + +Committed revision 2. +Adding report.pdf + +Committed revision 3. +Adding (bin) yellowstar_schematics.tar.gz + +Committed revision 4. +Adding (bin) yellowstar_symbols.tar.gz + +Committed revision 5. +Adding (bin) yellow_star.tar.gz + +Committed revision 6. +Adding (bin) ys_logo.jpg + +Committed revision 7. +/home/oc/cores +/home/oc/cores/yoda /home/oc/cores +/home/oc/cores +/home/oc/cores/z80soc /home/oc/cores +Adding (bin) mP5170003.JPG + +Committed revision 2. +Adding (bin) mP5180007.JPG + +Committed revision 3. +Adding (bin) thumb_mP5170003.JPG + +Committed revision 4. +Adding (bin) thumb_mP5180007.JPG + +Committed revision 5. +/home/oc/cores +/home/oc/cores/zpu /home/oc/cores +Adding (bin) compile.PNG + +Committed revision 2. +Adding (bin) simulator2.PNG + +Committed revision 3. +Adding (bin) simulator3.PNG + +Committed revision 4. +Adding (bin) simulator.PNG + +Committed revision 5. +Adding (bin) thumb_compile.PNG + +Committed revision 6. +Adding (bin) thumb_simulator2.PNG + +Committed revision 7. +Adding (bin) thumb_simulator3.PNG + +Committed revision 8. +Adding (bin) thumb_simulator.PNG + +Committed revision 9. +/home/oc/cores +All checkins done Index: oc_checkin.sh =================================================================== --- oc_checkin.sh (nonexistent) +++ oc_checkin.sh (revision 5) @@ -0,0 +1,225 @@ +#!/bin/bash +# AUTOMATICALLY GENERATED SCRIPT +# Scans the cores directory, excludes the projects and subdirectories +# listed below, and generates a script which checks in all of the +# remaining files to the SVN repository +# This should be run and the output piped to a new file something like: +# ./oc_cvs_checkin.sh > checkin_script.sh +# and then probably the execute permission enabled on checkin_script.sh +8b10b_encdec +acxbrd +adder +ae68 +aes_128_192_256 +aes_fekete256 +all_digital_fm_receiver +alternascope +aquarius +aspida +ata +auto_baud +a_vhd_16550_uart +a_vhdl_can_controller +avr_core +baudgen +binary_to_bcd +biquad +bluespec-h264 +bluetooth +board +camellia +can +cereon +cf_cordic +cf_fft +cf_fir +cf_fp_mul +cf_interleaver +cf_ldpc +cf_rca +cf_ssp +const_encoder +cordic +cpugen +cryptosorter +dct +ddr_sdr +decoder +des +dfp +diogenes +dram +dualspartainc6713cpci +dwt2d +e123mux +e1framerdeframer +embedded_risc +epp +erp +ethernet_tri_mode +eus100lx +eusfs +fac2222m +fast-crc +fbas_encoder +fcpu +ffr16 +fht +fifouart +filter +firewire +fir_filter_generator +floating_point_adder_subtractor +fpga +fpgaconfig +fpu +fpu100 +freetools +gamepads +gh_vhdl_library +gpio +graphicallcd +graphiti +gsc +gup +hamming_gen +hdlc +help +i2c +i2clog +i2c_slave +i2s +i2s_interface +ic6821 +idea +iiepci +interface_vga80x40 +irda +iso7816-3 +jpeg +jpegcompression +jtag +keypad_scanner +l8051 +lcd +lcd_controller +ldpc_decoder_802_3an +ldpc_encoder_802_3an +lem1_9min +lowpowerfir +lpu +lwrisc +man2uart +manchesterencoderdecoder +maxii-evalboard +mb-jpeg +mcpu +mdct +mem_ctrl +memory_cores +memory_sizer +mfpga +minimips +minirisc +mips789 +mipss +most +mpdma +ncore +neptune-core +nnARM +npigrctrl +oab1 +ocmips +ocrp-1 +opencores +openfire2 +openh263 +openriscdevboard +opentech +openverifla +or1k-new +ovcodec +pavr +pci +pci-board +pci_controller +pci_mini +performance_counter +perlilog +picoblaze_interrupt_controller +piranha +profibus_dp +project +ps2 +ptc +radixrsa +raggedstone +rfid +rijndael +risc16f84 +risc5x +risc_core_i +riscmcu +rng_lib +robot_control_library +rs232_syscon +rs_5_3_gf256 +rsa +rsencoder +scarm +sdram +serial_div_uu +sfpga +sha1 +simple_uart +single_port +smbus_if +sonet +spacewire +spimaster +spi-slave +ssram +sts1 +sxp +system09 +system11 +system68 +system6801 +tdm +tdm_switch +template +test +test1 +test2 +test-project +ts7300_opencore +turbocodes +tv80 +twofish_team +uart16550 +ucore +ultimate_crc +upcable +usb_dongle_fpga +usbhost +usbhostslave +usucc +vga_lcd +vhcg +vhdl_cpu_emulator +video_starter_kit +wb2hpi +wb_builder +wb_conmax +wbc_parallel_master +wb_dma +wb_rtc +wb_tk +wb_vga +wishbone +xmatchpro +yellowstar +yoda +z80soc +zpu Index: temp.sh =================================================================== --- temp.sh (nonexistent) +++ temp.sh (revision 5) @@ -0,0 +1,2994 @@ +#!/bin/bash +# AUTOMATICALLY GENERATED SCRIPT +# Scans the cores directory, excludes the projects and subdirectories +# listed below, and generates a script which checks in all of the +# remaining files to the SVN repository +# This should be run and the output piped to a new file something like: +# ./oc_cvs_checkin.sh > checkin_script.sh +# and then probably the execute permission enabled on checkin_script.sh +# Encapsulate the checkins inside this loop we can +# break out of in the event of a problem checking +# one of them in + +# Function to check the return value of each SVN checkin +function check_svn_return_value { if [ $? -gt 1 ]; then echo "Error during checkins - aborting script."; exit 1; fi +} +ALL_DONE="0" +while [ $ALL_DONE = 0 ]; do + pushd "100baset" + popd + pushd "1394ohci" + popd + pushd "2dcoprocessor" + popd + pushd "395_vgs" + popd + pushd "3des_vhdl" + popd + pushd "4bitprocesor" + popd + pushd "6502vhdl" + popd + pushd "68hc05" + popd + pushd "68hc08" + popd + pushd "8051_serial" + popd + pushd "8051_to_ahb_interface" + popd + pushd "8b10b_encdec" + svn import -m "Import from OC" "8b10b_encdec_v1d0.pdf" "http://192.168.100.145/ocsvn/8b10b_encdec/8b10b_encdec_v1d0.pdf" + check_svn_return_value + svn import -m "Import from OC" "8b10_dec.vhd" "http://192.168.100.145/ocsvn/8b10b_encdec/8b10_dec.vhd" + check_svn_return_value + svn import -m "Import from OC" "8b10_enc.vhd" "http://192.168.100.145/ocsvn/8b10b_encdec/8b10_enc.vhd" + check_svn_return_value + svn import -m "Import from OC" "enc_8b10b_TB.vhd" "http://192.168.100.145/ocsvn/8b10b_encdec/enc_8b10b_TB.vhd" + check_svn_return_value + svn import -m "Import from OC" "encdec_8b10b_TB.vhd" "http://192.168.100.145/ocsvn/8b10b_encdec/encdec_8b10b_TB.vhd" + check_svn_return_value + popd + pushd "8bituartvhdl" + popd + pushd "aacencode" + popd + pushd "acxbrd" + svn import -m "Import from OC" "jopcore.pdf" "http://192.168.100.145/ocsvn/acxbrd/jopcore.pdf" + check_svn_return_value + popd + pushd "adaptivefilter" + popd + pushd "adaptive_lms_equalizer" + popd + pushd "adaptiveprocessor" + popd + pushd "adat_optical_feed_forward_receiver" + svn import -m "Import from OC" "ADAT_receiver.vhd" "http://192.168.100.145/ocsvn/adat_optical_feed_forward_receiver/ADAT_receiver.vhd" + check_svn_return_value + svn import -m "Import from OC" "Adat_testbench.vhd" "http://192.168.100.145/ocsvn/adat_optical_feed_forward_receiver/Adat_testbench.vhd" + check_svn_return_value + svn import -m "Import from OC" "thumb_waves1.jpg" "http://192.168.100.145/ocsvn/adat_optical_feed_forward_receiver/thumb_waves1.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_waves2.jpg" "http://192.168.100.145/ocsvn/adat_optical_feed_forward_receiver/thumb_waves2.jpg" + check_svn_return_value + svn import -m "Import from OC" "waves1.jpg" "http://192.168.100.145/ocsvn/adat_optical_feed_forward_receiver/waves1.jpg" + check_svn_return_value + svn import -m "Import from OC" "waves2.jpg" "http://192.168.100.145/ocsvn/adat_optical_feed_forward_receiver/waves2.jpg" + check_svn_return_value + popd + pushd "adder" + svn import -m "Import from OC" "high-speed-adder-128bits-opencore.v" "http://192.168.100.145/ocsvn/adder/high-speed-adder-128bits-opencore.v" + check_svn_return_value + popd + pushd "ae18" + popd + pushd "aemb" + popd + pushd "aes" + popd + pushd "aes128" + popd + pushd "aes_128_192_256" + svn import -m "Import from OC" "aes_dec.vhdl" "http://192.168.100.145/ocsvn/aes_128_192_256/aes_dec.vhdl" + check_svn_return_value + svn import -m "Import from OC" "aes_enc.vhdl" "http://192.168.100.145/ocsvn/aes_128_192_256/aes_enc.vhdl" + check_svn_return_value + svn import -m "Import from OC" "aes_pkg.vhdl" "http://192.168.100.145/ocsvn/aes_128_192_256/aes_pkg.vhdl" + check_svn_return_value + svn import -m "Import from OC" "aes_top.pdf" "http://192.168.100.145/ocsvn/aes_128_192_256/aes_top.pdf" + check_svn_return_value + svn import -m "Import from OC" "key_expansion.vhdl" "http://192.168.100.145/ocsvn/aes_128_192_256/key_expansion.vhdl" + check_svn_return_value + popd + pushd "aes_core" + popd + pushd "aes_crypto_core" + popd + pushd "aes_fekete256" + svn import -m "Import from OC" "AES.ZIP" "http://192.168.100.145/ocsvn/aes_fekete256/AES.ZIP" + check_svn_return_value + popd + pushd "ahb2wishbone" + popd + pushd "ahbahb" + popd + pushd "ahb_arbiter" + popd + pushd "ahb_system_generator" + popd + pushd "all_digital_fm_receiver" + svn import -m "Import from OC" "architecture.png" "http://192.168.100.145/ocsvn/all_digital_fm_receiver/architecture.png" + check_svn_return_value + svn import -m "Import from OC" "fmsquare.jpg" "http://192.168.100.145/ocsvn/all_digital_fm_receiver/fmsquare.jpg" + check_svn_return_value + svn import -m "Import from OC" "fmtriangular.jpg" "http://192.168.100.145/ocsvn/all_digital_fm_receiver/fmtriangular.jpg" + check_svn_return_value + popd + pushd "alternascope" + svn import -m "Import from OC" "Alternascope_Sept15_2005.rar" "http://192.168.100.145/ocsvn/alternascope/Alternascope_Sept15_2005.rar" + check_svn_return_value + svn import -m "Import from OC" "BlockDiagram_small.GIF" "http://192.168.100.145/ocsvn/alternascope/BlockDiagram_small.GIF" + check_svn_return_value + svn import -m "Import from OC" "OpenCores.JPG" "http://192.168.100.145/ocsvn/alternascope/OpenCores.JPG" + check_svn_return_value + popd + pushd "alu_with_selectable_inputs_and_outputs" + popd + pushd "amba_compliant_fifo_core" + popd + pushd "ambasdram" + popd + pushd "aquarius" + svn import -m "Import from OC" "aquarius.files" "http://192.168.100.145/ocsvn/aquarius/aquarius.files" + check_svn_return_value + svn import -m "Import from OC" "aquarius.html" "http://192.168.100.145/ocsvn/aquarius/aquarius.html" + check_svn_return_value + svn import -m "Import from OC" "cpublock.gif" "http://192.168.100.145/ocsvn/aquarius/cpublock.gif" + check_svn_return_value + svn import -m "Import from OC" "fpgaboard.gif" "http://192.168.100.145/ocsvn/aquarius/fpgaboard.gif" + check_svn_return_value + svn import -m "Import from OC" "rtl.gif" "http://192.168.100.145/ocsvn/aquarius/rtl.gif" + check_svn_return_value + popd + pushd "aspida" + svn import -m "Import from OC" "aspida_dlx_core.tar.gz" "http://192.168.100.145/ocsvn/aspida/aspida_dlx_core.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "aspida.gif" "http://192.168.100.145/ocsvn/aspida/aspida.gif" + check_svn_return_value + svn import -m "Import from OC" "faq.tar.gz" "http://192.168.100.145/ocsvn/aspida/faq.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "thumb_aspida.gif" "http://192.168.100.145/ocsvn/aspida/thumb_aspida.gif" + check_svn_return_value + popd + pushd "asynchronous_clocks" + popd + pushd "ata" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/ata/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "preliminary_ata_core.pdf" "http://192.168.100.145/ocsvn/ata/preliminary_ata_core.pdf" + check_svn_return_value + popd + pushd "auto_baud" + svn import -m "Import from OC" "auto_baud.v" "http://192.168.100.145/ocsvn/auto_baud/auto_baud.v" + check_svn_return_value + svn import -m "Import from OC" "auto_baud_with_tracking.v" "http://192.168.100.145/ocsvn/auto_baud/auto_baud_with_tracking.v" + check_svn_return_value + svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://192.168.100.145/ocsvn/auto_baud/b13_safe_09_17_02.zip" + check_svn_return_value + popd + pushd "a_vhd_16550_uart" + svn import -m "Import from OC" "gh_uart_16550_072108.zip" "http://192.168.100.145/ocsvn/a_vhd_16550_uart/gh_uart_16550_072108.zip" + check_svn_return_value + svn import -m "Import from OC" "gh_uart_16550_101307.zip" "http://192.168.100.145/ocsvn/a_vhd_16550_uart/gh_uart_16550_101307.zip" + check_svn_return_value + svn import -m "Import from OC" "vhdl_16550_uart_2_2.pdf" "http://192.168.100.145/ocsvn/a_vhd_16550_uart/vhdl_16550_uart_2_2.pdf" + check_svn_return_value + popd + pushd "a_vhdl_8253_timer" + svn import -m "Import from OC" "gh_timer_8254_081608.zip" "http://192.168.100.145/ocsvn/a_vhdl_8253_timer/gh_timer_8254_081608.zip" + check_svn_return_value + svn import -m "Import from OC" "gh_timer_8254_1_1.pdf" "http://192.168.100.145/ocsvn/a_vhdl_8253_timer/gh_timer_8254_1_1.pdf" + check_svn_return_value + popd + pushd "a_vhdl_can_controller" + svn import -m "Import from OC" "can_parts.zip" "http://192.168.100.145/ocsvn/a_vhdl_can_controller/can_parts.zip" + check_svn_return_value + popd + pushd "avr_core" + svn import -m "Import from OC" "AVR_Core8F.tar.gz" "http://192.168.100.145/ocsvn/avr_core/AVR_Core8F.tar.gz" + check_svn_return_value + popd + pushd "avrtinyx61core" + svn import -m "Import from OC" "AVRtinyX61core_2008-09-21.zip" "http://192.168.100.145/ocsvn/avrtinyx61core/AVRtinyX61core_2008-09-21.zip" + check_svn_return_value + svn import -m "Import from OC" "AVRtinyX61core_2008-10-08.zip" "http://192.168.100.145/ocsvn/avrtinyx61core/AVRtinyX61core_2008-10-08.zip" + check_svn_return_value + popd + pushd "ax8" + popd + pushd "basicdes" + popd + pushd "basicrsa" + popd + pushd "baudgen" + svn import -m "Import from OC" "am_baud_rate_gen.vhd" "http://192.168.100.145/ocsvn/baudgen/am_baud_rate_gen.vhd" + check_svn_return_value + popd + pushd "baud_select_uart" + popd + pushd "bc6502" + popd + pushd "big_counter" + popd + pushd "binary_to_bcd" + svn import -m "Import from OC" "b17_test_environment.zip" "http://192.168.100.145/ocsvn/binary_to_bcd/b17_test_environment.zip" + check_svn_return_value + svn import -m "Import from OC" "bcd_to_binary.v" "http://192.168.100.145/ocsvn/binary_to_bcd/bcd_to_binary.v" + check_svn_return_value + svn import -m "Import from OC" "binary_to_bcd.v" "http://192.168.100.145/ocsvn/binary_to_bcd/binary_to_bcd.v" + check_svn_return_value + popd + pushd "bips" + popd + pushd "biquad" + svn import -m "Import from OC" "biquad.pdf" "http://192.168.100.145/ocsvn/biquad/biquad.pdf" + check_svn_return_value + svn import -m "Import from OC" "biquad.v" "http://192.168.100.145/ocsvn/biquad/biquad.v" + check_svn_return_value + svn import -m "Import from OC" "bqmain.v" "http://192.168.100.145/ocsvn/biquad/bqmain.v" + check_svn_return_value + svn import -m "Import from OC" "bquad_blk.gif" "http://192.168.100.145/ocsvn/biquad/bquad_blk.gif" + check_svn_return_value + svn import -m "Import from OC" "coefio.v" "http://192.168.100.145/ocsvn/biquad/coefio.v" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/biquad/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "multa.v" "http://192.168.100.145/ocsvn/biquad/multa.v" + check_svn_return_value + svn import -m "Import from OC" "multb.v" "http://192.168.100.145/ocsvn/biquad/multb.v" + check_svn_return_value + svn import -m "Import from OC" "vsource.html" "http://192.168.100.145/ocsvn/biquad/vsource.html" + check_svn_return_value + popd + pushd "bluespec-80211atransmitter" + popd + pushd "bluespec-bsp" + popd + pushd "bluespec-convolutional-codec" + popd + pushd "bluespec-fft" + popd + pushd "bluespec-galoisfield" + popd + pushd "bluespec-h264" + svn import -m "Import from OC" "h264.pdf" "http://192.168.100.145/ocsvn/bluespec-h264/h264.pdf" + check_svn_return_value + svn import -m "Import from OC" "memo497.pdf" "http://192.168.100.145/ocsvn/bluespec-h264/memo497.pdf" + check_svn_return_value + popd + pushd "bluespec_md6" + popd + pushd "bluespec-ofdm" + popd + pushd "bluespec-reedsolomon" + popd + pushd "bluetooth" + svn import -m "Import from OC" "BBspec.shtml" "http://192.168.100.145/ocsvn/bluetooth/BBspec.shtml" + check_svn_return_value + svn import -m "Import from OC" "Bluetooth_01b.zip" "http://192.168.100.145/ocsvn/bluetooth/Bluetooth_01b.zip" + check_svn_return_value + svn import -m "Import from OC" "Bluetooth_02b.zip" "http://192.168.100.145/ocsvn/bluetooth/Bluetooth_02b.zip" + check_svn_return_value + svn import -m "Import from OC" "Bluetooth.zip" "http://192.168.100.145/ocsvn/bluetooth/Bluetooth.zip" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/bluetooth/index.shtml" + check_svn_return_value + popd + pushd "bluetooth_ver" + popd + pushd "board" + svn import -m "Import from OC" "blockdiagram.jpg" "http://192.168.100.145/ocsvn/board/blockdiagram.jpg" + check_svn_return_value + svn import -m "Import from OC" "boardflow.jpg" "http://192.168.100.145/ocsvn/board/boardflow.jpg" + check_svn_return_value + svn import -m "Import from OC" "board.shtml" "http://192.168.100.145/ocsvn/board/board.shtml" + check_svn_return_value + svn import -m "Import from OC" "coreflow.jpg" "http://192.168.100.145/ocsvn/board/coreflow.jpg" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/board/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "led.jpg" "http://192.168.100.145/ocsvn/board/led.jpg" + check_svn_return_value + svn import -m "Import from OC" "matrics.gif" "http://192.168.100.145/ocsvn/board/matrics.gif" + check_svn_return_value + svn import -m "Import from OC" "power_led.gif" "http://192.168.100.145/ocsvn/board/power_led.gif" + check_svn_return_value + svn import -m "Import from OC" "XC95108-PC84.sym" "http://192.168.100.145/ocsvn/board/XC95108-PC84.sym" + check_svn_return_value + popd + pushd "boundaries" + popd + pushd "brisc" + popd + pushd "butterfly" + popd + pushd "c16" + popd + pushd "c8051" + popd + pushd "cable" + popd + pushd "cachemodel" + popd + pushd "cam" + popd + pushd "camellia" + svn import -m "Import from OC" "camellia_core_tb.vhd" "http://192.168.100.145/ocsvn/camellia/camellia_core_tb.vhd" + check_svn_return_value + svn import -m "Import from OC" "CAMELLIA_CORE.vhd" "http://192.168.100.145/ocsvn/camellia/CAMELLIA_CORE.vhd" + check_svn_return_value + svn import -m "Import from OC" "Camellia_doc.pdf" "http://192.168.100.145/ocsvn/camellia/Camellia_doc.pdf" + check_svn_return_value + popd + pushd "camellia-vhdl" + popd + pushd "can" + svn import -m "Import from OC" "CAN.gif" "http://192.168.100.145/ocsvn/can/CAN.gif" + check_svn_return_value + popd + pushd "cas" + popd + pushd "ccir656_vidcapif" + popd + pushd "cdma" + popd + pushd "cereon" + svn import -m "Import from OC" "AssemblerReference.pdf" "http://192.168.100.145/ocsvn/cereon/AssemblerReference.pdf" + check_svn_return_value + svn import -m "Import from OC" "CereonArchitectureReferenceManual_Version1.pdf" "http://192.168.100.145/ocsvn/cereon/CereonArchitectureReferenceManual_Version1.pdf" + check_svn_return_value + svn import -m "Import from OC" "LibrarianReference.pdf" "http://192.168.100.145/ocsvn/cereon/LibrarianReference.pdf" + check_svn_return_value + svn import -m "Import from OC" "LinkerReference.pdf" "http://192.168.100.145/ocsvn/cereon/LinkerReference.pdf" + check_svn_return_value + svn import -m "Import from OC" "NgoffSupplement.pdf" "http://192.168.100.145/ocsvn/cereon/NgoffSupplement.pdf" + check_svn_return_value + svn import -m "Import from OC" "ProcedureCallingStandards.pdf" "http://192.168.100.145/ocsvn/cereon/ProcedureCallingStandards.pdf" + check_svn_return_value + svn import -m "Import from OC" "ProcessorIdentificationScheme.pdf" "http://192.168.100.145/ocsvn/cereon/ProcessorIdentificationScheme.pdf" + check_svn_return_value + popd + pushd "cf_cordic" + svn import -m "Import from OC" "cf_cordic.tgz" "http://192.168.100.145/ocsvn/cf_cordic/cf_cordic.tgz" + check_svn_return_value + popd + pushd "cf_fft" + svn import -m "Import from OC" "cf_fft_test_large.tgz" "http://192.168.100.145/ocsvn/cf_fft/cf_fft_test_large.tgz" + check_svn_return_value + svn import -m "Import from OC" "cf_fft_test.tgz" "http://192.168.100.145/ocsvn/cf_fft/cf_fft_test.tgz" + check_svn_return_value + svn import -m "Import from OC" "cf_fft.tgz" "http://192.168.100.145/ocsvn/cf_fft/cf_fft.tgz" + check_svn_return_value + popd + pushd "cf_fir" + svn import -m "Import from OC" "cf_fir.tgz" "http://192.168.100.145/ocsvn/cf_fir/cf_fir.tgz" + check_svn_return_value + popd + pushd "cf_fp_mul" + svn import -m "Import from OC" "cf_fp_mul.tgz" "http://192.168.100.145/ocsvn/cf_fp_mul/cf_fp_mul.tgz" + check_svn_return_value + popd + pushd "cfft" + popd + pushd "cfinterface" + popd + pushd "cf_interleaver" + svn import -m "Import from OC" "cf_interleaver.tgz" "http://192.168.100.145/ocsvn/cf_interleaver/cf_interleaver.tgz" + check_svn_return_value + popd + pushd "cf_ldpc" + svn import -m "Import from OC" "cf_ldpc.tgz" "http://192.168.100.145/ocsvn/cf_ldpc/cf_ldpc.tgz" + check_svn_return_value + popd + pushd "cf_rca" + svn import -m "Import from OC" "cf_rca.tgz" "http://192.168.100.145/ocsvn/cf_rca/cf_rca.tgz" + check_svn_return_value + svn import -m "Import from OC" "rca_tile.png" "http://192.168.100.145/ocsvn/cf_rca/rca_tile.png" + check_svn_return_value + popd + pushd "cf_ssp" + svn import -m "Import from OC" "cf_ssp.tgz" "http://192.168.100.145/ocsvn/cf_ssp/cf_ssp.tgz" + check_svn_return_value + svn import -m "Import from OC" "ssp_cordic.c" "http://192.168.100.145/ocsvn/cf_ssp/ssp_cordic.c" + check_svn_return_value + svn import -m "Import from OC" "ssp_first_order.c" "http://192.168.100.145/ocsvn/cf_ssp/ssp_first_order.c" + check_svn_return_value + popd + pushd "cia" + popd + pushd "claw" + popd + pushd "clocklessalu" + popd + pushd "cmpct" + popd + pushd "c-nit_soc" + popd + pushd "color_converter" + popd + pushd "constellation_vga" + popd + pushd "const_encoder" + svn import -m "Import from OC" "Const_enc_oc.doc" "http://192.168.100.145/ocsvn/const_encoder/Const_enc_oc.doc" + check_svn_return_value + svn import -m "Import from OC" "const_enc.vhd" "http://192.168.100.145/ocsvn/const_encoder/const_enc.vhd" + check_svn_return_value + popd + pushd "cordic" + svn import -m "Import from OC" "cordic.pdf" "http://192.168.100.145/ocsvn/cordic/cordic.pdf" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/cordic/index.shtml" + check_svn_return_value + popd + pushd "core_arm" + popd + pushd "cowgirl" + popd + pushd "cpu6502_true_cycle" + popd + pushd "cpu65c02_true_cycle" + popd + pushd "cpu68k" + popd + pushd "cpu8080" + popd + pushd "cpugen" + svn import -m "Import from OC" "cpugen.jpg" "http://192.168.100.145/ocsvn/cpugen/cpugen.jpg" + check_svn_return_value + popd + pushd "cryptopan_core" + popd + pushd "cryptosorter" + svn import -m "Import from OC" "cryptosorter.pdf" "http://192.168.100.145/ocsvn/cryptosorter/cryptosorter.pdf" + check_svn_return_value + svn import -m "Import from OC" "MITCrypto-Sorter.ppt" "http://192.168.100.145/ocsvn/cryptosorter/MITCrypto-Sorter.ppt" + check_svn_return_value + popd + pushd "csa" + popd + pushd "dab_receivers" + popd + pushd "dallas_one-wire" + popd + pushd "dct" + svn import -m "Import from OC" "dct.shtml" "http://192.168.100.145/ocsvn/dct/dct.shtml" + check_svn_return_value + svn import -m "Import from OC" "dct.zip" "http://192.168.100.145/ocsvn/dct/dct.zip" + check_svn_return_value + svn import -m "Import from OC" "htmlbook.shtml" "http://192.168.100.145/ocsvn/dct/htmlbook.shtml" + check_svn_return_value + svn import -m "Import from OC" "modexp.shtml" "http://192.168.100.145/ocsvn/dct/modexp.shtml" + check_svn_return_value + popd + pushd "ddr_sdr" + svn import -m "Import from OC" "ddr_sdr_V1_0.zip" "http://192.168.100.145/ocsvn/ddr_sdr/ddr_sdr_V1_0.zip" + check_svn_return_value + svn import -m "Import from OC" "ddr_sdr_V1_1.zip" "http://192.168.100.145/ocsvn/ddr_sdr/ddr_sdr_V1_1.zip" + check_svn_return_value + svn import -m "Import from OC" "doc" "http://192.168.100.145/ocsvn/ddr_sdr/doc" + check_svn_return_value + svn import -m "Import from OC" "LICENSE.dat" "http://192.168.100.145/ocsvn/ddr_sdr/LICENSE.dat" + check_svn_return_value + svn import -m "Import from OC" "vhdl" "http://192.168.100.145/ocsvn/ddr_sdr/vhdl" + check_svn_return_value + popd + pushd "ddsgen" + popd + pushd "dds_ip_debuged" + popd + pushd "decoder" + svn import -m "Import from OC" "mp3_decoder.zip" "http://192.168.100.145/ocsvn/decoder/mp3_decoder.zip" + check_svn_return_value + popd + pushd "deflatecore" + popd + pushd "des" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/des/index.shtml" + check_svn_return_value + popd + pushd "design_dsp320tmsc10_with_vhdl" + popd + pushd "dfp" + svn import -m "Import from OC" "dfp.gif" "http://192.168.100.145/ocsvn/dfp/dfp.gif" + check_svn_return_value + svn import -m "Import from OC" "DFPV10.zip" "http://192.168.100.145/ocsvn/dfp/DFPV10.zip" + check_svn_return_value + svn import -m "Import from OC" "V3.zip" "http://192.168.100.145/ocsvn/dfp/V3.zip" + check_svn_return_value + popd + pushd "digifilter" + popd + pushd "diogenes" + svn import -m "Import from OC" "diogenes.tar.bz2" "http://192.168.100.145/ocsvn/diogenes/diogenes.tar.bz2" + check_svn_return_value + popd + pushd "dirac" + popd + pushd "djpeg" + popd + pushd "dmacontroller" + popd + pushd "dmt_tx" + popd + pushd "dram" + svn import -m "Import from OC" "dram.html" "http://192.168.100.145/ocsvn/dram/dram.html" + check_svn_return_value + svn import -m "Import from OC" "dram.shtml" "http://192.168.100.145/ocsvn/dram/dram.shtml" + check_svn_return_value + popd + pushd "dualspartainc6713cpci" + svn import -m "Import from OC" "6713_CPU.pdf" "http://192.168.100.145/ocsvn/dualspartainc6713cpci/6713_CPU.pdf" + check_svn_return_value + svn import -m "Import from OC" "BotLayer.jpg" "http://192.168.100.145/ocsvn/dualspartainc6713cpci/BotLayer.jpg" + check_svn_return_value + svn import -m "Import from OC" "DSP_Front.jpg" "http://192.168.100.145/ocsvn/dualspartainc6713cpci/DSP_Front.jpg" + check_svn_return_value + svn import -m "Import from OC" "DSP_near_done_tiny.jpg" "http://192.168.100.145/ocsvn/dualspartainc6713cpci/DSP_near_done_tiny.jpg" + check_svn_return_value + svn import -m "Import from OC" "Mid1Layer.jpg" "http://192.168.100.145/ocsvn/dualspartainc6713cpci/Mid1Layer.jpg" + check_svn_return_value + svn import -m "Import from OC" "Mid2Layer.jpg" "http://192.168.100.145/ocsvn/dualspartainc6713cpci/Mid2Layer.jpg" + check_svn_return_value + svn import -m "Import from OC" "SystemDiagram.jpg" "http://192.168.100.145/ocsvn/dualspartainc6713cpci/SystemDiagram.jpg" + check_svn_return_value + svn import -m "Import from OC" "TopLayer.jpg" "http://192.168.100.145/ocsvn/dualspartainc6713cpci/TopLayer.jpg" + check_svn_return_value + popd + pushd "dwt2d" + svn import -m "Import from OC" "DIPC1.zip" "http://192.168.100.145/ocsvn/dwt2d/DIPC1.zip" + check_svn_return_value + popd + pushd "e123mux" + svn import -m "Import from OC" "Block_Diagram.jpg" "http://192.168.100.145/ocsvn/e123mux/Block_Diagram.jpg" + check_svn_return_value + svn import -m "Import from OC" "E123MUX_Core.pdf" "http://192.168.100.145/ocsvn/e123mux/E123MUX_Core.pdf" + check_svn_return_value + popd + pushd "e1framer" + popd + pushd "e1framerdeframer" + svn import -m "Import from OC" "e1_framer.zip" "http://192.168.100.145/ocsvn/e1framerdeframer/e1_framer.zip" + check_svn_return_value + svn import -m "Import from OC" "fas_insert.vhd" "http://192.168.100.145/ocsvn/e1framerdeframer/fas_insert.vhd" + check_svn_return_value + popd + pushd "edatools" + popd + pushd "elevator" + popd + pushd "elphel_353" + popd + pushd "embedded_risc" + svn import -m "Import from OC" "Block_Diagram" "http://192.168.100.145/ocsvn/embedded_risc/Block_Diagram" + check_svn_return_value + popd + pushd "embed_z8" + popd + pushd "epp" + svn import -m "Import from OC" "epp.jpg" "http://192.168.100.145/ocsvn/epp/epp.jpg" + check_svn_return_value + popd + pushd "epp-interface-v" + popd + pushd "epp-to-wishbone" + popd + pushd "erp" + svn import -m "Import from OC" "ERPTechnicalReport4.pdf" "http://192.168.100.145/ocsvn/erp/ERPTechnicalReport4.pdf" + check_svn_return_value + svn import -m "Import from OC" "ERPTechnicalReport5.pdf" "http://192.168.100.145/ocsvn/erp/ERPTechnicalReport5.pdf" + check_svn_return_value + svn import -m "Import from OC" "ERPverilogcore.txt" "http://192.168.100.145/ocsvn/erp/ERPverilogcore.txt" + check_svn_return_value + popd + pushd "ethdev" + popd + pushd "ethernet_tri_mode" + svn import -m "Import from OC" "ethernet_tri_mode.rel-1-0.tar.gz" "http://192.168.100.145/ocsvn/ethernet_tri_mode/ethernet_tri_mode.rel-1-0.tar.gz" + check_svn_return_value + popd + pushd "ethmac10g" + popd + pushd "ethmacvhdl" + popd + pushd "ethswitch" + popd + pushd "eus100lx" + svn import -m "Import from OC" "180px-EUS_B_N.jpg" "http://192.168.100.145/ocsvn/eus100lx/180px-EUS_B_N.jpg" + check_svn_return_value + svn import -m "Import from OC" "180px-EUS_T_N.jpg" "http://192.168.100.145/ocsvn/eus100lx/180px-EUS_T_N.jpg" + check_svn_return_value + svn import -m "Import from OC" "EUS100LX_BD.gif" "http://192.168.100.145/ocsvn/eus100lx/EUS100LX_BD.gif" + check_svn_return_value + popd + pushd "eusfs" + svn import -m "Import from OC" "eusfs-bd.jpg" "http://192.168.100.145/ocsvn/eusfs/eusfs-bd.jpg" + check_svn_return_value + svn import -m "Import from OC" "EUSIIa_bottom_tn.jpg" "http://192.168.100.145/ocsvn/eusfs/EUSIIa_bottom_tn.jpg" + check_svn_return_value + svn import -m "Import from OC" "EUS_II_topa_tn.jpg" "http://192.168.100.145/ocsvn/eusfs/EUS_II_topa_tn.jpg" + check_svn_return_value + popd + pushd "eventcpu" + popd + pushd "evision" + popd + pushd "extension_pack" + popd + pushd "fab1" + popd + pushd "fac2222m" + svn import -m "Import from OC" "ADC-DAC-AMP.png" "http://192.168.100.145/ocsvn/fac2222m/ADC-DAC-AMP.png" + check_svn_return_value + svn import -m "Import from OC" "fac2222m.png" "http://192.168.100.145/ocsvn/fac2222m/fac2222m.png" + check_svn_return_value + popd + pushd "fast-crc" + svn import -m "Import from OC" "CRC-generator.tgz" "http://192.168.100.145/ocsvn/fast-crc/CRC-generator.tgz" + check_svn_return_value + svn import -m "Import from OC" "CRC_ie3_contest.pdf" "http://192.168.100.145/ocsvn/fast-crc/CRC_ie3_contest.pdf" + check_svn_return_value + svn import -m "Import from OC" "CRC.tgz" "http://192.168.100.145/ocsvn/fast-crc/CRC.tgz" + check_svn_return_value + svn import -m "Import from OC" "Readme" "http://192.168.100.145/ocsvn/fast-crc/Readme" + check_svn_return_value + popd + pushd "fbas_encoder" + svn import -m "Import from OC" "chroma_gen.png" "http://192.168.100.145/ocsvn/fbas_encoder/chroma_gen.png" + check_svn_return_value + svn import -m "Import from OC" "connect.png" "http://192.168.100.145/ocsvn/fbas_encoder/connect.png" + check_svn_return_value + svn import -m "Import from OC" "fbas_encoder-0.21.tar.gz" "http://192.168.100.145/ocsvn/fbas_encoder/fbas_encoder-0.21.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "fbas-encoder_0.31.tar.gz" "http://192.168.100.145/ocsvn/fbas_encoder/fbas-encoder_0.31.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "fbas-enc_scrs1.jpg" "http://192.168.100.145/ocsvn/fbas_encoder/fbas-enc_scrs1.jpg" + check_svn_return_value + svn import -m "Import from OC" "luma_gen.png" "http://192.168.100.145/ocsvn/fbas_encoder/luma_gen.png" + check_svn_return_value + svn import -m "Import from OC" "main.png" "http://192.168.100.145/ocsvn/fbas_encoder/main.png" + check_svn_return_value + popd + pushd "fcpu" + svn import -m "Import from OC" "*" "http://192.168.100.145/ocsvn/fcpu/*" + check_svn_return_value + popd + pushd "ffr16" + svn import -m "Import from OC" "FFR16.jpg" "http://192.168.100.145/ocsvn/ffr16/FFR16.jpg" + check_svn_return_value + popd + pushd "fft_32" + popd + pushd "fftprocessor" + popd + pushd "fht" + svn import -m "Import from OC" "fht_tb.v" "http://192.168.100.145/ocsvn/fht/fht_tb.v" + check_svn_return_value + svn import -m "Import from OC" "fht.v" "http://192.168.100.145/ocsvn/fht/fht.v" + check_svn_return_value + popd + pushd "fifouart" + svn import -m "Import from OC" "UART_datasheet.pdf" "http://192.168.100.145/ocsvn/fifouart/UART_datasheet.pdf" + check_svn_return_value + popd + pushd "filter" + svn import -m "Import from OC" "*" "http://192.168.100.145/ocsvn/filter/*" + check_svn_return_value + popd + pushd "firewire" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/firewire/index.shtml" + check_svn_return_value + popd + pushd "fir_filter_generator" + svn import -m "Import from OC" "design-of-high-speed.pdf" "http://192.168.100.145/ocsvn/fir_filter_generator/design-of-high-speed.pdf" + check_svn_return_value + svn import -m "Import from OC" "FirGen_V1.0.zip" "http://192.168.100.145/ocsvn/fir_filter_generator/FirGen_V1.0.zip" + check_svn_return_value + svn import -m "Import from OC" "FirGen_V1.1.zip" "http://192.168.100.145/ocsvn/fir_filter_generator/FirGen_V1.1.zip" + check_svn_return_value + popd + pushd "firgen" + svn import -m "Import from OC" "RedFIR_package.tar" "http://192.168.100.145/ocsvn/firgen/RedFIR_package.tar" + check_svn_return_value + popd + pushd "fir-gen" + popd + pushd "flha" + popd + pushd "floatingcore" + popd + pushd "floating_point_adder_subtractor" + svn import -m "Import from OC" "addsub.vhd" "http://192.168.100.145/ocsvn/floating_point_adder_subtractor/addsub.vhd" + check_svn_return_value + svn import -m "Import from OC" "normalize.vhd" "http://192.168.100.145/ocsvn/floating_point_adder_subtractor/normalize.vhd" + check_svn_return_value + svn import -m "Import from OC" "shift.vhd" "http://192.168.100.145/ocsvn/floating_point_adder_subtractor/shift.vhd" + check_svn_return_value + popd + pushd "floppyif" + popd + pushd "fmtransmitter" + popd + pushd "fpga" + svn import -m "Import from OC" "docs.jar" "http://192.168.100.145/ocsvn/fpga/docs.jar" + check_svn_return_value + svn import -m "Import from OC" "examples.jar" "http://192.168.100.145/ocsvn/fpga/examples.jar" + check_svn_return_value + svn import -m "Import from OC" "Fpga.pdf" "http://192.168.100.145/ocsvn/fpga/Fpga.pdf" + check_svn_return_value + svn import -m "Import from OC" "fpga_sw.pdf" "http://192.168.100.145/ocsvn/fpga/fpga_sw.pdf" + check_svn_return_value + svn import -m "Import from OC" "gpl.txt" "http://192.168.100.145/ocsvn/fpga/gpl.txt" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/fpga/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "KRPAN.jar" "http://192.168.100.145/ocsvn/fpga/KRPAN.jar" + check_svn_return_value + svn import -m "Import from OC" "KRPAN.zip" "http://192.168.100.145/ocsvn/fpga/KRPAN.zip" + check_svn_return_value + svn import -m "Import from OC" "opencores.cer" "http://192.168.100.145/ocsvn/fpga/opencores.cer" + check_svn_return_value + svn import -m "Import from OC" "pwm12_8s.v" "http://192.168.100.145/ocsvn/fpga/pwm12_8s.v" + check_svn_return_value + svn import -m "Import from OC" "sources.jar" "http://192.168.100.145/ocsvn/fpga/sources.jar" + check_svn_return_value + svn import -m "Import from OC" "sshot1.gif" "http://192.168.100.145/ocsvn/fpga/sshot1.gif" + check_svn_return_value + popd + pushd "fpgabsp" + popd + pushd "fpgaconfig" + svn import -m "Import from OC" "altera_config.png" "http://192.168.100.145/ocsvn/fpgaconfig/altera_config.png" + check_svn_return_value + svn import -m "Import from OC" "fpgaConfig_system_block_diag.gif" "http://192.168.100.145/ocsvn/fpgaconfig/fpgaConfig_system_block_diag.gif" + check_svn_return_value + svn import -m "Import from OC" "fpgaConfig.zip" "http://192.168.100.145/ocsvn/fpgaconfig/fpgaConfig.zip" + check_svn_return_value + popd + pushd "fpgaproto" + popd + pushd "fpipelines" + popd + pushd "fpu" + svn import -m "Import from OC" "DEADJOE" "http://192.168.100.145/ocsvn/fpu/DEADJOE" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/fpu/index.shtml" + check_svn_return_value + popd + pushd "fpu100" + svn import -m "Import from OC" "bug_report_260407.txt" "http://192.168.100.145/ocsvn/fpu100/bug_report_260407.txt" + check_svn_return_value + svn import -m "Import from OC" "fpu_doc.pdf" "http://192.168.100.145/ocsvn/fpu100/fpu_doc.pdf" + check_svn_return_value + svn import -m "Import from OC" "fpu_v18.zip" "http://192.168.100.145/ocsvn/fpu100/fpu_v18.zip" + check_svn_return_value + svn import -m "Import from OC" "fpu_v19.zip" "http://192.168.100.145/ocsvn/fpu100/fpu_v19.zip" + check_svn_return_value + popd + pushd "fpu32bit" + popd + pushd "fpuvhdl" + popd + pushd "freetools" + svn import -m "Import from OC" "*" "http://192.168.100.145/ocsvn/freetools/*" + check_svn_return_value + popd + pushd "froop" + popd + pushd "fsl2serial" + popd + pushd "gamepads" + svn import -m "Import from OC" "gcpad.png" "http://192.168.100.145/ocsvn/gamepads/gcpad.png" + check_svn_return_value + svn import -m "Import from OC" "snespad.png" "http://192.168.100.145/ocsvn/gamepads/snespad.png" + check_svn_return_value + svn import -m "Import from OC" "snespad_wire.jpg" "http://192.168.100.145/ocsvn/gamepads/snespad_wire.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_gcpad.png" "http://192.168.100.145/ocsvn/gamepads/thumb_gcpad.png" + check_svn_return_value + svn import -m "Import from OC" "thumb_snespad.png" "http://192.168.100.145/ocsvn/gamepads/thumb_snespad.png" + check_svn_return_value + svn import -m "Import from OC" "thumb_snespad_wire.jpg" "http://192.168.100.145/ocsvn/gamepads/thumb_snespad_wire.jpg" + check_svn_return_value + popd + pushd "gcpu" + popd + pushd "gecko3" + svn import -m "Import from OC" "blockdiagramm.png" "http://192.168.100.145/ocsvn/gecko3/blockdiagramm.png" + check_svn_return_value + svn import -m "Import from OC" "GECKO3main_back_parts.jpg" "http://192.168.100.145/ocsvn/gecko3/GECKO3main_back_parts.jpg" + check_svn_return_value + svn import -m "Import from OC" "GECKO3main_front_parts.jpg" "http://192.168.100.145/ocsvn/gecko3/GECKO3main_front_parts.jpg" + check_svn_return_value + svn import -m "Import from OC" "GECKO3main-Schematic.pdf" "http://192.168.100.145/ocsvn/gecko3/GECKO3main-Schematic.pdf" + check_svn_return_value + svn import -m "Import from OC" "thumb_blockdiagramm.png" "http://192.168.100.145/ocsvn/gecko3/thumb_blockdiagramm.png" + check_svn_return_value + svn import -m "Import from OC" "thumb_GECKO3main_back_parts.jpg" "http://192.168.100.145/ocsvn/gecko3/thumb_GECKO3main_back_parts.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_GECKO3main_front_parts.jpg" "http://192.168.100.145/ocsvn/gecko3/thumb_GECKO3main_front_parts.jpg" + check_svn_return_value + popd + pushd "generic_fifos" + popd + pushd "generic_fifovhd" + popd + pushd "gh_vhdl_library" + svn import -m "Import from OC" "gh_vhdl_lib_3_42.pdf" "http://192.168.100.145/ocsvn/gh_vhdl_library/gh_vhdl_lib_3_42.pdf" + check_svn_return_value + svn import -m "Import from OC" "gh_vhdl_lib_3_43.pdf" "http://192.168.100.145/ocsvn/gh_vhdl_library/gh_vhdl_lib_3_43.pdf" + check_svn_return_value + svn import -m "Import from OC" "gh_vhdl_lib_v3_42a.zip" "http://192.168.100.145/ocsvn/gh_vhdl_library/gh_vhdl_lib_v3_42a.zip" + check_svn_return_value + svn import -m "Import from OC" "gh_vhdl_lib_v3_43.zip" "http://192.168.100.145/ocsvn/gh_vhdl_library/gh_vhdl_lib_v3_43.zip" + check_svn_return_value + popd + pushd "gig_ethernet_mac_core" + popd + pushd "gix96" + popd + pushd "gpio" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/gpio/index.shtml" + check_svn_return_value + popd + pushd "graphicallcd" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/graphicallcd/index.shtml" + check_svn_return_value + popd + pushd "graphiti" + svn import -m "Import from OC" "blockschaltbild.png" "http://192.168.100.145/ocsvn/graphiti/blockschaltbild.png" + check_svn_return_value + svn import -m "Import from OC" "flowers.jpg" "http://192.168.100.145/ocsvn/graphiti/flowers.jpg" + check_svn_return_value + svn import -m "Import from OC" "graphitib.jpg" "http://192.168.100.145/ocsvn/graphiti/graphitib.jpg" + check_svn_return_value + svn import -m "Import from OC" "graphiti.jpg" "http://192.168.100.145/ocsvn/graphiti/graphiti.jpg" + check_svn_return_value + svn import -m "Import from OC" "testbild.jpg" "http://192.168.100.145/ocsvn/graphiti/testbild.jpg" + check_svn_return_value + svn import -m "Import from OC" "tflowers.jpg" "http://192.168.100.145/ocsvn/graphiti/tflowers.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_flowers.jpg" "http://192.168.100.145/ocsvn/graphiti/thumb_flowers.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_graphitib.jpg" "http://192.168.100.145/ocsvn/graphiti/thumb_graphitib.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_graphiti.jpg" "http://192.168.100.145/ocsvn/graphiti/thumb_graphiti.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_testbild.jpg" "http://192.168.100.145/ocsvn/graphiti/thumb_testbild.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_tflowers.jpg" "http://192.168.100.145/ocsvn/graphiti/thumb_tflowers.jpg" + check_svn_return_value + popd + pushd "gsc" + svn import -m "Import from OC" "btyacc.tar.gz" "http://192.168.100.145/ocsvn/gsc/btyacc.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "graphviz-2.8.tar.gz" "http://192.168.100.145/ocsvn/gsc/graphviz-2.8.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "gsc-0.1.1.tar.gz" "http://192.168.100.145/ocsvn/gsc/gsc-0.1.1.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "gsc.pdf" "http://192.168.100.145/ocsvn/gsc/gsc.pdf" + check_svn_return_value + svn import -m "Import from OC" "keystone.tar.gz" "http://192.168.100.145/ocsvn/gsc/keystone.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "treecc-0.3.8.tar.gz" "http://192.168.100.145/ocsvn/gsc/treecc-0.3.8.tar.gz" + check_svn_return_value + popd + pushd "gup" + svn import -m "Import from OC" "gator_ucomputer_v1.0.zip" "http://192.168.100.145/ocsvn/gup/gator_ucomputer_v1.0.zip" + check_svn_return_value + svn import -m "Import from OC" "gup_logo_thumb.jpg" "http://192.168.100.145/ocsvn/gup/gup_logo_thumb.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_gup_logo_thumb.jpg" "http://192.168.100.145/ocsvn/gup/thumb_gup_logo_thumb.jpg" + check_svn_return_value + popd + pushd "gzip" + popd + pushd "hamming" + popd + pushd "hamming_gen" + svn import -m "Import from OC" "hamming.zip" "http://192.168.100.145/ocsvn/hamming_gen/hamming.zip" + check_svn_return_value + popd + pushd "hangyu" + popd + pushd "hasm" + popd + pushd "hdb3" + popd + pushd "hdbn" + popd + pushd "hdlc" + svn import -m "Import from OC" "HDLC_cont.jpg" "http://192.168.100.145/ocsvn/hdlc/HDLC_cont.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDLC_cont.ps" "http://192.168.100.145/ocsvn/hdlc/HDLC_cont.ps" + check_svn_return_value + svn import -m "Import from OC" "hdlc_fifo.jpg" "http://192.168.100.145/ocsvn/hdlc/hdlc_fifo.jpg" + check_svn_return_value + svn import -m "Import from OC" "hdlc_fifo.ps" "http://192.168.100.145/ocsvn/hdlc/hdlc_fifo.ps" + check_svn_return_value + svn import -m "Import from OC" "hdlc_project.html" "http://192.168.100.145/ocsvn/hdlc/hdlc_project.html" + check_svn_return_value + svn import -m "Import from OC" "hdlc_project.pdf" "http://192.168.100.145/ocsvn/hdlc/hdlc_project.pdf" + check_svn_return_value + svn import -m "Import from OC" "hdlc_project.ps" "http://192.168.100.145/ocsvn/hdlc/hdlc_project.ps" + check_svn_return_value + svn import -m "Import from OC" "HDLC_top.jpg" "http://192.168.100.145/ocsvn/hdlc/HDLC_top.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDLC_top.ps" "http://192.168.100.145/ocsvn/hdlc/HDLC_top.ps" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/hdlc/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "wishlogo.ps" "http://192.168.100.145/ocsvn/hdlc/wishlogo.ps" + check_svn_return_value + popd + pushd "help" + svn import -m "Import from OC" "exp1pf.gif" "http://192.168.100.145/ocsvn/help/exp1pf.gif" + check_svn_return_value + svn import -m "Import from OC" "search.shtml" "http://192.168.100.145/ocsvn/help/search.shtml" + check_svn_return_value + popd + pushd "hicovec" + svn import -m "Import from OC" "hicovec.png" "http://192.168.100.145/ocsvn/hicovec/hicovec.png" + check_svn_return_value + svn import -m "Import from OC" "scalarunit.png" "http://192.168.100.145/ocsvn/hicovec/scalarunit.png" + check_svn_return_value + svn import -m "Import from OC" "thumb_hicovec.png" "http://192.168.100.145/ocsvn/hicovec/thumb_hicovec.png" + check_svn_return_value + svn import -m "Import from OC" "thumb_scalarunit.png" "http://192.168.100.145/ocsvn/hicovec/thumb_scalarunit.png" + check_svn_return_value + svn import -m "Import from OC" "thumb_vectorunit.png" "http://192.168.100.145/ocsvn/hicovec/thumb_vectorunit.png" + check_svn_return_value + svn import -m "Import from OC" "vectorunit.png" "http://192.168.100.145/ocsvn/hicovec/vectorunit.png" + check_svn_return_value + popd + pushd "hierarch_unit" + popd + pushd "hmta" + popd + pushd "houmway" + popd + pushd "hpc-16" + popd + pushd "hpcmemory" + popd + pushd "hpdmc" + popd + pushd "hssdrc" + popd + pushd "ht_tunnel" + popd + pushd "hwlu" + popd + pushd "i2c" + svn import -m "Import from OC" "Block.gif" "http://192.168.100.145/ocsvn/i2c/Block.gif" + check_svn_return_value + svn import -m "Import from OC" "i2c_rev03.pdf" "http://192.168.100.145/ocsvn/i2c/i2c_rev03.pdf" + check_svn_return_value + svn import -m "Import from OC" "index_orig.shtml" "http://192.168.100.145/ocsvn/i2c/index_orig.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/i2c/index.shtml" + check_svn_return_value + popd + pushd "i2clog" + svn import -m "Import from OC" "Documentation" "http://192.168.100.145/ocsvn/i2clog/Documentation" + check_svn_return_value + svn import -m "Import from OC" "front" "http://192.168.100.145/ocsvn/i2clog/front" + check_svn_return_value + svn import -m "Import from OC" "I2C_TrafficLogger.v" "http://192.168.100.145/ocsvn/i2clog/I2C_TrafficLogger.v" + check_svn_return_value + popd + pushd "i2c_master_slave_core" + popd + pushd "i2c_slave" + svn import -m "Import from OC" "iic_slave_3.v" "http://192.168.100.145/ocsvn/i2c_slave/iic_slave_3.v" + check_svn_return_value + popd + pushd "i2c_vhdl" + popd + pushd "i2s" + svn import -m "Import from OC" "dff.vhd" "http://192.168.100.145/ocsvn/i2s/dff.vhd" + check_svn_return_value + svn import -m "Import from OC" "ebu_2_i2s.vhd" "http://192.168.100.145/ocsvn/i2s/ebu_2_i2s.vhd" + check_svn_return_value + popd + pushd "i2s_interface" + svn import -m "Import from OC" "i2s_interface.zip" "http://192.168.100.145/ocsvn/i2s_interface/i2s_interface.zip" + check_svn_return_value + popd + pushd "i2sparalell" + popd + pushd "ic6821" + svn import -m "Import from OC" "VHDL6821.vhd" "http://192.168.100.145/ocsvn/ic6821/VHDL6821.vhd" + check_svn_return_value + popd + pushd "icu" + popd + pushd "ide" + popd + pushd "idea" + svn import -m "Import from OC" "block_opmode.tar.gz" "http://192.168.100.145/ocsvn/idea/block_opmode.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "control.tar.gz" "http://192.168.100.145/ocsvn/idea/control.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "IDEA core block.GIF" "http://192.168.100.145/ocsvn/idea/IDEA core block.GIF" + check_svn_return_value + svn import -m "Import from OC" "idea_machine.tar.gz" "http://192.168.100.145/ocsvn/idea/idea_machine.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "IDEA mechine block.GIF" "http://192.168.100.145/ocsvn/idea/IDEA mechine block.GIF" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/idea/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "keys_generate.tar.gz" "http://192.168.100.145/ocsvn/idea/keys_generate.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "Paper_IES2001_sby.PDF" "http://192.168.100.145/ocsvn/idea/Paper_IES2001_sby.PDF" + check_svn_return_value + svn import -m "Import from OC" "port_inout.tar.gz" "http://192.168.100.145/ocsvn/idea/port_inout.tar.gz" + check_svn_return_value + popd + pushd "iiepci" + svn import -m "Import from OC" "iie_pci_back.jpg" "http://192.168.100.145/ocsvn/iiepci/iie_pci_back.jpg" + check_svn_return_value + svn import -m "Import from OC" "iie_pci_diagram.jpg" "http://192.168.100.145/ocsvn/iiepci/iie_pci_diagram.jpg" + check_svn_return_value + svn import -m "Import from OC" "iie_pci_front.jpg" "http://192.168.100.145/ocsvn/iiepci/iie_pci_front.jpg" + check_svn_return_value + popd + pushd "ima-adpcm" + popd + pushd "interface_vga80x40" + svn import -m "Import from OC" "FPGA_VGA_Electrical_Interface.png" "http://192.168.100.145/ocsvn/interface_vga80x40/FPGA_VGA_Electrical_Interface.png" + check_svn_return_value + svn import -m "Import from OC" "if_vga80x40.zip" "http://192.168.100.145/ocsvn/interface_vga80x40/if_vga80x40.zip" + check_svn_return_value + svn import -m "Import from OC" "VGA80x40_documentation.pdf" "http://192.168.100.145/ocsvn/interface_vga80x40/VGA80x40_documentation.pdf" + check_svn_return_value + popd + pushd "ipchip" + popd + pushd "irda" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/irda/index.shtml" + check_svn_return_value + popd + pushd "iso7816-3" + svn import -m "Import from OC" "iso7816-3.tgz" "http://192.168.100.145/ocsvn/iso7816-3/iso7816-3.tgz" + check_svn_return_value + popd + pushd "isp" + popd + pushd "jop" + popd + pushd "jpeg" + svn import -m "Import from OC" "DiagramaCompJPGen.png" "http://192.168.100.145/ocsvn/jpeg/DiagramaCompJPGen.png" + check_svn_return_value + svn import -m "Import from OC" "floresconsubsamp211.jpg" "http://192.168.100.145/ocsvn/jpeg/floresconsubsamp211.jpg" + check_svn_return_value + svn import -m "Import from OC" "floressinsubsamp.jpg" "http://192.168.100.145/ocsvn/jpeg/floressinsubsamp.jpg" + check_svn_return_value + svn import -m "Import from OC" "imagenfrutasQ05PSP.JPG" "http://192.168.100.145/ocsvn/jpeg/imagenfrutasQ05PSP.JPG" + check_svn_return_value + svn import -m "Import from OC" "imagenfrutasQ15.jpg" "http://192.168.100.145/ocsvn/jpeg/imagenfrutasQ15.jpg" + check_svn_return_value + svn import -m "Import from OC" "imagenfrutasQ31.jpg" "http://192.168.100.145/ocsvn/jpeg/imagenfrutasQ31.jpg" + check_svn_return_value + svn import -m "Import from OC" "imagenfrutasQ50.jpg" "http://192.168.100.145/ocsvn/jpeg/imagenfrutasQ50.jpg" + check_svn_return_value + svn import -m "Import from OC" "imagenglobosPSPQ15.jpg" "http://192.168.100.145/ocsvn/jpeg/imagenglobosPSPQ15.jpg" + check_svn_return_value + svn import -m "Import from OC" "imagenglobosQ15.jpg" "http://192.168.100.145/ocsvn/jpeg/imagenglobosQ15.jpg" + check_svn_return_value + popd + pushd "jpegcompression" + svn import -m "Import from OC" "*" "http://192.168.100.145/ocsvn/jpegcompression/*" + check_svn_return_value + popd + pushd "jtag" + svn import -m "Import from OC" "Boundary-Scan Architecture.pdf" "http://192.168.100.145/ocsvn/jtag/Boundary-Scan Architecture.pdf" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/jtag/index.shtml" + check_svn_return_value + popd + pushd "k68" + popd + pushd "k7_viterbi_decoder" + popd + pushd "kad" + popd + pushd "kcpsm3_interrupt_handling" + popd + pushd "keyboardcontroller" + popd + pushd "keypad_scanner" + svn import -m "Import from OC" "keypad_scanner.v" "http://192.168.100.145/ocsvn/keypad_scanner/keypad_scanner.v" + check_svn_return_value + popd + pushd "kiss-board" + popd + pushd "kotku" + popd + pushd "ksystem" + popd + pushd "l8051" + svn import -m "Import from OC" "L8051.tar" "http://192.168.100.145/ocsvn/l8051/L8051.tar" + check_svn_return_value + popd + pushd "lcd" + svn import -m "Import from OC" "alliance.shtml" "http://192.168.100.145/ocsvn/lcd/alliance.shtml" + check_svn_return_value + svn import -m "Import from OC" "counterc.shtml" "http://192.168.100.145/ocsvn/lcd/counterc.shtml" + check_svn_return_value + svn import -m "Import from OC" "counter.shtml" "http://192.168.100.145/ocsvn/lcd/counter.shtml" + check_svn_return_value + svn import -m "Import from OC" "counterv.shtml" "http://192.168.100.145/ocsvn/lcd/counterv.shtml" + check_svn_return_value + svn import -m "Import from OC" "decoderc.shtml" "http://192.168.100.145/ocsvn/lcd/decoderc.shtml" + check_svn_return_value + svn import -m "Import from OC" "decoderv.shtml" "http://192.168.100.145/ocsvn/lcd/decoderv.shtml" + check_svn_return_value + svn import -m "Import from OC" "dffresc.shtml" "http://192.168.100.145/ocsvn/lcd/dffresc.shtml" + check_svn_return_value + svn import -m "Import from OC" "dffresv.shtml" "http://192.168.100.145/ocsvn/lcd/dffresv.shtml" + check_svn_return_value + svn import -m "Import from OC" "dflipflop.shtml" "http://192.168.100.145/ocsvn/lcd/dflipflop.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/lcd/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml.old" "http://192.168.100.145/ocsvn/lcd/index.shtml.old" + check_svn_return_value + svn import -m "Import from OC" "LCD.ht1.gif" "http://192.168.100.145/ocsvn/lcd/LCD.ht1.gif" + check_svn_return_value + svn import -m "Import from OC" "lcd.zip" "http://192.168.100.145/ocsvn/lcd/lcd.zip" + check_svn_return_value + svn import -m "Import from OC" "mcc.shtml" "http://192.168.100.145/ocsvn/lcd/mcc.shtml" + check_svn_return_value + svn import -m "Import from OC" "mcv.shtml" "http://192.168.100.145/ocsvn/lcd/mcv.shtml" + check_svn_return_value + svn import -m "Import from OC" "ramc.shtml" "http://192.168.100.145/ocsvn/lcd/ramc.shtml" + check_svn_return_value + svn import -m "Import from OC" "ramv.shtml" "http://192.168.100.145/ocsvn/lcd/ramv.shtml" + check_svn_return_value + svn import -m "Import from OC" "struct.shtml" "http://192.168.100.145/ocsvn/lcd/struct.shtml" + check_svn_return_value + svn import -m "Import from OC" "test.shtml" "http://192.168.100.145/ocsvn/lcd/test.shtml" + check_svn_return_value + popd + pushd "lcd1" + popd + pushd "lcd_controller" + svn import -m "Import from OC" "AP.zip" "http://192.168.100.145/ocsvn/lcd_controller/AP.zip" + check_svn_return_value + svn import -m "Import from OC" "CM920TUserGuide.pdf" "http://192.168.100.145/ocsvn/lcd_controller/CM920TUserGuide.pdf" + check_svn_return_value + svn import -m "Import from OC" "ColorTFT-LCDController.ppt" "http://192.168.100.145/ocsvn/lcd_controller/ColorTFT-LCDController.ppt" + check_svn_return_value + svn import -m "Import from OC" "DUI0146C_LM600.pdf" "http://192.168.100.145/ocsvn/lcd_controller/DUI0146C_LM600.pdf" + check_svn_return_value + svn import -m "Import from OC" "tx18d16vm1caa.pdf" "http://192.168.100.145/ocsvn/lcd_controller/tx18d16vm1caa.pdf" + check_svn_return_value + popd + pushd "ldpc_decoder_802_3an" + svn import -m "Import from OC" "ldpc_decoder_802_3an.tar.gz" "http://192.168.100.145/ocsvn/ldpc_decoder_802_3an/ldpc_decoder_802_3an.tar.gz" + check_svn_return_value + popd + pushd "ldpc_encoder_802_3an" + svn import -m "Import from OC" "ldpc_encoder_802_3an.v.gz" "http://192.168.100.145/ocsvn/ldpc_encoder_802_3an/ldpc_encoder_802_3an.v.gz" + check_svn_return_value + popd + pushd "lem1_9min" + svn import -m "Import from OC" "d3_lem1_9min_hw.ucf" "http://192.168.100.145/ocsvn/lem1_9min/d3_lem1_9min_hw.ucf" + check_svn_return_value + svn import -m "Import from OC" "Form1.cs" "http://192.168.100.145/ocsvn/lem1_9min/Form1.cs" + check_svn_return_value + svn import -m "Import from OC" "lem1_9min_asm.csproj" "http://192.168.100.145/ocsvn/lem1_9min/lem1_9min_asm.csproj" + check_svn_return_value + svn import -m "Import from OC" "lem1_9min_defs.vhd" "http://192.168.100.145/ocsvn/lem1_9min/lem1_9min_defs.vhd" + check_svn_return_value + svn import -m "Import from OC" "lem1_9min_hw.vhd" "http://192.168.100.145/ocsvn/lem1_9min/lem1_9min_hw.vhd" + check_svn_return_value + svn import -m "Import from OC" "lem1_9min.vhd" "http://192.168.100.145/ocsvn/lem1_9min/lem1_9min.vhd" + check_svn_return_value + svn import -m "Import from OC" "trinity_talk_041205.pdf" "http://192.168.100.145/ocsvn/lem1_9min/trinity_talk_041205.pdf" + check_svn_return_value + popd + pushd "light8080" + popd + pushd "lin-a" + popd + pushd "line_codes" + popd + pushd "linuxvcap" + popd + pushd "llc1394" + popd + pushd "log_anal" + popd + pushd "lowpowerfir" + svn import -m "Import from OC" "FIRLowPowerConsiderations.doc" "http://192.168.100.145/ocsvn/lowpowerfir/FIRLowPowerConsiderations.doc" + check_svn_return_value + svn import -m "Import from OC" "fir.zip" "http://192.168.100.145/ocsvn/lowpowerfir/fir.zip" + check_svn_return_value + popd + pushd "lpc" + popd + pushd "lpu" + svn import -m "Import from OC" "lpu.zip" "http://192.168.100.145/ocsvn/lpu/lpu.zip" + check_svn_return_value + svn import -m "Import from OC" "Mem Driven Processor.doc" "http://192.168.100.145/ocsvn/lpu/Mem Driven Processor.doc" + check_svn_return_value + popd + pushd "lq057q3dc02" + popd + pushd "lwmips" + popd + pushd "lwrisc" + svn import -m "Import from OC" "200735153855.bmp" "http://192.168.100.145/ocsvn/lwrisc/200735153855.bmp" + check_svn_return_value + svn import -m "Import from OC" "200735153855.JPG" "http://192.168.100.145/ocsvn/lwrisc/200735153855.JPG" + check_svn_return_value + svn import -m "Import from OC" "clairisc.JPG" "http://192.168.100.145/ocsvn/lwrisc/clairisc.JPG" + check_svn_return_value + svn import -m "Import from OC" "thumb_200735153855.JPG" "http://192.168.100.145/ocsvn/lwrisc/thumb_200735153855.JPG" + check_svn_return_value + svn import -m "Import from OC" "thumb_clairisc.JPG" "http://192.168.100.145/ocsvn/lwrisc/thumb_clairisc.JPG" + check_svn_return_value + svn import -m "Import from OC" "thumb_we.GIF" "http://192.168.100.145/ocsvn/lwrisc/thumb_we.GIF" + check_svn_return_value + svn import -m "Import from OC" "we.GIF" "http://192.168.100.145/ocsvn/lwrisc/we.GIF" + check_svn_return_value + popd + pushd "m1_core" + popd + pushd "mac" + popd + pushd "macroblock_motion_detection" + popd + pushd "maf" + popd + pushd "mafa-pc-board" + popd + pushd "man2uart" + svn import -m "Import from OC" "Man2uartopencores.txt" "http://192.168.100.145/ocsvn/man2uart/Man2uartopencores.txt" + check_svn_return_value + popd + pushd "manchesterencoderdecoder" + svn import -m "Import from OC" "ME2.vhd" "http://192.168.100.145/ocsvn/manchesterencoderdecoder/ME2.vhd" + check_svn_return_value + popd + pushd "marca" + popd + pushd "matrix3x3" + popd + pushd "maxii-evalboard" + svn import -m "Import from OC" "MAXII-Evalboard-V1.00-Designpackage.zip" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard-V1.00-Designpackage.zip" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_a.jpg" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard_V1.0_a.jpg" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_b.jpg" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard_V1.0_b.jpg" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_BOM.xls" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard_V1.0_BOM.xls" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Gerber&CAM.zip" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard_V1.0_Gerber&CAM.zip" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0.jpg" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard_V1.0.jpg" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB-Errata.txt" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB-Errata.txt" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_PCB.pdf" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard_V1.0_PCB.pdf" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Placement.pdf" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard_V1.0_Placement.pdf" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Protel.zip" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard_V1.0_Protel.zip" + check_svn_return_value + svn import -m "Import from OC" "MAXII-Evalboard_V1.0_Schem.pdf" "http://192.168.100.145/ocsvn/maxii-evalboard/MAXII-Evalboard_V1.0_Schem.pdf" + check_svn_return_value + popd + pushd "mb-jpeg" + svn import -m "Import from OC" "mb-jpeg_STEP2_1b.tar.bz2" "http://192.168.100.145/ocsvn/mb-jpeg/mb-jpeg_STEP2_1b.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "mb-jpeg_STEP2_2b.tar.bz2" "http://192.168.100.145/ocsvn/mb-jpeg/mb-jpeg_STEP2_2b.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "mb-jpeg_STEP7_2.tar.bz2" "http://192.168.100.145/ocsvn/mb-jpeg/mb-jpeg_STEP7_2.tar.bz2" + check_svn_return_value + popd + pushd "mcbsp" + popd + pushd "mcpu" + svn import -m "Import from OC" "mcpu_1.06b.zip" "http://192.168.100.145/ocsvn/mcpu/mcpu_1.06b.zip" + check_svn_return_value + svn import -m "Import from OC" "mcpu-doc.pdf" "http://192.168.100.145/ocsvn/mcpu/mcpu-doc.pdf" + check_svn_return_value + svn import -m "Import from OC" "mcpu.pdf" "http://192.168.100.145/ocsvn/mcpu/mcpu.pdf" + check_svn_return_value + popd + pushd "mcu8" + popd + pushd "md5" + popd + pushd "mdct" + svn import -m "Import from OC" "block_diagram.jpg" "http://192.168.100.145/ocsvn/mdct/block_diagram.jpg" + check_svn_return_value + popd + pushd "membist" + popd + pushd "mem_ctrl" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/mem_ctrl/index.shtml" + check_svn_return_value + popd + pushd "memorycontroller" + popd + pushd "memory_cores" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/memory_cores/index.shtml" + check_svn_return_value + popd + pushd "memory_sizer" + svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://192.168.100.145/ocsvn/memory_sizer/b10_safe_12_18_01_dual_path.zip" + check_svn_return_value + svn import -m "Import from OC" "b10_safe_12_18_01_single_path.zip" "http://192.168.100.145/ocsvn/memory_sizer/b10_safe_12_18_01_single_path.zip" + check_svn_return_value + svn import -m "Import from OC" "documentation.shtml" "http://192.168.100.145/ocsvn/memory_sizer/documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "download.shtml" "http://192.168.100.145/ocsvn/memory_sizer/download.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/memory_sizer/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "memory_sizer_dual_path.v" "http://192.168.100.145/ocsvn/memory_sizer/memory_sizer_dual_path.v" + check_svn_return_value + svn import -m "Import from OC" "memory_sizer.v" "http://192.168.100.145/ocsvn/memory_sizer/memory_sizer.v" + check_svn_return_value + svn import -m "Import from OC" "people.shtml" "http://192.168.100.145/ocsvn/memory_sizer/people.shtml" + check_svn_return_value + popd + pushd "mfpga" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/mfpga/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "mfpga_block.gif" "http://192.168.100.145/ocsvn/mfpga/mfpga_block.gif" + check_svn_return_value + svn import -m "Import from OC" "mfpga_block_new.gif" "http://192.168.100.145/ocsvn/mfpga/mfpga_block_new.gif" + check_svn_return_value + svn import -m "Import from OC" "micro_orcad.sch" "http://192.168.100.145/ocsvn/mfpga/micro_orcad.sch" + check_svn_return_value + svn import -m "Import from OC" "micro_protelbinary.lib" "http://192.168.100.145/ocsvn/mfpga/micro_protelbinary.lib" + check_svn_return_value + svn import -m "Import from OC" "micro_protelbinary.sch" "http://192.168.100.145/ocsvn/mfpga/micro_protelbinary.sch" + check_svn_return_value + svn import -m "Import from OC" "micro_sch.pdf" "http://192.168.100.145/ocsvn/mfpga/micro_sch.pdf" + check_svn_return_value + svn import -m "Import from OC" "xcv50.jpg" "http://192.168.100.145/ocsvn/mfpga/xcv50.jpg" + check_svn_return_value + popd + pushd "micore" + popd + pushd "microprocessor" + popd + pushd "milsa" + popd + pushd "milstd1553bbusprotocol" + popd + pushd "mini-acex1k" + popd + pushd "mini_aes" + popd + pushd "minimips" + svn import -m "Import from OC" "miniMIPS.zip" "http://192.168.100.145/ocsvn/minimips/miniMIPS.zip" + check_svn_return_value + popd + pushd "minirisc" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/minirisc/index.shtml" + check_svn_return_value + popd + pushd "mips789" + svn import -m "Import from OC" "cal_PI_2.GIF" "http://192.168.100.145/ocsvn/mips789/cal_PI_2.GIF" + check_svn_return_value + svn import -m "Import from OC" "MIPS789.bmp" "http://192.168.100.145/ocsvn/mips789/MIPS789.bmp" + check_svn_return_value + svn import -m "Import from OC" "pi_2200.GIF" "http://192.168.100.145/ocsvn/mips789/pi_2200.GIF" + check_svn_return_value + svn import -m "Import from OC" "topview.GIF" "http://192.168.100.145/ocsvn/mips789/topview.GIF" + check_svn_return_value + popd + pushd "mipss" + svn import -m "Import from OC" "s70_32bit_to_9bit.vhd" "http://192.168.100.145/ocsvn/mipss/s70_32bit_to_9bit.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_ALU.vhd" "http://192.168.100.145/ocsvn/mipss/s70_ALU.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_ctrl_unit.vhd" "http://192.168.100.145/ocsvn/mipss/s70_ctrl_unit.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_data_mem_comp.vhd" "http://192.168.100.145/ocsvn/mipss/s70_data_mem_comp.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_data_mem.vhd" "http://192.168.100.145/ocsvn/mipss/s70_data_mem.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_datapath.vhd" "http://192.168.100.145/ocsvn/mipss/s70_datapath.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_Ext_S_Z.vhd" "http://192.168.100.145/ocsvn/mipss/s70_Ext_S_Z.vhd" + check_svn_return_value + svn import -m "Import from OC" "s70_inc.vhd" "http://192.168.100.145/ocsvn/mipss/s70_inc.vhd" + check_svn_return_value + popd + pushd "mmcfpgaconfig" + popd + pushd "moonshadow" + popd + pushd "most" + svn import -m "Import from OC" "MOST_Core_Compliance_Test_Specification.pdf" "http://192.168.100.145/ocsvn/most/MOST_Core_Compliance_Test_Specification.pdf" + check_svn_return_value + svn import -m "Import from OC" "MOSTSpecification.pdf" "http://192.168.100.145/ocsvn/most/MOSTSpecification.pdf" + check_svn_return_value + popd + pushd "most_core" + popd + pushd "motion_controller" + popd + pushd "motionestimator" + popd + pushd "motor" + popd + pushd "mp3decoder" + popd + pushd "mpdma" + svn import -m "Import from OC" "BlazeCluster_v0.14.tar.bz2" "http://192.168.100.145/ocsvn/mpdma/BlazeCluster_v0.14.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "BlazeCluster_v0.15.tar.bz2" "http://192.168.100.145/ocsvn/mpdma/BlazeCluster_v0.15.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "BlazeClusterv0.17.zip" "http://192.168.100.145/ocsvn/mpdma/BlazeClusterv0.17.zip" + check_svn_return_value + svn import -m "Import from OC" "BlazeClusterv0.1.zip" "http://192.168.100.145/ocsvn/mpdma/BlazeClusterv0.1.zip" + check_svn_return_value + svn import -m "Import from OC" "koblenz8_20070902.zip" "http://192.168.100.145/ocsvn/mpdma/koblenz8_20070902.zip" + check_svn_return_value + svn import -m "Import from OC" "mpdma20061020.tar.bz2" "http://192.168.100.145/ocsvn/mpdma/mpdma20061020.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "mpdma20061023b.tar.bz2" "http://192.168.100.145/ocsvn/mpdma/mpdma20061023b.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "mpdma20061023c.tar.bz2" "http://192.168.100.145/ocsvn/mpdma/mpdma20061023c.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "mpdma20061023.tar.bz2" "http://192.168.100.145/ocsvn/mpdma/mpdma20061023.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "SoftwareMultiprocessoronFPGA20070608.pdf" "http://192.168.100.145/ocsvn/mpdma/SoftwareMultiprocessoronFPGA20070608.pdf" + check_svn_return_value + popd + pushd "mpeg2decoder" + popd + pushd "mpeg4_video_coding" + popd + pushd "mpegencoderdecoder" + popd + pushd "mup" + popd + pushd "ncore" + svn import -m "Import from OC" "CASM.C" "http://192.168.100.145/ocsvn/ncore/CASM.C" + check_svn_return_value + svn import -m "Import from OC" "NCORE2.V" "http://192.168.100.145/ocsvn/ncore/NCORE2.V" + check_svn_return_value + svn import -m "Import from OC" "NCORE3.V" "http://192.168.100.145/ocsvn/ncore/NCORE3.V" + check_svn_return_value + svn import -m "Import from OC" "nCore_doc.pdf" "http://192.168.100.145/ocsvn/ncore/nCore_doc.pdf" + check_svn_return_value + svn import -m "Import from OC" "NCORE.tar.bz2" "http://192.168.100.145/ocsvn/ncore/NCORE.tar.bz2" + check_svn_return_value + svn import -m "Import from OC" "nCore.v" "http://192.168.100.145/ocsvn/ncore/nCore.v" + check_svn_return_value + svn import -m "Import from OC" "SIM.C" "http://192.168.100.145/ocsvn/ncore/SIM.C" + check_svn_return_value + popd + pushd "nemo_emotion" + popd + pushd "neot" + popd + pushd "neptune-core" + svn import -m "Import from OC" "triton-block.png" "http://192.168.100.145/ocsvn/neptune-core/triton-block.png" + check_svn_return_value + popd + pushd "nnARM" + svn import -m "Import from OC" "Arch118.pdf" "http://192.168.100.145/ocsvn/nnARM/Arch118.pdf" + check_svn_return_value + svn import -m "Import from OC" "Architecture111.pdf" "http://192.168.100.145/ocsvn/nnARM/Architecture111.pdf" + check_svn_return_value + svn import -m "Import from OC" "Architecture111.pdf.old" "http://192.168.100.145/ocsvn/nnARM/Architecture111.pdf.old" + check_svn_return_value + svn import -m "Import from OC" "Architecture_jc.pdf" "http://192.168.100.145/ocsvn/nnARM/Architecture_jc.pdf" + check_svn_return_value + svn import -m "Import from OC" "BS.shtml" "http://192.168.100.145/ocsvn/nnARM/BS.shtml" + check_svn_return_value + svn import -m "Import from OC" "default.htm" "http://192.168.100.145/ocsvn/nnARM/default.htm" + check_svn_return_value + svn import -m "Import from OC" "Documentation.shtml" "http://192.168.100.145/ocsvn/nnARM/Documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "Download.shtml" "http://192.168.100.145/ocsvn/nnARM/Download.shtml" + check_svn_return_value + svn import -m "Import from OC" "GT.shtml" "http://192.168.100.145/ocsvn/nnARM/GT.shtml" + check_svn_return_value + svn import -m "Import from OC" "index1.shtml" "http://192.168.100.145/ocsvn/nnARM/index1.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml1" "http://192.168.100.145/ocsvn/nnARM/index.shtml1" + check_svn_return_value + svn import -m "Import from OC" "index.shtml.old" "http://192.168.100.145/ocsvn/nnARM/index.shtml.old" + check_svn_return_value + svn import -m "Import from OC" "Introduction.shtml" "http://192.168.100.145/ocsvn/nnARM/Introduction.shtml" + check_svn_return_value + svn import -m "Import from OC" "News.htm" "http://192.168.100.145/ocsvn/nnARM/News.htm" + check_svn_return_value + svn import -m "Import from OC" "News.shtml" "http://192.168.100.145/ocsvn/nnARM/News.shtml" + check_svn_return_value + svn import -m "Import from OC" "nnARM.prog" "http://192.168.100.145/ocsvn/nnARM/nnARM.prog" + check_svn_return_value + svn import -m "Import from OC" "nnARM_tb01_07_10_1.zip" "http://192.168.100.145/ocsvn/nnARM/nnARM_tb01_07_10_1.zip" + check_svn_return_value + svn import -m "Import from OC" "nnARM_tb01_07_19.zip" "http://192.168.100.145/ocsvn/nnARM/nnARM_tb01_07_19.zip" + check_svn_return_value + svn import -m "Import from OC" "nnARM_tb01_07_20.zip" "http://192.168.100.145/ocsvn/nnARM/nnARM_tb01_07_20.zip" + check_svn_return_value + svn import -m "Import from OC" "nnARM_tb01_09_02.zip" "http://192.168.100.145/ocsvn/nnARM/nnARM_tb01_09_02.zip" + check_svn_return_value + svn import -m "Import from OC" "People.htm" "http://192.168.100.145/ocsvn/nnARM/People.htm" + check_svn_return_value + svn import -m "Import from OC" "People.shtml" "http://192.168.100.145/ocsvn/nnARM/People.shtml" + check_svn_return_value + svn import -m "Import from OC" "PR.shtml" "http://192.168.100.145/ocsvn/nnARM/PR.shtml" + check_svn_return_value + svn import -m "Import from OC" "put.JPG" "http://192.168.100.145/ocsvn/nnARM/put.JPG" + check_svn_return_value + svn import -m "Import from OC" "sARM01_06_08_1.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_06_08_1.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_06_12_2.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_06_12_2.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_06_15_2.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_06_15_2.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_07_12_2.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_07_12_2.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_07_19_4.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_07_19_4.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_07_20_2.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_07_20_2.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_07_30_4.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_07_30_4.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_08_30_3.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_08_30_3.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_09_02_1.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_09_02_1.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_09_05_2.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_09_05_2.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM01_11_1_3.zip.zip" "http://192.168.100.145/ocsvn/nnARM/sARM01_11_1_3.zip.zip" + check_svn_return_value + svn import -m "Import from OC" "sARM_tb.zip" "http://192.168.100.145/ocsvn/nnARM/sARM_tb.zip" + check_svn_return_value + svn import -m "Import from OC" "tag3.bmp" "http://192.168.100.145/ocsvn/nnARM/tag3.bmp" + check_svn_return_value + svn import -m "Import from OC" "Testbench" "http://192.168.100.145/ocsvn/nnARM/Testbench" + check_svn_return_value + svn import -m "Import from OC" "topFrame.htm" "http://192.168.100.145/ocsvn/nnARM/topFrame.htm" + check_svn_return_value + svn import -m "Import from OC" "wishlogo.jpg" "http://192.168.100.145/ocsvn/nnARM/wishlogo.jpg" + check_svn_return_value + popd + pushd "nocem" + popd + pushd "noise_reduction" + popd + pushd "nonrestoringsquareroot" + popd + pushd "nova" + popd + pushd "npigrctrl" + svn import -m "Import from OC" "demo.png" "http://192.168.100.145/ocsvn/npigrctrl/demo.png" + check_svn_return_value + svn import -m "Import from OC" "mpmc4.rar" "http://192.168.100.145/ocsvn/npigrctrl/mpmc4.rar" + check_svn_return_value + svn import -m "Import from OC" "npi_eng.vhd" "http://192.168.100.145/ocsvn/npigrctrl/npi_eng.vhd" + check_svn_return_value + popd + pushd "oab1" + svn import -m "Import from OC" "index.htm" "http://192.168.100.145/ocsvn/oab1/index.htm" + check_svn_return_value + svn import -m "Import from OC" "title_logo.gif" "http://192.168.100.145/ocsvn/oab1/title_logo.gif" + check_svn_return_value + svn import -m "Import from OC" "ver01.JPG" "http://192.168.100.145/ocsvn/oab1/ver01.JPG" + check_svn_return_value + svn import -m "Import from OC" "ver02.jpg" "http://192.168.100.145/ocsvn/oab1/ver02.jpg" + check_svn_return_value + popd + pushd "oberon" + popd + pushd "ocmips" + svn import -m "Import from OC" "fpga.gif" "http://192.168.100.145/ocsvn/ocmips/fpga.gif" + check_svn_return_value + svn import -m "Import from OC" "opencores.gif" "http://192.168.100.145/ocsvn/ocmips/opencores.gif" + check_svn_return_value + svn import -m "Import from OC" "sim.GIF" "http://192.168.100.145/ocsvn/ocmips/sim.GIF" + check_svn_return_value + popd + pushd "ocp_wb_wrapper" + popd + pushd "ocrp-1" + svn import -m "Import from OC" "block.gif" "http://192.168.100.145/ocsvn/ocrp-1/block.gif" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/ocrp-1/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "ocrp-1_bill_of_materials.txt" "http://192.168.100.145/ocsvn/ocrp-1/ocrp-1_bill_of_materials.txt" + check_svn_return_value + svn import -m "Import from OC" "ocrp-1_gerber.tar.gz" "http://192.168.100.145/ocsvn/ocrp-1/ocrp-1_gerber.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "ocrp1.jpg" "http://192.168.100.145/ocsvn/ocrp-1/ocrp1.jpg" + check_svn_return_value + svn import -m "Import from OC" "ocrp1ord.pdf" "http://192.168.100.145/ocsvn/ocrp-1/ocrp1ord.pdf" + check_svn_return_value + svn import -m "Import from OC" "ocrp-1_sch.pdf" "http://192.168.100.145/ocsvn/ocrp-1/ocrp-1_sch.pdf" + check_svn_return_value + svn import -m "Import from OC" "PCB1-72dpi.jpg" "http://192.168.100.145/ocsvn/ocrp-1/PCB1-72dpi.jpg" + check_svn_return_value + svn import -m "Import from OC" "PCB2-72dpi.jpg" "http://192.168.100.145/ocsvn/ocrp-1/PCB2-72dpi.jpg" + check_svn_return_value + svn import -m "Import from OC" "pic1.jpg" "http://192.168.100.145/ocsvn/ocrp-1/pic1.jpg" + check_svn_return_value + svn import -m "Import from OC" "pic2.jpg" "http://192.168.100.145/ocsvn/ocrp-1/pic2.jpg" + check_svn_return_value + svn import -m "Import from OC" "pic3.jpg" "http://192.168.100.145/ocsvn/ocrp-1/pic3.jpg" + check_svn_return_value + svn import -m "Import from OC" "pic4.jpg" "http://192.168.100.145/ocsvn/ocrp-1/pic4.jpg" + check_svn_return_value + svn import -m "Import from OC" "pic7.jpg" "http://192.168.100.145/ocsvn/ocrp-1/pic7.jpg" + check_svn_return_value + svn import -m "Import from OC" "xc95288xl_tq144.bsd" "http://192.168.100.145/ocsvn/ocrp-1/xc95288xl_tq144.bsd" + check_svn_return_value + svn import -m "Import from OC" "xcv100_tq144.bsd" "http://192.168.100.145/ocsvn/ocrp-1/xcv100_tq144.bsd" + check_svn_return_value + svn import -m "Import from OC" "xcv50_tq144.bsd" "http://192.168.100.145/ocsvn/ocrp-1/xcv50_tq144.bsd" + check_svn_return_value + popd + pushd "ofdm" + popd + pushd "ofdm-baseband-receiver" + popd + pushd "ofdm_modulator" + popd + pushd "oks8" + popd + pushd "omega" + popd + pushd "omrpv2" + svn import -m "Import from OC" "OMRPv2_board_datasheet.pdf" "http://192.168.100.145/ocsvn/omrpv2/OMRPv2_board_datasheet.pdf" + check_svn_return_value + popd + pushd "opb_i2c" + popd + pushd "opb_isa" + popd + pushd "opb_onewire" + popd + pushd "opb_ps2_keyboard_controller" + popd + pushd "opb_psram_controller" + popd + pushd "opb_udp_transceiver" + popd + pushd "opb_vga_char_display_nodac" + popd + pushd "opb_wb_wrapper" + popd + pushd "open_1394_intellectual_property" + popd + pushd "open8_urisc" + popd + pushd "openarm" + popd + pushd "opencores" + svn import -m "Import from OC" "27dec03_IrishTimes.pdf" "http://192.168.100.145/ocsvn/opencores/27dec03_IrishTimes.pdf" + check_svn_return_value + svn import -m "Import from OC" "bottom.jpg" "http://192.168.100.145/ocsvn/opencores/bottom.jpg" + check_svn_return_value + svn import -m "Import from OC" "dr_logo_b.gif" "http://192.168.100.145/ocsvn/opencores/dr_logo_b.gif" + check_svn_return_value + svn import -m "Import from OC" "logos" "http://192.168.100.145/ocsvn/opencores/logos" + check_svn_return_value + svn import -m "Import from OC" "mdl_logo.jpg" "http://192.168.100.145/ocsvn/opencores/mdl_logo.jpg" + check_svn_return_value + svn import -m "Import from OC" "ORSoC_logo.jpg" "http://192.168.100.145/ocsvn/opencores/ORSoC_logo.jpg" + check_svn_return_value + svn import -m "Import from OC" "press" "http://192.168.100.145/ocsvn/opencores/press" + check_svn_return_value + svn import -m "Import from OC" "regionalbreakdown.png" "http://192.168.100.145/ocsvn/opencores/regionalbreakdown.png" + check_svn_return_value + svn import -m "Import from OC" "siteranking.png" "http://192.168.100.145/ocsvn/opencores/siteranking.png" + check_svn_return_value + svn import -m "Import from OC" "sponsors" "http://192.168.100.145/ocsvn/opencores/sponsors" + check_svn_return_value + svn import -m "Import from OC" "thumb_dr_logo_b.gif" "http://192.168.100.145/ocsvn/opencores/thumb_dr_logo_b.gif" + check_svn_return_value + svn import -m "Import from OC" "Ultimodule_Logo_Blue.JPG" "http://192.168.100.145/ocsvn/opencores/Ultimodule_Logo_Blue.JPG" + check_svn_return_value + popd + pushd "opencpu678085" + popd + pushd "openfire" + popd + pushd "openfire2" + svn import -m "Import from OC" "freertos.zip" "http://192.168.100.145/ocsvn/openfire2/freertos.zip" + check_svn_return_value + svn import -m "Import from OC" "targetselection.itb" "http://192.168.100.145/ocsvn/openfire2/targetselection.itb" + check_svn_return_value + popd + pushd "openfire_core" + popd + pushd "openh263" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/openh263/index.shtml" + check_svn_return_value + popd + pushd "openriscdevboard" + svn import -m "Import from OC" "altera_dev_brd.zip" "http://192.168.100.145/ocsvn/openriscdevboard/altera_dev_brd.zip" + check_svn_return_value + svn import -m "Import from OC" "fpgaConfigEval_V1_2.zip" "http://192.168.100.145/ocsvn/openriscdevboard/fpgaConfigEval_V1_2.zip" + check_svn_return_value + svn import -m "Import from OC" "usbPlusUart.zip" "http://192.168.100.145/ocsvn/openriscdevboard/usbPlusUart.zip" + check_svn_return_value + popd + pushd "open_tcpip" + popd + pushd "opentech" + svn import -m "Import from OC" "changes_1_4_0.txt" "http://192.168.100.145/ocsvn/opentech/changes_1_4_0.txt" + check_svn_return_value + svn import -m "Import from OC" "changes_1_4_1.txt" "http://192.168.100.145/ocsvn/opentech/changes_1_4_1.txt" + check_svn_return_value + svn import -m "Import from OC" "changes_1_5_0.txt" "http://192.168.100.145/ocsvn/opentech/changes_1_5_0.txt" + check_svn_return_value + svn import -m "Import from OC" "changes_1_5_1.txt" "http://192.168.100.145/ocsvn/opentech/changes_1_5_1.txt" + check_svn_return_value + svn import -m "Import from OC" "changes_1_6_0.txt" "http://192.168.100.145/ocsvn/opentech/changes_1_6_0.txt" + check_svn_return_value + svn import -m "Import from OC" "changes_1_6_1.txt" "http://192.168.100.145/ocsvn/opentech/changes_1_6_1.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_4_0.txt" "http://192.168.100.145/ocsvn/opentech/contents_1_4_0.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_4_1.txt" "http://192.168.100.145/ocsvn/opentech/contents_1_4_1.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_5_0.txt" "http://192.168.100.145/ocsvn/opentech/contents_1_5_0.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_5_1.txt" "http://192.168.100.145/ocsvn/opentech/contents_1_5_1.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_6_0.txt" "http://192.168.100.145/ocsvn/opentech/contents_1_6_0.txt" + check_svn_return_value + svn import -m "Import from OC" "contents_1_6_1.txt" "http://192.168.100.145/ocsvn/opentech/contents_1_6_1.txt" + check_svn_return_value + svn import -m "Import from OC" "content.txt" "http://192.168.100.145/ocsvn/opentech/content.txt" + check_svn_return_value + svn import -m "Import from OC" "covers.zip" "http://192.168.100.145/ocsvn/opentech/covers.zip" + check_svn_return_value + svn import -m "Import from OC" "icon.gif" "http://192.168.100.145/ocsvn/opentech/icon.gif" + check_svn_return_value + svn import -m "Import from OC" "icon.jpg" "http://192.168.100.145/ocsvn/opentech/icon.jpg" + check_svn_return_value + svn import -m "Import from OC" "icon.png" "http://192.168.100.145/ocsvn/opentech/icon.png" + check_svn_return_value + svn import -m "Import from OC" "logo_full.jpg" "http://192.168.100.145/ocsvn/opentech/logo_full.jpg" + check_svn_return_value + svn import -m "Import from OC" "OpenTech_Info.xls" "http://192.168.100.145/ocsvn/opentech/OpenTech_Info.xls" + check_svn_return_value + svn import -m "Import from OC" "OpenTechnologies_small.gif" "http://192.168.100.145/ocsvn/opentech/OpenTechnologies_small.gif" + check_svn_return_value + svn import -m "Import from OC" "OT_Contents.zip" "http://192.168.100.145/ocsvn/opentech/OT_Contents.zip" + check_svn_return_value + popd + pushd "openverifla" + svn import -m "Import from OC" "verifla_keyboard_protocol_verification_50procent.jpg" "http://192.168.100.145/ocsvn/openverifla/verifla_keyboard_protocol_verification_50procent.jpg" + check_svn_return_value + popd + pushd "or-1200-ft" + popd + pushd "or1200gct" + popd + pushd "or1k-cf" + popd + pushd "or1k-new" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/or1k-new/index.shtml" + check_svn_return_value + popd + pushd "ovcodec" + svn import -m "Import from OC" "ogg_files.zip" "http://192.168.100.145/ocsvn/ovcodec/ogg_files.zip" + check_svn_return_value + popd + pushd "pap" + popd + pushd "pavr" + svn import -m "Import from OC" "pavr032.chm.zip" "http://192.168.100.145/ocsvn/pavr/pavr032.chm.zip" + check_svn_return_value + svn import -m "Import from OC" "pavr032-devel.zip" "http://192.168.100.145/ocsvn/pavr/pavr032-devel.zip" + check_svn_return_value + svn import -m "Import from OC" "pavr032.html.zip" "http://192.168.100.145/ocsvn/pavr/pavr032.html.zip" + check_svn_return_value + svn import -m "Import from OC" "pavr0351-devel.zip" "http://192.168.100.145/ocsvn/pavr/pavr0351-devel.zip" + check_svn_return_value + svn import -m "Import from OC" "pavr0351-release-chm.zip" "http://192.168.100.145/ocsvn/pavr/pavr0351-release-chm.zip" + check_svn_return_value + svn import -m "Import from OC" "pavr0351-release-html.zip" "http://192.168.100.145/ocsvn/pavr/pavr0351-release-html.zip" + check_svn_return_value + svn import -m "Import from OC" "todo.html" "http://192.168.100.145/ocsvn/pavr/todo.html" + check_svn_return_value + popd + pushd "pci" + svn import -m "Import from OC" "charact.shtml" "http://192.168.100.145/ocsvn/pci/charact.shtml" + check_svn_return_value + svn import -m "Import from OC" "contacts.shtml" "http://192.168.100.145/ocsvn/pci/contacts.shtml" + check_svn_return_value + svn import -m "Import from OC" "current_stat.shtml" "http://192.168.100.145/ocsvn/pci/current_stat.shtml" + check_svn_return_value + svn import -m "Import from OC" "documentation.shtml" "http://192.168.100.145/ocsvn/pci/documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "download.shtml" "http://192.168.100.145/ocsvn/pci/download.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/pci/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "links.shtml" "http://192.168.100.145/ocsvn/pci/links.shtml" + check_svn_return_value + svn import -m "Import from OC" "PCI_HOST_architecture.jpg" "http://192.168.100.145/ocsvn/pci/PCI_HOST_architecture.jpg" + check_svn_return_value + svn import -m "Import from OC" "pci_parity.html" "http://192.168.100.145/ocsvn/pci/pci_parity.html" + check_svn_return_value + svn import -m "Import from OC" "pci_prototype.shtml" "http://192.168.100.145/ocsvn/pci/pci_prototype.shtml" + check_svn_return_value + svn import -m "Import from OC" "PCIsim.shtml" "http://192.168.100.145/ocsvn/pci/PCIsim.shtml" + check_svn_return_value + svn import -m "Import from OC" "pci_snapshots.shtml" "http://192.168.100.145/ocsvn/pci/pci_snapshots.shtml" + check_svn_return_value + svn import -m "Import from OC" "PCI_VGA_conn.jpg" "http://192.168.100.145/ocsvn/pci/PCI_VGA_conn.jpg" + check_svn_return_value + svn import -m "Import from OC" "PCI_VGA_cristal.jpg" "http://192.168.100.145/ocsvn/pci/PCI_VGA_cristal.jpg" + check_svn_return_value + svn import -m "Import from OC" "PCI_VGA_sch.gif" "http://192.168.100.145/ocsvn/pci/PCI_VGA_sch.gif" + check_svn_return_value + svn import -m "Import from OC" "PCI_VGA_sch.jpg" "http://192.168.100.145/ocsvn/pci/PCI_VGA_sch.jpg" + check_svn_return_value + svn import -m "Import from OC" "PCI_VGA_test_brd.gif" "http://192.168.100.145/ocsvn/pci/PCI_VGA_test_brd.gif" + check_svn_return_value + svn import -m "Import from OC" "pcixwin.jpg" "http://192.168.100.145/ocsvn/pci/pcixwin.jpg" + check_svn_return_value + svn import -m "Import from OC" "Pic00022.jpg" "http://192.168.100.145/ocsvn/pci/Pic00022.jpg" + check_svn_return_value + svn import -m "Import from OC" "Pic00026.jpg" "http://192.168.100.145/ocsvn/pci/Pic00026.jpg" + check_svn_return_value + svn import -m "Import from OC" "Pic00027.jpg" "http://192.168.100.145/ocsvn/pci/Pic00027.jpg" + check_svn_return_value + svn import -m "Import from OC" "Pic00028.jpg" "http://192.168.100.145/ocsvn/pci/Pic00028.jpg" + check_svn_return_value + svn import -m "Import from OC" "Pic00037.jpg" "http://192.168.100.145/ocsvn/pci/Pic00037.jpg" + check_svn_return_value + svn import -m "Import from OC" "pics" "http://192.168.100.145/ocsvn/pci/pics" + check_svn_return_value + svn import -m "Import from OC" "references.shtml" "http://192.168.100.145/ocsvn/pci/references.shtml" + check_svn_return_value + svn import -m "Import from OC" "test_app.shtml" "http://192.168.100.145/ocsvn/pci/test_app.shtml" + check_svn_return_value + svn import -m "Import from OC" "testbench.shtml" "http://192.168.100.145/ocsvn/pci/testbench.shtml" + check_svn_return_value + svn import -m "Import from OC" "test_board.shtml" "http://192.168.100.145/ocsvn/pci/test_board.shtml" + check_svn_return_value + svn import -m "Import from OC" "test_driver.shtml" "http://192.168.100.145/ocsvn/pci/test_driver.shtml" + check_svn_return_value + svn import -m "Import from OC" "test_snapshots.shtml" "http://192.168.100.145/ocsvn/pci/test_snapshots.shtml" + check_svn_return_value + svn import -m "Import from OC" "thumb_pcixwin.jpg" "http://192.168.100.145/ocsvn/pci/thumb_pcixwin.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_Pic00022.jpg" "http://192.168.100.145/ocsvn/pci/thumb_Pic00022.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_Pic00026.jpg" "http://192.168.100.145/ocsvn/pci/thumb_Pic00026.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_Pic00027.jpg" "http://192.168.100.145/ocsvn/pci/thumb_Pic00027.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_Pic00028.jpg" "http://192.168.100.145/ocsvn/pci/thumb_Pic00028.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_Pic00037.jpg" "http://192.168.100.145/ocsvn/pci/thumb_Pic00037.jpg" + check_svn_return_value + svn import -m "Import from OC" "todo_list.shtml" "http://192.168.100.145/ocsvn/pci/todo_list.shtml" + check_svn_return_value + popd + pushd "pci32tlite_oc" + svn import -m "Import from OC" "pci32tlite_oc_R03.zip" "http://192.168.100.145/ocsvn/pci32tlite_oc/pci32tlite_oc_R03.zip" + check_svn_return_value + popd + pushd "pci-board" + svn import -m "Import from OC" "PCI-Board.jpeg" "http://192.168.100.145/ocsvn/pci-board/PCI-Board.jpeg" + check_svn_return_value + svn import -m "Import from OC" "PCI-Board.jpg" "http://192.168.100.145/ocsvn/pci-board/PCI-Board.jpg" + check_svn_return_value + svn import -m "Import from OC" "PCI-CARD-SCH-v1.0.pdf" "http://192.168.100.145/ocsvn/pci-board/PCI-CARD-SCH-v1.0.pdf" + check_svn_return_value + svn import -m "Import from OC" "PCI-Card-v1.0.pdf" "http://192.168.100.145/ocsvn/pci-board/PCI-Card-v1.0.pdf" + check_svn_return_value + popd + pushd "pci_controller" + svn import -m "Import from OC" "*" "http://192.168.100.145/ocsvn/pci_controller/*" + check_svn_return_value + popd + pushd "pcie_vera_tb" + popd + pushd "pci_express" + popd + pushd "pci_express_crc" + popd + pushd "pci_ide_controller" + popd + pushd "pci_mini" + svn import -m "Import from OC" "PCI_Mini_IP_core_Datasheet2.0_oc.pdf" "http://192.168.100.145/ocsvn/pci_mini/PCI_Mini_IP_core_Datasheet2.0_oc.pdf" + check_svn_return_value + svn import -m "Import from OC" "PCI_mini.zip" "http://192.168.100.145/ocsvn/pci_mini/PCI_mini.zip" + check_svn_return_value + popd + pushd "pcix" + popd + pushd "pcmcia" + popd + pushd "performance_counter" + svn import -m "Import from OC" "PeformanceCounterforMicroblazev0.1.zip" "http://192.168.100.145/ocsvn/performance_counter/PeformanceCounterforMicroblazev0.1.zip" + check_svn_return_value + popd + pushd "perlilog" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/perlilog/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "old-index.shtml" "http://192.168.100.145/ocsvn/perlilog/old-index.shtml" + check_svn_return_value + svn import -m "Import from OC" "Perlilog-0.2.tar.gz" "http://192.168.100.145/ocsvn/perlilog/Perlilog-0.2.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "Perlilog-0.3.tar.gz" "http://192.168.100.145/ocsvn/perlilog/Perlilog-0.3.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "perlilog-guide-0.2.pdf" "http://192.168.100.145/ocsvn/perlilog/perlilog-guide-0.2.pdf" + check_svn_return_value + svn import -m "Import from OC" "perlilog-guide-0.3.pdf" "http://192.168.100.145/ocsvn/perlilog/perlilog-guide-0.3.pdf" + check_svn_return_value + svn import -m "Import from OC" "perlilog-guide.pdf" "http://192.168.100.145/ocsvn/perlilog/perlilog-guide.pdf" + check_svn_return_value + svn import -m "Import from OC" "perlilog.tar.gz" "http://192.168.100.145/ocsvn/perlilog/perlilog.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "perlilog.zip" "http://192.168.100.145/ocsvn/perlilog/perlilog.zip" + check_svn_return_value + popd + pushd "phoenix_controller" + popd + pushd "pic8259" + popd + pushd "picoblaze_interrupt_controller" + svn import -m "Import from OC" "Pblaze_IntController-061221.zip" "http://192.168.100.145/ocsvn/picoblaze_interrupt_controller/Pblaze_IntController-061221.zip" + check_svn_return_value + popd + pushd "pif2wb" + popd + pushd "pipelined_aes" + popd + pushd "pipelined_dct" + popd + pushd "piranha" + svn import -m "Import from OC" "*" "http://192.168.100.145/ocsvn/piranha/*" + check_svn_return_value + popd + pushd "plbv46_to_wb_bridge" + svn import -m "Import from OC" "plbv46_2_wb_v1_10_a.zip" "http://192.168.100.145/ocsvn/plbv46_to_wb_bridge/plbv46_2_wb_v1_10_a.zip" + check_svn_return_value + popd + pushd "power_inverter" + popd + pushd "ppcnorthbridge" + popd + pushd "ppx16" + popd + pushd "processor" + svn import -m "Import from OC" "Atlast.v" "http://192.168.100.145/ocsvn/processor/Atlast.v" + check_svn_return_value + popd + pushd "product_code_iterative_decoder" + popd + pushd "profibus_dp" + svn import -m "Import from OC" "vhdl_source_files.zip" "http://192.168.100.145/ocsvn/profibus_dp/vhdl_source_files.zip" + check_svn_return_value + popd + pushd "programmabledct" + popd + pushd "project" + svn import -m "Import from OC" "datapath.pdf" "http://192.168.100.145/ocsvn/project/datapath.pdf" + check_svn_return_value + svn import -m "Import from OC" "Informations.doc" "http://192.168.100.145/ocsvn/project/Informations.doc" + check_svn_return_value + svn import -m "Import from OC" "memories_core_jenerator_implementations.rar" "http://192.168.100.145/ocsvn/project/memories_core_jenerator_implementations.rar" + check_svn_return_value + svn import -m "Import from OC" "Readme-Instructions.doc" "http://192.168.100.145/ocsvn/project/Readme-Instructions.doc" + check_svn_return_value + svn import -m "Import from OC" "RegFile_SystemC_implementation.rar" "http://192.168.100.145/ocsvn/project/RegFile_SystemC_implementation.rar" + check_svn_return_value + svn import -m "Import from OC" "systemC_Implementation.rar" "http://192.168.100.145/ocsvn/project/systemC_Implementation.rar" + check_svn_return_value + svn import -m "Import from OC" "Xilinx_project_from_files_from_SystemC_implementation.rar" "http://192.168.100.145/ocsvn/project/Xilinx_project_from_files_from_SystemC_implementation.rar" + check_svn_return_value + popd + pushd "ps2" + svn import -m "Import from OC" "documentation.shtml" "http://192.168.100.145/ocsvn/ps2/documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "download.shtml" "http://192.168.100.145/ocsvn/ps2/download.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/ps2/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "people.shtml" "http://192.168.100.145/ocsvn/ps2/people.shtml" + check_svn_return_value + svn import -m "Import from OC" "ps2_keyboard.v" "http://192.168.100.145/ocsvn/ps2/ps2_keyboard.v" + check_svn_return_value + svn import -m "Import from OC" "ps2_mouse.v" "http://192.168.100.145/ocsvn/ps2/ps2_mouse.v" + check_svn_return_value + svn import -m "Import from OC" "ps2_soc1.zip" "http://192.168.100.145/ocsvn/ps2/ps2_soc1.zip" + check_svn_return_value + svn import -m "Import from OC" "ps2_soc2.zip" "http://192.168.100.145/ocsvn/ps2/ps2_soc2.zip" + check_svn_return_value + popd + pushd "ps2core" + popd + pushd "ptc" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/ptc/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "ptc_spec.pdf" "http://192.168.100.145/ocsvn/ptc/ptc_spec.pdf" + check_svn_return_value + popd + pushd "pyramid_unit" + popd + pushd "quadraturecount" + popd + pushd "r2000" + popd + pushd "radixrsa" + svn import -m "Import from OC" "core.shtml" "http://192.168.100.145/ocsvn/radixrsa/core.shtml" + check_svn_return_value + svn import -m "Import from OC" "doc.shtml" "http://192.168.100.145/ocsvn/radixrsa/doc.shtml" + check_svn_return_value + svn import -m "Import from OC" "dotty.gif" "http://192.168.100.145/ocsvn/radixrsa/dotty.gif" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/radixrsa/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "montgo.jpg" "http://192.168.100.145/ocsvn/radixrsa/montgo.jpg" + check_svn_return_value + svn import -m "Import from OC" "RSAAlgorithm.pdf" "http://192.168.100.145/ocsvn/radixrsa/RSAAlgorithm.pdf" + check_svn_return_value + svn import -m "Import from OC" "title_logo.gif" "http://192.168.100.145/ocsvn/radixrsa/title_logo.gif" + check_svn_return_value + popd + pushd "raggedstone" + svn import -m "Import from OC" "README" "http://192.168.100.145/ocsvn/raggedstone/README" + check_svn_return_value + popd + pushd "rc5-72" + popd + pushd "rc5_decoder" + popd + pushd "redfir" + popd + pushd "rfid" + svn import -m "Import from OC" "7Prog.pdf" "http://192.168.100.145/ocsvn/rfid/7Prog.pdf" + check_svn_return_value + svn import -m "Import from OC" "TheMultiTagTesterFinal.exe" "http://192.168.100.145/ocsvn/rfid/TheMultiTagTesterFinal.exe" + check_svn_return_value + popd + pushd "rijndael" + svn import -m "Import from OC" "dekrip_files" "http://192.168.100.145/ocsvn/rijndael/dekrip_files" + check_svn_return_value + svn import -m "Import from OC" "dekrip.htm" "http://192.168.100.145/ocsvn/rijndael/dekrip.htm" + check_svn_return_value + svn import -m "Import from OC" "enkrip_files" "http://192.168.100.145/ocsvn/rijndael/enkrip_files" + check_svn_return_value + svn import -m "Import from OC" "enkrip.htm" "http://192.168.100.145/ocsvn/rijndael/enkrip.htm" + check_svn_return_value + svn import -m "Import from OC" "enkrip.pdf" "http://192.168.100.145/ocsvn/rijndael/enkrip.pdf" + check_svn_return_value + popd + pushd "rijndael_aes" + popd + pushd "risc16f84" + svn import -m "Import from OC" "b13c_environment.zip" "http://192.168.100.145/ocsvn/risc16f84/b13c_environment.zip" + check_svn_return_value + svn import -m "Import from OC" "documentation.shtml" "http://192.168.100.145/ocsvn/risc16f84/documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "download.shtml" "http://192.168.100.145/ocsvn/risc16f84/download.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/risc16f84/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "people.shtml" "http://192.168.100.145/ocsvn/risc16f84/people.shtml" + check_svn_return_value + svn import -m "Import from OC" "risc16f84_clk2x.v" "http://192.168.100.145/ocsvn/risc16f84/risc16f84_clk2x.v" + check_svn_return_value + svn import -m "Import from OC" "risc16f84_lite.v" "http://192.168.100.145/ocsvn/risc16f84/risc16f84_lite.v" + check_svn_return_value + svn import -m "Import from OC" "risc16f84_small.v" "http://192.168.100.145/ocsvn/risc16f84/risc16f84_small.v" + check_svn_return_value + svn import -m "Import from OC" "risc16f84.v" "http://192.168.100.145/ocsvn/risc16f84/risc16f84.v" + check_svn_return_value + svn import -m "Import from OC" "srec_to_rs232.pl" "http://192.168.100.145/ocsvn/risc16f84/srec_to_rs232.pl" + check_svn_return_value + popd + pushd "risc36" + popd + pushd "risc5x" + svn import -m "Import from OC" "hex_conv.zip" "http://192.168.100.145/ocsvn/risc5x/hex_conv.zip" + check_svn_return_value + svn import -m "Import from OC" "risc5x_rel1.0.zip" "http://192.168.100.145/ocsvn/risc5x/risc5x_rel1.0.zip" + check_svn_return_value + svn import -m "Import from OC" "risc5x_rel1.1.zip" "http://192.168.100.145/ocsvn/risc5x/risc5x_rel1.1.zip" + check_svn_return_value + popd + pushd "risc_core_i" + svn import -m "Import from OC" "risc_core_I.zip" "http://192.168.100.145/ocsvn/risc_core_i/risc_core_I.zip" + check_svn_return_value + svn import -m "Import from OC" "RISCCore.pdf" "http://192.168.100.145/ocsvn/risc_core_i/RISCCore.pdf" + check_svn_return_value + svn import -m "Import from OC" "vhdl files.zip" "http://192.168.100.145/ocsvn/risc_core_i/vhdl files.zip" + check_svn_return_value + svn import -m "Import from OC" "Zusammenfassung.pdf" "http://192.168.100.145/ocsvn/risc_core_i/Zusammenfassung.pdf" + check_svn_return_value + popd + pushd "riscmcu" + svn import -m "Import from OC" "BlockDiagram.gif" "http://192.168.100.145/ocsvn/riscmcu/BlockDiagram.gif" + check_svn_return_value + popd + pushd "risc_processor_with_os" + popd + pushd "rise" + popd + pushd "rng_lib" + svn import -m "Import from OC" "rng_lib_v10.zip" "http://192.168.100.145/ocsvn/rng_lib/rng_lib_v10.zip" + check_svn_return_value + popd + pushd "robot_control_library" + svn import -m "Import from OC" "documentation.zip" "http://192.168.100.145/ocsvn/robot_control_library/documentation.zip" + check_svn_return_value + svn import -m "Import from OC" "drivers.zip" "http://192.168.100.145/ocsvn/robot_control_library/drivers.zip" + check_svn_return_value + svn import -m "Import from OC" "OPB_PID_v1_00_a.zip" "http://192.168.100.145/ocsvn/robot_control_library/OPB_PID_v1_00_a.zip" + check_svn_return_value + svn import -m "Import from OC" "OPB_PS2_Joypad_v1_00_a.zip" "http://192.168.100.145/ocsvn/robot_control_library/OPB_PS2_Joypad_v1_00_a.zip" + check_svn_return_value + svn import -m "Import from OC" "OPB_PWM_v1_00_a.zip" "http://192.168.100.145/ocsvn/robot_control_library/OPB_PWM_v1_00_a.zip" + check_svn_return_value + svn import -m "Import from OC" "Quadrature_Encoder_v1_00_a.zip" "http://192.168.100.145/ocsvn/robot_control_library/Quadrature_Encoder_v1_00_a.zip" + check_svn_return_value + svn import -m "Import from OC" "Stepper_Control_v1_00_a.zip" "http://192.168.100.145/ocsvn/robot_control_library/Stepper_Control_v1_00_a.zip" + check_svn_return_value + popd + pushd "rosetta" + popd + pushd "rs232_syscon" + svn import -m "Import from OC" "b10_safe_12_18_01_dual_path.zip" "http://192.168.100.145/ocsvn/rs232_syscon/b10_safe_12_18_01_dual_path.zip" + check_svn_return_value + svn import -m "Import from OC" "b11_risc16f84_05_03_02.zip" "http://192.168.100.145/ocsvn/rs232_syscon/b11_risc16f84_05_03_02.zip" + check_svn_return_value + svn import -m "Import from OC" "b13_safe_09_17_02.zip" "http://192.168.100.145/ocsvn/rs232_syscon/b13_safe_09_17_02.zip" + check_svn_return_value + svn import -m "Import from OC" "documentation.shtml" "http://192.168.100.145/ocsvn/rs232_syscon/documentation.shtml" + check_svn_return_value + svn import -m "Import from OC" "download.shtml" "http://192.168.100.145/ocsvn/rs232_syscon/download.shtml" + check_svn_return_value + svn import -m "Import from OC" "Image4.gif" "http://192.168.100.145/ocsvn/rs232_syscon/Image4.gif" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/rs232_syscon/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "people.shtml" "http://192.168.100.145/ocsvn/rs232_syscon/people.shtml" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_1_00_source.zip" "http://192.168.100.145/ocsvn/rs232_syscon/rs232_syscon_1_00_source.zip" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_1_01_xsoc.zip" "http://192.168.100.145/ocsvn/rs232_syscon/rs232_syscon_1_01_xsoc.zip" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon1.doc" "http://192.168.100.145/ocsvn/rs232_syscon/rs232_syscon1.doc" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_autobaud.zip" "http://192.168.100.145/ocsvn/rs232_syscon/rs232_syscon_autobaud.zip" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon.htm" "http://192.168.100.145/ocsvn/rs232_syscon/rs232_syscon.htm" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon.pdf" "http://192.168.100.145/ocsvn/rs232_syscon/rs232_syscon.pdf" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_soc1.zip" "http://192.168.100.145/ocsvn/rs232_syscon/rs232_syscon_soc1.zip" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_soc2.zip" "http://192.168.100.145/ocsvn/rs232_syscon/rs232_syscon_soc2.zip" + check_svn_return_value + svn import -m "Import from OC" "rs232_syscon_soc3.zip" "http://192.168.100.145/ocsvn/rs232_syscon/rs232_syscon_soc3.zip" + check_svn_return_value + svn import -m "Import from OC" "srec_to_rs232.pl" "http://192.168.100.145/ocsvn/rs232_syscon/srec_to_rs232.pl" + check_svn_return_value + popd + pushd "rs_5_3_gf256" + svn import -m "Import from OC" "ReedSolomon(5,3)Codec.ppt" "http://192.168.100.145/ocsvn/rs_5_3_gf256/ReedSolomon(5,3)Codec.ppt" + check_svn_return_value + popd + pushd "rsa" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/rsa/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "rsa" "http://192.168.100.145/ocsvn/rsa/rsa" + check_svn_return_value + svn import -m "Import from OC" "RSA.htm" "http://192.168.100.145/ocsvn/rsa/RSA.htm" + check_svn_return_value + svn import -m "Import from OC" "RSA.shtml" "http://192.168.100.145/ocsvn/rsa/RSA.shtml" + check_svn_return_value + popd + pushd "rs_decoder_31_19_6" + popd + pushd "rsencoder" + svn import -m "Import from OC" "readme.txt" "http://192.168.100.145/ocsvn/rsencoder/readme.txt" + check_svn_return_value + svn import -m "Import from OC" "reed_solomon.v" "http://192.168.100.145/ocsvn/rsencoder/reed_solomon.v" + check_svn_return_value + svn import -m "Import from OC" "rs_testbench.v" "http://192.168.100.145/ocsvn/rsencoder/rs_testbench.v" + check_svn_return_value + popd + pushd "s1_core" + popd + pushd "sardmips" + popd + pushd "sasc" + popd + pushd "sata1a" + popd + pushd "sayeh_processor" + svn import -m "Import from OC" "SAYEH-U1-V.rar" "http://192.168.100.145/ocsvn/sayeh_processor/SAYEH-U1-V.rar" + check_svn_return_value + popd + pushd "sbd_sqrt_fp" + popd + pushd "sc2v" + popd + pushd "scalable_arbiter" + popd + pushd "scarm" + svn import -m "Import from OC" "arm1.JPG" "http://192.168.100.145/ocsvn/scarm/arm1.JPG" + check_svn_return_value + svn import -m "Import from OC" "chinese" "http://192.168.100.145/ocsvn/scarm/chinese" + check_svn_return_value + svn import -m "Import from OC" "english" "http://192.168.100.145/ocsvn/scarm/english" + check_svn_return_value + svn import -m "Import from OC" "images" "http://192.168.100.145/ocsvn/scarm/images" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/scarm/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "main.shtml" "http://192.168.100.145/ocsvn/scarm/main.shtml" + check_svn_return_value + svn import -m "Import from OC" "src.zip" "http://192.168.100.145/ocsvn/scarm/src.zip" + check_svn_return_value + svn import -m "Import from OC" "test" "http://192.168.100.145/ocsvn/scarm/test" + check_svn_return_value + svn import -m "Import from OC" "test.zip" "http://192.168.100.145/ocsvn/scarm/test.zip" + check_svn_return_value + popd + pushd "scsi_chip" + svn import -m "Import from OC" "Address_translate.v" "http://192.168.100.145/ocsvn/scsi_chip/Address_translate.v" + check_svn_return_value + svn import -m "Import from OC" "Data_buffer.v" "http://192.168.100.145/ocsvn/scsi_chip/Data_buffer.v" + check_svn_return_value + svn import -m "Import from OC" "registers_complex.v" "http://192.168.100.145/ocsvn/scsi_chip/registers_complex.v" + check_svn_return_value + svn import -m "Import from OC" "SRAM_controler.v" "http://192.168.100.145/ocsvn/scsi_chip/SRAM_controler.v" + check_svn_return_value + popd + pushd "scsi_interface" + popd + pushd "sdram" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/sdram/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml2" "http://192.168.100.145/ocsvn/sdram/index.shtml2" + check_svn_return_value + svn import -m "Import from OC" "intefacing block diagram.gif" "http://192.168.100.145/ocsvn/sdram/intefacing block diagram.gif" + check_svn_return_value + svn import -m "Import from OC" "interfacing_block_diagram.gif" "http://192.168.100.145/ocsvn/sdram/interfacing_block_diagram.gif" + check_svn_return_value + svn import -m "Import from OC" "sdram_doc.pdf" "http://192.168.100.145/ocsvn/sdram/sdram_doc.pdf" + check_svn_return_value + svn import -m "Import from OC" "sdram.html" "http://192.168.100.145/ocsvn/sdram/sdram.html" + check_svn_return_value + svn import -m "Import from OC" "sdram_ip_doc_preliminary.pdf" "http://192.168.100.145/ocsvn/sdram/sdram_ip_doc_preliminary.pdf" + check_svn_return_value + popd + pushd "sdram_core" + popd + pushd "sdram_ctrl" + popd + pushd "sdr_sdram_ctrl" + popd + pushd "serial_div_uu" + svn import -m "Import from OC" "pwm_reader.v" "http://192.168.100.145/ocsvn/serial_div_uu/pwm_reader.v" + check_svn_return_value + svn import -m "Import from OC" "serial_divide_uu.v" "http://192.168.100.145/ocsvn/serial_div_uu/serial_divide_uu.v" + check_svn_return_value + popd + pushd "serpent_core" + popd + pushd "sfpga" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/sfpga/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "ocrp-2_protel_sch.zip" "http://192.168.100.145/ocsvn/sfpga/ocrp-2_protel_sch.zip" + check_svn_return_value + svn import -m "Import from OC" "OCRP-2_sch_preliminary.pdf" "http://192.168.100.145/ocsvn/sfpga/OCRP-2_sch_preliminary.pdf" + check_svn_return_value + svn import -m "Import from OC" "sfpga_block.gif" "http://192.168.100.145/ocsvn/sfpga/sfpga_block.gif" + check_svn_return_value + popd + pushd "sha1" + svn import -m "Import from OC" "sha1_readme_v01.txt" "http://192.168.100.145/ocsvn/sha1/sha1_readme_v01.txt" + check_svn_return_value + svn import -m "Import from OC" "sha1_v01.zip" "http://192.168.100.145/ocsvn/sha1/sha1_v01.zip" + check_svn_return_value + popd + pushd "sha_core" + popd + pushd "simpcon" + popd + pushd "simplearm" + popd + pushd "simple-cpu" + popd + pushd "simple_fm_receiver" + popd + pushd "simple_gpio" + popd + pushd "simple_pic" + popd + pushd "simple_spi" + popd + pushd "simple_uart" + svn import -m "Import from OC" "simpleUart.zip" "http://192.168.100.145/ocsvn/simple_uart/simpleUart.zip" + check_svn_return_value + popd + pushd "single_clock_divider" + popd + pushd "single_port" + svn import -m "Import from OC" "single_port.tar.gz" "http://192.168.100.145/ocsvn/single_port/single_port.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "single_port.zip" "http://192.168.100.145/ocsvn/single_port/single_port.zip" + check_svn_return_value + popd + pushd "slave_vme_bridge" + popd + pushd "smallarm" + popd + pushd "smbus_if" + svn import -m "Import from OC" "smbus_if.doc" "http://192.168.100.145/ocsvn/smbus_if/smbus_if.doc" + check_svn_return_value + popd + pushd "socbuilder" + popd + pushd "soft_core_risc_microprocessor_design_enabling_the_port_of_an_os" + popd + pushd "sonet" + svn import -m "Import from OC" "blockdia.doc" "http://192.168.100.145/ocsvn/sonet/blockdia.doc" + check_svn_return_value + svn import -m "Import from OC" "overview.doc" "http://192.168.100.145/ocsvn/sonet/overview.doc" + check_svn_return_value + popd + pushd "spacewire" + svn import -m "Import from OC" "Router.JPG" "http://192.168.100.145/ocsvn/spacewire/Router.JPG" + check_svn_return_value + svn import -m "Import from OC" "SpWinterfacewithCODEC.JPG" "http://192.168.100.145/ocsvn/spacewire/SpWinterfacewithCODEC.JPG" + check_svn_return_value + popd + pushd "spacewire_if" + popd + pushd "spates" + popd + pushd "spdif_interface" + popd + pushd "spi" + popd + pushd "spi_boot" + popd + pushd "spicc" + popd + pushd "spiflashcontroller" + popd + pushd "spimaster" + popd + pushd "spi_slave" + popd + pushd "spi-slave" + svn import -m "Import from OC" "*" "http://192.168.100.145/ocsvn/spi-slave/*" + check_svn_return_value + popd + pushd "srl_fifo" + popd + pushd "srtdivision" + popd + pushd "ss_pcm" + popd + pushd "ssram" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/ssram/index.shtml" + check_svn_return_value + popd + pushd "steppermotordrive" + popd + pushd "stone" + popd + pushd "sts1" + svn import -m "Import from OC" "spe.vhd" "http://192.168.100.145/ocsvn/sts1/spe.vhd" + check_svn_return_value + popd + pushd "svmac" + popd + pushd "sxp" + svn import -m "Import from OC" "sxp_block.gif" "http://192.168.100.145/ocsvn/sxp/sxp_block.gif" + check_svn_return_value + popd + pushd "system05" + popd + pushd "system09" + svn import -m "Import from OC" "index.html" "http://192.168.100.145/ocsvn/system09/index.html" + check_svn_return_value + svn import -m "Import from OC" "System09-oc-6sep03.zip" "http://192.168.100.145/ocsvn/system09/System09-oc-6sep03.zip" + check_svn_return_value + svn import -m "Import from OC" "xbasic.s19" "http://192.168.100.145/ocsvn/system09/xbasic.s19" + check_svn_return_value + popd + pushd "system11" + svn import -m "Import from OC" "Sys11_X300_5sep03.zip" "http://192.168.100.145/ocsvn/system11/Sys11_X300_5sep03.zip" + check_svn_return_value + popd + pushd "system68" + svn import -m "Import from OC" "Sys68-X300-17jan04.zip" "http://192.168.100.145/ocsvn/system68/Sys68-X300-17jan04.zip" + check_svn_return_value + popd + pushd "system6801" + svn import -m "Import from OC" "System6801.zip" "http://192.168.100.145/ocsvn/system6801/System6801.zip" + check_svn_return_value + svn import -m "Import from OC" "utilities.zip" "http://192.168.100.145/ocsvn/system6801/utilities.zip" + check_svn_return_value + popd + pushd "systemcaes" + popd + pushd "systemc_cordic" + popd + pushd "systemcdes" + popd + pushd "systemcmd5" + popd + pushd "systemc_rng" + popd + pushd "t400" + popd + pushd "t48" + popd + pushd "t51" + popd + pushd "t65" + popd + pushd "t80" + popd + pushd "t8000" + popd + pushd "tdm" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/tdm/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "tdm_core.jpg" "http://192.168.100.145/ocsvn/tdm/tdm_core.jpg" + check_svn_return_value + svn import -m "Import from OC" "tdm_core.ps" "http://192.168.100.145/ocsvn/tdm/tdm_core.ps" + check_svn_return_value + svn import -m "Import from OC" "tdm_ISDN_top.jpg" "http://192.168.100.145/ocsvn/tdm/tdm_ISDN_top.jpg" + check_svn_return_value + svn import -m "Import from OC" "tdm_ISDN_top.ps" "http://192.168.100.145/ocsvn/tdm/tdm_ISDN_top.ps" + check_svn_return_value + svn import -m "Import from OC" "tdm_project.html" "http://192.168.100.145/ocsvn/tdm/tdm_project.html" + check_svn_return_value + svn import -m "Import from OC" "tdm_project.pdf" "http://192.168.100.145/ocsvn/tdm/tdm_project.pdf" + check_svn_return_value + svn import -m "Import from OC" "tdm_project.ps" "http://192.168.100.145/ocsvn/tdm/tdm_project.ps" + check_svn_return_value + svn import -m "Import from OC" "tdm_top.jpg" "http://192.168.100.145/ocsvn/tdm/tdm_top.jpg" + check_svn_return_value + svn import -m "Import from OC" "tdm_top.ps" "http://192.168.100.145/ocsvn/tdm/tdm_top.ps" + check_svn_return_value + svn import -m "Import from OC" "wishlogo.ps" "http://192.168.100.145/ocsvn/tdm/wishlogo.ps" + check_svn_return_value + popd + pushd "tdm_switch" + svn import -m "Import from OC" "map.dat" "http://192.168.100.145/ocsvn/tdm_switch/map.dat" + check_svn_return_value + svn import -m "Import from OC" "ModelSim_Edition.exe" "http://192.168.100.145/ocsvn/tdm_switch/ModelSim_Edition.exe" + check_svn_return_value + svn import -m "Import from OC" "stream_0.dat" "http://192.168.100.145/ocsvn/tdm_switch/stream_0.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_1.dat" "http://192.168.100.145/ocsvn/tdm_switch/stream_1.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_2.dat" "http://192.168.100.145/ocsvn/tdm_switch/stream_2.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_3.dat" "http://192.168.100.145/ocsvn/tdm_switch/stream_3.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_4.dat" "http://192.168.100.145/ocsvn/tdm_switch/stream_4.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_5.dat" "http://192.168.100.145/ocsvn/tdm_switch/stream_5.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_6.dat" "http://192.168.100.145/ocsvn/tdm_switch/stream_6.dat" + check_svn_return_value + svn import -m "Import from OC" "stream_7.dat" "http://192.168.100.145/ocsvn/tdm_switch/stream_7.dat" + check_svn_return_value + svn import -m "Import from OC" "tdm_switch_b.v" "http://192.168.100.145/ocsvn/tdm_switch/tdm_switch_b.v" + check_svn_return_value + svn import -m "Import from OC" "TDM_Switch_DS.pdf" "http://192.168.100.145/ocsvn/tdm_switch/TDM_Switch_DS.pdf" + check_svn_return_value + svn import -m "Import from OC" "tdm_switch_top_timesim.sdf" "http://192.168.100.145/ocsvn/tdm_switch/tdm_switch_top_timesim.sdf" + check_svn_return_value + svn import -m "Import from OC" "tdm_switch_top_timesim.v" "http://192.168.100.145/ocsvn/tdm_switch/tdm_switch_top_timesim.v" + check_svn_return_value + svn import -m "Import from OC" "tdm_switch_top.v" "http://192.168.100.145/ocsvn/tdm_switch/tdm_switch_top.v" + check_svn_return_value + svn import -m "Import from OC" "testbench_top.v" "http://192.168.100.145/ocsvn/tdm_switch/testbench_top.v" + check_svn_return_value + popd + pushd "template" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/template/index.shtml" + check_svn_return_value + popd + pushd "test" + svn import -m "Import from OC" "apple.gif" "http://192.168.100.145/ocsvn/test/apple.gif" + check_svn_return_value + svn import -m "Import from OC" "FLEX_w_CMYK_R_LG.jpg" "http://192.168.100.145/ocsvn/test/FLEX_w_CMYK_R_LG.jpg" + check_svn_return_value + svn import -m "Import from OC" "include1.ssi" "http://192.168.100.145/ocsvn/test/include1.ssi" + check_svn_return_value + svn import -m "Import from OC" "include2.ssi" "http://192.168.100.145/ocsvn/test/include2.ssi" + check_svn_return_value + popd + pushd "test1" + svn import -m "Import from OC" "arrow_ltr.gif" "http://192.168.100.145/ocsvn/test1/arrow_ltr.gif" + check_svn_return_value + svn import -m "Import from OC" "sed_awk.pdf" "http://192.168.100.145/ocsvn/test1/sed_awk.pdf" + check_svn_return_value + popd + pushd "test2" + svn import -m "Import from OC" "*" "http://192.168.100.145/ocsvn/test2/*" + check_svn_return_value + popd + pushd "test3" + popd + pushd "test_project" + popd + pushd "test-project" + svn import -m "Import from OC" "vl.bmp" "http://192.168.100.145/ocsvn/test-project/vl.bmp" + check_svn_return_value + popd + pushd "tg68" + popd + pushd "tiny64" + popd + pushd "tiny8" + popd + pushd "tlc2" + popd + pushd "toe" + popd + pushd "tone_generator" + popd + pushd "totalcpu" + popd + pushd "trinitor" + popd + pushd "truescalar" + popd + pushd "ts7300_opencore" + svn import -m "Import from OC" "7300stclwp.jpg" "http://192.168.100.145/ocsvn/ts7300_opencore/7300stclwp.jpg" + check_svn_return_value + svn import -m "Import from OC" "ts7300_opencore.zip" "http://192.168.100.145/ocsvn/ts7300_opencore/ts7300_opencore.zip" + check_svn_return_value + popd + pushd "turbocodes" + svn import -m "Import from OC" "turbo.tar.gz" "http://192.168.100.145/ocsvn/turbocodes/turbo.tar.gz" + check_svn_return_value + popd + pushd "tv80" + svn import -m "Import from OC" "tv80_rel1.0.zip" "http://192.168.100.145/ocsvn/tv80/tv80_rel1.0.zip" + check_svn_return_value + popd + pushd "twofish" + popd + pushd "twofish_team" + svn import -m "Import from OC" "ciphertext.jpg" "http://192.168.100.145/ocsvn/twofish_team/ciphertext.jpg" + check_svn_return_value + svn import -m "Import from OC" "cleartext.jpg" "http://192.168.100.145/ocsvn/twofish_team/cleartext.jpg" + check_svn_return_value + svn import -m "Import from OC" "key-mod.jpg" "http://192.168.100.145/ocsvn/twofish_team/key-mod.jpg" + check_svn_return_value + svn import -m "Import from OC" "modifiedF.jpg" "http://192.168.100.145/ocsvn/twofish_team/modifiedF.jpg" + check_svn_return_value + svn import -m "Import from OC" "peracangan" "http://192.168.100.145/ocsvn/twofish_team/peracangan" + check_svn_return_value + svn import -m "Import from OC" "qper.jpg" "http://192.168.100.145/ocsvn/twofish_team/qper.jpg" + check_svn_return_value + svn import -m "Import from OC" "s-boxes.jpg" "http://192.168.100.145/ocsvn/twofish_team/s-boxes.jpg" + check_svn_return_value + svn import -m "Import from OC" "twofish.jpg" "http://192.168.100.145/ocsvn/twofish_team/twofish.jpg" + check_svn_return_value + svn import -m "Import from OC" "twofish.zip" "http://192.168.100.145/ocsvn/twofish_team/twofish.zip" + check_svn_return_value + popd + pushd "ualpha" + popd + pushd "uart16550" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/uart16550/index.shtml" + check_svn_return_value + popd + pushd "uart8bit" + popd + pushd "uart_fifo" + popd + pushd "uart_serial" + popd + pushd "ucore" + svn import -m "Import from OC" "ucsys-0.0.1.rar" "http://192.168.100.145/ocsvn/ucore/ucsys-0.0.1.rar" + check_svn_return_value + popd + pushd "ultimate_crc" + svn import -m "Import from OC" "ultimate_crc_1_0.zip" "http://192.168.100.145/ocsvn/ultimate_crc/ultimate_crc_1_0.zip" + check_svn_return_value + popd + pushd "ultramegasquirt" + popd + pushd "ultravec" + popd + pushd "upcable" + svn import -m "Import from OC" "odd_vhdl.zip" "http://192.168.100.145/ocsvn/upcable/odd_vhdl.zip" + check_svn_return_value + svn import -m "Import from OC" "OneDollarDongle.pdf" "http://192.168.100.145/ocsvn/upcable/OneDollarDongle.pdf" + check_svn_return_value + svn import -m "Import from OC" "ver1_xc9536xl_vq44_single_side.zip" "http://192.168.100.145/ocsvn/upcable/ver1_xc9536xl_vq44_single_side.zip" + check_svn_return_value + popd + pushd "usb11" + popd + pushd "usb1_funct" + popd + pushd "usb_dongle_fpga" + svn import -m "Import from OC" "block_diagram.png" "http://192.168.100.145/ocsvn/usb_dongle_fpga/block_diagram.png" + check_svn_return_value + svn import -m "Import from OC" "dongle_block.png" "http://192.168.100.145/ocsvn/usb_dongle_fpga/dongle_block.png" + check_svn_return_value + svn import -m "Import from OC" "mini_LR_DSC_0016.jpg" "http://192.168.100.145/ocsvn/usb_dongle_fpga/mini_LR_DSC_0016.jpg" + check_svn_return_value + svn import -m "Import from OC" "small_LR_DSC_0016.jpg" "http://192.168.100.145/ocsvn/usb_dongle_fpga/small_LR_DSC_0016.jpg" + check_svn_return_value + svn import -m "Import from OC" "usb_dongle.jpg" "http://192.168.100.145/ocsvn/usb_dongle_fpga/usb_dongle.jpg" + check_svn_return_value + popd + pushd "usbhost" + svn import -m "Import from OC" "alliance.shtml" "http://192.168.100.145/ocsvn/usbhost/alliance.shtml" + check_svn_return_value + svn import -m "Import from OC" "HDL" "http://192.168.100.145/ocsvn/usbhost/HDL" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh10.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh10.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh11.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh11.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh12.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh12.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh13.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh13.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh14.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh14.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh15.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh15.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh16.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh16.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh17.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh17.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh18.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh18.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh19.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh19.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh1.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh1.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh20.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh20.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh21.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh21.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.sh22.jpg" "http://192.168.100.145/ocsvn/usbhost/HDL.sh22.jpg" + check_svn_return_value + svn import -m "Import from OC" "HDL.shtml" "http://192.168.100.145/ocsvn/usbhost/HDL.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.1.gif" "http://192.168.100.145/ocsvn/usbhost/index.1.gif" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/usbhost/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "README" "http://192.168.100.145/ocsvn/usbhost/README" + check_svn_return_value + popd + pushd "usbhostslave" + svn import -m "Import from OC" "ALDEC_logo.jpg" "http://192.168.100.145/ocsvn/usbhostslave/ALDEC_logo.jpg" + check_svn_return_value + svn import -m "Import from OC" "dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" "http://192.168.100.145/ocsvn/usbhostslave/dual_Fairchild_USB_PHY_daughter_card_12001-00Rev-01.zip" + check_svn_return_value + svn import -m "Import from OC" "ohs900.zip" "http://192.168.100.145/ocsvn/usbhostslave/ohs900.zip" + check_svn_return_value + popd + pushd "usb_phy" + popd + pushd "usucc" + svn import -m "Import from OC" "*" "http://192.168.100.145/ocsvn/usucc/*" + check_svn_return_value + popd + pushd "utop_lvl_1" + popd + pushd "verilator" + popd + pushd "verilog_cordic_core" + svn import -m "Import from OC" "cordic.v" "http://192.168.100.145/ocsvn/verilog_cordic_core/cordic.v" + check_svn_return_value + svn import -m "Import from OC" "manual.pdf" "http://192.168.100.145/ocsvn/verilog_cordic_core/manual.pdf" + check_svn_return_value + svn import -m "Import from OC" "tb_cordic.v" "http://192.168.100.145/ocsvn/verilog_cordic_core/tb_cordic.v" + check_svn_return_value + popd + pushd "veristruct" + popd + pushd "vgafb" + popd + pushd "vga_lcd" + svn import -m "Import from OC" "block_diagram.gif" "http://192.168.100.145/ocsvn/vga_lcd/block_diagram.gif" + check_svn_return_value + svn import -m "Import from OC" "block_diagram.jpg" "http://192.168.100.145/ocsvn/vga_lcd/block_diagram.jpg" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/vga_lcd/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "vga_core.pdf" "http://192.168.100.145/ocsvn/vga_lcd/vga_core.pdf" + check_svn_return_value + popd + pushd "vhcg" + svn import -m "Import from OC" "morpheus1.1release.rar" "http://192.168.100.145/ocsvn/vhcg/morpheus1.1release.rar" + check_svn_return_value + svn import -m "Import from OC" "morpheus.tar.gz" "http://192.168.100.145/ocsvn/vhcg/morpheus.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "Specification.pdf" "http://192.168.100.145/ocsvn/vhcg/Specification.pdf" + check_svn_return_value + popd + pushd "vhdl_cpu_emulator" + svn import -m "Import from OC" "vhdl_cpu_emulator_Beta.7z" "http://192.168.100.145/ocsvn/vhdl_cpu_emulator/vhdl_cpu_emulator_Beta.7z" + check_svn_return_value + popd + pushd "vhdlmd5" + popd + pushd "vhdl_wavefiles" + popd + pushd "vhld_tb" + popd + pushd "video_starter_kit" + svn import -m "Import from OC" "main_designoverview0.0.2.pdf" "http://192.168.100.145/ocsvn/video_starter_kit/main_designoverview0.0.2.pdf" + check_svn_return_value + popd + pushd "vip_regs" + popd + pushd "viterbi_decoder" + popd + pushd "viterbi_decoder_k_7_r_1_2" + popd + pushd "vmebus" + popd + pushd "vmm" + popd + pushd "warp" + popd + pushd "waveform_gen" + svn import -m "Import from OC" "spectrum_1_7MHz.png" "http://192.168.100.145/ocsvn/waveform_gen/spectrum_1_7MHz.png" + check_svn_return_value + svn import -m "Import from OC" "waveform_block_diag.png" "http://192.168.100.145/ocsvn/waveform_gen/waveform_block_diag.png" + check_svn_return_value + popd + pushd "wb2hpi" + svn import -m "Import from OC" "BlockTransfer1.jpg" "http://192.168.100.145/ocsvn/wb2hpi/BlockTransfer1.jpg" + check_svn_return_value + svn import -m "Import from OC" "BlockTransfer2.jpg" "http://192.168.100.145/ocsvn/wb2hpi/BlockTransfer2.jpg" + check_svn_return_value + svn import -m "Import from OC" "DspFill1.jpg" "http://192.168.100.145/ocsvn/wb2hpi/DspFill1.jpg" + check_svn_return_value + svn import -m "Import from OC" "DspMemory1.jpg" "http://192.168.100.145/ocsvn/wb2hpi/DspMemory1.jpg" + check_svn_return_value + svn import -m "Import from OC" "DspMemory2.jpg" "http://192.168.100.145/ocsvn/wb2hpi/DspMemory2.jpg" + check_svn_return_value + svn import -m "Import from OC" "DSPMove1.jpg" "http://192.168.100.145/ocsvn/wb2hpi/DSPMove1.jpg" + check_svn_return_value + svn import -m "Import from OC" "Registers.jpg" "http://192.168.100.145/ocsvn/wb2hpi/Registers.jpg" + check_svn_return_value + svn import -m "Import from OC" "SistemMemoryFill1.jpg" "http://192.168.100.145/ocsvn/wb2hpi/SistemMemoryFill1.jpg" + check_svn_return_value + svn import -m "Import from OC" "SistemMemoryMove1.jpg" "http://192.168.100.145/ocsvn/wb2hpi/SistemMemoryMove1.jpg" + check_svn_return_value + svn import -m "Import from OC" "SystemMemory1.jpg" "http://192.168.100.145/ocsvn/wb2hpi/SystemMemory1.jpg" + check_svn_return_value + svn import -m "Import from OC" "TestBench051.jpg" "http://192.168.100.145/ocsvn/wb2hpi/TestBench051.jpg" + check_svn_return_value + svn import -m "Import from OC" "wb2hpi_hw2.jpg" "http://192.168.100.145/ocsvn/wb2hpi/wb2hpi_hw2.jpg" + check_svn_return_value + popd + pushd "wb2npi" + popd + pushd "wb_builder" + svn import -m "Import from OC" "users_manual.pdf" "http://192.168.100.145/ocsvn/wb_builder/users_manual.pdf" + check_svn_return_value + popd + pushd "wb_conbus" + popd + pushd "wb_conmax" + svn import -m "Import from OC" "conmax.jpg" "http://192.168.100.145/ocsvn/wb_conmax/conmax.jpg" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/wb_conmax/index.shtml" + check_svn_return_value + popd + pushd "wbc_parallel_master" + svn import -m "Import from OC" "wbc_parallel_master-spec_doc-r01.pdf" "http://192.168.100.145/ocsvn/wbc_parallel_master/wbc_parallel_master-spec_doc-r01.pdf" + check_svn_return_value + popd + pushd "wb_ddr" + popd + pushd "wb_dma" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/wb_dma/index.shtml" + check_svn_return_value + popd + pushd "wb_flash" + popd + pushd "wbif_68k" + popd + pushd "wb_lpc" + popd + pushd "wb_mcs51" + popd + pushd "wb_rtc" + svn import -m "Import from OC" "ports.jpg" "http://192.168.100.145/ocsvn/wb_rtc/ports.jpg" + check_svn_return_value + svn import -m "Import from OC" "structure.jpg" "http://192.168.100.145/ocsvn/wb_rtc/structure.jpg" + check_svn_return_value + svn import -m "Import from OC" "wb_rtc.zip" "http://192.168.100.145/ocsvn/wb_rtc/wb_rtc.zip" + check_svn_return_value + popd + pushd "wb_sdhci" + popd + pushd "wb_tk" + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/wb_tk/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_arbiter.shtml" "http://192.168.100.145/ocsvn/wb_tk/wb_arbiter.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_async_master.shtml" "http://192.168.100.145/ocsvn/wb_tk/wb_async_master.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_async_slave.shtml" "http://192.168.100.145/ocsvn/wb_tk/wb_async_slave.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_bus_resizer.shtml" "http://192.168.100.145/ocsvn/wb_tk/wb_bus_resizer.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_extensions.shtml" "http://192.168.100.145/ocsvn/wb_tk/wb_extensions.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_out_reg.shtml" "http://192.168.100.145/ocsvn/wb_tk/wb_out_reg.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_ram.shtml" "http://192.168.100.145/ocsvn/wb_tk/wb_ram.shtml" + check_svn_return_value + svn import -m "Import from OC" "wb_test.shtml" "http://192.168.100.145/ocsvn/wb_tk/wb_test.shtml" + check_svn_return_value + popd + pushd "wb_vga" + svn import -m "Import from OC" "accel.shtml" "http://192.168.100.145/ocsvn/wb_vga/accel.shtml" + check_svn_return_value + svn import -m "Import from OC" "index.shtml" "http://192.168.100.145/ocsvn/wb_vga/index.shtml" + check_svn_return_value + svn import -m "Import from OC" "mouse.shtml" "http://192.168.100.145/ocsvn/wb_vga/mouse.shtml" + check_svn_return_value + svn import -m "Import from OC" "palette.shtml" "http://192.168.100.145/ocsvn/wb_vga/palette.shtml" + check_svn_return_value + svn import -m "Import from OC" "vga_chip.shtml" "http://192.168.100.145/ocsvn/wb_vga/vga_chip.shtml" + check_svn_return_value + svn import -m "Import from OC" "vga_core.shtml" "http://192.168.100.145/ocsvn/wb_vga/vga_core.shtml" + check_svn_return_value + svn import -m "Import from OC" "vga_core_v2.shtml" "http://192.168.100.145/ocsvn/wb_vga/vga_core_v2.shtml" + check_svn_return_value + popd + pushd "wb_z80" + popd + pushd "wb_zbt" + popd + pushd "wisbone_2_ahb" + popd + pushd "wishbone" + svn import -m "Import from OC" "appnote_01.pdf" "http://192.168.100.145/ocsvn/wishbone/appnote_01.pdf" + check_svn_return_value + svn import -m "Import from OC" "flex.pdf" "http://192.168.100.145/ocsvn/wishbone/flex.pdf" + check_svn_return_value + svn import -m "Import from OC" "press_release_12_08_2002.pdf" "http://192.168.100.145/ocsvn/wishbone/press_release_12_08_2002.pdf" + check_svn_return_value + svn import -m "Import from OC" "soc_bus_comparison.pdf" "http://192.168.100.145/ocsvn/wishbone/soc_bus_comparison.pdf" + check_svn_return_value + svn import -m "Import from OC" "wbspec_b1.pdf" "http://192.168.100.145/ocsvn/wishbone/wbspec_b1.pdf" + check_svn_return_value + svn import -m "Import from OC" "wbspec_b2.pdf" "http://192.168.100.145/ocsvn/wishbone/wbspec_b2.pdf" + check_svn_return_value + svn import -m "Import from OC" "wbspec_b3.pdf" "http://192.168.100.145/ocsvn/wishbone/wbspec_b3.pdf" + check_svn_return_value + popd + pushd "wishbone2ahb" + popd + pushd "wishbone_bfm" + popd + pushd "wishbone_checker" + popd + pushd "wishbone_out_port" + popd + pushd "wishbone_to_ahb" + popd + pushd "wlanmac" + popd + pushd "wlan_modem" + popd + pushd "wpf" + popd + pushd "x25_protocol_interface_project" + popd + pushd "x86soc" + popd + pushd "xge_mac" + popd + pushd "xmatchpro" + svn import -m "Import from OC" "open_xmw2.zip" "http://192.168.100.145/ocsvn/xmatchpro/open_xmw2.zip" + check_svn_return_value + popd + pushd "xtea" + popd + pushd "yacc" + popd + pushd "yadmc" + popd + pushd "yellowstar" + svn import -m "Import from OC" "appendix.pdf" "http://192.168.100.145/ocsvn/yellowstar/appendix.pdf" + check_svn_return_value + svn import -m "Import from OC" "processor.v" "http://192.168.100.145/ocsvn/yellowstar/processor.v" + check_svn_return_value + svn import -m "Import from OC" "report.pdf" "http://192.168.100.145/ocsvn/yellowstar/report.pdf" + check_svn_return_value + svn import -m "Import from OC" "yellowstar_schematics.tar.gz" "http://192.168.100.145/ocsvn/yellowstar/yellowstar_schematics.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "yellowstar_symbols.tar.gz" "http://192.168.100.145/ocsvn/yellowstar/yellowstar_symbols.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "yellow_star.tar.gz" "http://192.168.100.145/ocsvn/yellowstar/yellow_star.tar.gz" + check_svn_return_value + svn import -m "Import from OC" "ys_logo.jpg" "http://192.168.100.145/ocsvn/yellowstar/ys_logo.jpg" + check_svn_return_value + popd + pushd "yoda" + svn import -m "Import from OC" "*" "http://192.168.100.145/ocsvn/yoda/*" + check_svn_return_value + popd + pushd "z80soc" + svn import -m "Import from OC" "mP5170003.JPG" "http://192.168.100.145/ocsvn/z80soc/mP5170003.JPG" + check_svn_return_value + svn import -m "Import from OC" "mP5180007.JPG" "http://192.168.100.145/ocsvn/z80soc/mP5180007.JPG" + check_svn_return_value + svn import -m "Import from OC" "thumb_mP5170003.JPG" "http://192.168.100.145/ocsvn/z80soc/thumb_mP5170003.JPG" + check_svn_return_value + svn import -m "Import from OC" "thumb_mP5180007.JPG" "http://192.168.100.145/ocsvn/z80soc/thumb_mP5180007.JPG" + check_svn_return_value + popd + pushd "zbt_sram_controller" + svn import -m "Import from OC" "ZBTSRAM61NLP_NVP25636A_51218A.pdf" "http://192.168.100.145/ocsvn/zbt_sram_controller/ZBTSRAM61NLP_NVP25636A_51218A.pdf" + check_svn_return_value + popd + pushd "zet86" + svn import -m "Import from OC" "bios.jpg" "http://192.168.100.145/ocsvn/zet86/bios.jpg" + check_svn_return_value + svn import -m "Import from OC" "img_3926.jpg" "http://192.168.100.145/ocsvn/zet86/img_3926.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_bios.jpg" "http://192.168.100.145/ocsvn/zet86/thumb_bios.jpg" + check_svn_return_value + svn import -m "Import from OC" "thumb_img_3926.jpg" "http://192.168.100.145/ocsvn/zet86/thumb_img_3926.jpg" + check_svn_return_value + popd + pushd "zpu" + svn import -m "Import from OC" "compile.PNG" "http://192.168.100.145/ocsvn/zpu/compile.PNG" + check_svn_return_value + svn import -m "Import from OC" "simulator2.PNG" "http://192.168.100.145/ocsvn/zpu/simulator2.PNG" + check_svn_return_value + svn import -m "Import from OC" "simulator3.PNG" "http://192.168.100.145/ocsvn/zpu/simulator3.PNG" + check_svn_return_value + svn import -m "Import from OC" "simulator.PNG" "http://192.168.100.145/ocsvn/zpu/simulator.PNG" + check_svn_return_value + svn import -m "Import from OC" "thumb_compile.PNG" "http://192.168.100.145/ocsvn/zpu/thumb_compile.PNG" + check_svn_return_value + svn import -m "Import from OC" "thumb_simulator2.PNG" "http://192.168.100.145/ocsvn/zpu/thumb_simulator2.PNG" + check_svn_return_value + svn import -m "Import from OC" "thumb_simulator3.PNG" "http://192.168.100.145/ocsvn/zpu/thumb_simulator3.PNG" + check_svn_return_value + svn import -m "Import from OC" "thumb_simulator.PNG" "http://192.168.100.145/ocsvn/zpu/thumb_simulator.PNG" + check_svn_return_value + popd + ALL_DONE="1" + echo "All checkins done" +done

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.