OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /s1_core
    from Rev 108 to Rev 109
    Reverse comparison

Rev 108 → Rev 109

/trunk/tools/bin/run_vcs
1,8 → 1,6
#!/bin/bash
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
test_var S1_ROOT
cd $S1_ROOT/run/sim/vcs
ln -f -s ../../../tests/boot/mem_*.image .
./testbench 2>&1 | tee sim.log
/trunk/tools/bin/update_macrocell
1,7 → 1,6
#!/bin/bash
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
test_var S1_ROOT
 
SRC_LIB=$S1_ROOT/hdl/behav/sparc_libs/u1_lib.v
DST_LIB=$S1_ROOT/hdl/macrocell/sparc_libs/u1_lib.v
/trunk/tools/bin/update_sparccore
15,13 → 15,9
exit 1;
fi
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
if [ -z "$T1_ROOT" ]; then echo "***ERROR***: T1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$T1_ROOT" ]; then echo "***ERROR***: directory '$T1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
# Set source and destination directories
test_var S1_ROOT
test_var T1_ROOT
SRC_DIR=$T1_ROOT/design/sys/iop
DST_DIR=$S1_ROOT/hdl/rtl/sparc_core
 
142,3 → 138,4
cp $SRC_DIR/../../../lib/m1/m1.behV $DST_DIR/m1_lib.v
cp $SRC_DIR/../../../lib/u1/u1.behV $DST_DIR/u1_lib.v
 
 
/trunk/tools/bin/build_dc
1,8 → 1,7
#!/bin/bash
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
test_var S1_ROOT
mkdir -p $S1_ROOT/run/synth/dc
cd $S1_ROOT/run/synth/dc
rm -rf * .syn* # Make clean
mkdir work
/trunk/tools/bin/build_icarus
1,9 → 1,8
#!/bin/bash
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
test_var S1_ROOT
echo "Building testbench using Icarus simulator..."
mkdir -p $S1_ROOT/run/sim/icarus
cd $S1_ROOT/run/sim/icarus
rm -rf *
iverilog -o testbench -c$FILELIST_ICARUS
/trunk/tools/bin/compile_test
6,11 → 6,9
# Parameter is test name without extension (e.g. to compile
# $S1_ROOT/tests/hello.c) just run "compile_test hello".
#
# Note: requires sparc64-linux-gcc (see Download section on
# http://www.srisc.com).
# Note: requires sparc-linux-gnu-gcc from emdebian.org
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
test_var S1_ROOT
 
# Prepare the environment
cd $S1_ROOT/tests
32,14 → 30,14
gcc -o $S1_ROOT/tools/bin/dump2hex.bin $S1_ROOT/tools/src/dump2hex.c
 
# Compile the boot code
sparc64-linux-as -ah -am -o boot/boot.bin boot/boot.s
sparc64-linux-objdump -d -EB -w -z boot/boot.bin > boot/boot.dump
sparc-linux-gnu-as -xarch=v9b -ah -am -o boot/boot.bin boot/boot.s
sparc-linux-gnu-objdump -d -EB -w -z boot/boot.bin > boot/boot.dump
grep " " boot/boot.dump | egrep -v "file format" | dump2hex.bin > boot/rom_harness.hex
 
# Compile the C test
sparc64-linux-gcc -c -O0 $1.c
sparc64-linux-ld -Ur --script=$S1_ROOT/tools/src/linker.map -EB -o $1.bin $1.o
sparc64-linux-objdump -d -EB -w -z $1.bin > $1.dump
sparc-linux-gnu-gcc -c -O0 $1.c
sparc-linux-gnu-ld -Ur --script=$S1_ROOT/tools/src/linker.map -EB -o $1.bin $1.o
sparc-linux-gnu-objdump -d -EB -w -z $1.bin > $1.dump
grep " " $1.dump | egrep -v "file format" | dump2hex.bin > ram_harness.hex
 
#rm -f *.o *~ *.bin *.dump # Make clean
/trunk/tools/bin/build_vcs
1,8 → 1,7
#!/bin/bash
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
test_var S1_ROOT
mkdir -p $S1_ROOT/run/sim/vcs
cd $S1_ROOT/run/sim/vcs
rm -rf *
vcs +cli -line -timescale=1ns/100ps -f $FILELIST_VCS
/trunk/tools/bin/clean_env
6,10 → 6,8
# synthesis, so at the end you will have to recompile everything
# (it acts like a "make clean").
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
find $S1_ROOT -name CVS -exec rm -rf {} \;
test_var S1_ROOT
find $S1_ROOT -name ".svn" -exec rm -rf {} \;
find $S1_ROOT -name "*~" -exec rm -rf {} \;
find $S1_ROOT -name "#*#" -exec rm -rf {} \;
find $S1_ROOT -name "*.bin" -exec rm -rf {} \;
/trunk/tools/bin/run_icarus
1,8 → 1,6
#!/bin/bash
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
test_var S1_ROOT
cd $S1_ROOT/run/sim/icarus
ln -f -s $S1_ROOT/tests/boot/rom_harness.hex .
ln -f -s $S1_ROOT/tests/ram_harness.hex .
/trunk/tools/bin/build_fpga
1,8 → 1,7
#!/bin/bash
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
test_var S1_ROOT
mkdir -p $S1_ROOT/run/synth/fpga
cd $S1_ROOT/run/synth/fpga
iverilog -g1 -t xnf -o fpga.edif -c$FILELIST_FPGA 2>&1 | tee synth.log
 
/trunk/tools/bin/build_xst
1,8 → 1,7
#!/bin/bash
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
test_var S1_ROOT
mkdir -p $S1_ROOT/run/synth/xst
cd $S1_ROOT/run/synth/xst
rm -rf * # Make clean
xst -ifn $S1_ROOT/tools/src/build_xst.cmd -ofn synth.log
/trunk/tools/bin/update_filelist
1,7 → 1,6
#!/bin/bash
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
test_var S1_ROOT
 
# Create the Icarus filelist (for Icarus simulation)
rm -f $FILELIST_ICARUS
/trunk/tools/bin/tar_env
4,9 → 4,7
# you should call "clean_env" before calling this,
# otherwise you will get a huge file.
 
if [ -z "$S1_ROOT" ]; then echo "***ERROR***: S1_ROOT variable is undefined, please set it and run 'source sourceme'."; exit 1; fi
if ! [ -d "$S1_ROOT" ]; then echo "***ERROR***: directory '$S1_ROOT' does not exist, please check it and run 'source sourceme' again."; exit 1; fi
 
test_env S1_ROOT
TARBALL=$HOME/simplyrisc-s1.tar
 
rm -f $TARBALL* $S1_ROOT/*.tar*

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.