OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /s1_core
    from Rev 109 to Rev 110
    Reverse comparison

Rev 109 → Rev 110

trunk/tools/bin/build_fpga Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: trunk/tools/bin/build_vcs =================================================================== --- trunk/tools/bin/build_vcs (revision 109) +++ trunk/tools/bin/build_vcs (nonexistent) @@ -1,10 +0,0 @@ -#!/bin/bash - -test_var S1_ROOT -mkdir -p $S1_ROOT/run/sim/vcs -cd $S1_ROOT/run/sim/vcs -rm -rf * -vcs +cli -line -timescale=1ns/100ps -f $FILELIST_VCS -mv -f simv testbench -mv -f simv.daidir testbench.daidir -
trunk/tools/bin/build_vcs Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: trunk/tools/bin/build_icarus =================================================================== --- trunk/tools/bin/build_icarus (revision 109) +++ trunk/tools/bin/build_icarus (nonexistent) @@ -1,10 +0,0 @@ -#!/bin/bash - -test_var S1_ROOT -echo "Building testbench using Icarus simulator..." -mkdir -p $S1_ROOT/run/sim/icarus -cd $S1_ROOT/run/sim/icarus -rm -rf * -iverilog -o testbench -c$FILELIST_ICARUS -echo -e "Done!" -
trunk/tools/bin/build_icarus Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: trunk/tools/bin/build_xst =================================================================== --- trunk/tools/bin/build_xst (revision 109) +++ trunk/tools/bin/build_xst (nonexistent) @@ -1,18 +0,0 @@ -#!/bin/bash - -test_var S1_ROOT -mkdir -p $S1_ROOT/run/synth/xst -cd $S1_ROOT/run/synth/xst -rm -rf * # Make clean -xst -ifn $S1_ROOT/tools/src/build_xst.cmd -ofn synth.log - -#export TOP_LEVEL="s1_top" -#ngdbuild ${TOP_LEVEL} -#map -o ${TOP_LEVEL}_map.ncd ${TOP_LEVEL} -#par -w -ol high ${TOP_LEVEL}_map.ncd ${TOP_LEVEL}.ncd -#bitgen -g startupclk:Cclk -w ${TOP_LEVEL} ${TOP_LEVEL}.bit -#trce ${TOP_LEVEL} ${TOP_LEVEL}_map - - - -
trunk/tools/bin/build_xst Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: trunk/tools/bin/build_dc =================================================================== --- trunk/tools/bin/build_dc (revision 109) +++ trunk/tools/bin/build_dc (nonexistent) @@ -1,10 +0,0 @@ -#!/bin/bash - -test_var S1_ROOT -mkdir -p $S1_ROOT/run/synth/dc -cd $S1_ROOT/run/synth/dc -rm -rf * .syn* # Make clean -mkdir work -ln -s -f ../../../tools/src/synopsys_dc.setup .synopsys_dc.setup -dc_shell -tcl_mode -f $FILELIST_DC 2>&1 | tee synth.log -
trunk/tools/bin/build_dc Property changes : Deleted: svn:executable ## -1 +0,0 ## -* \ No newline at end of property Index: trunk/tools/bin/test_var =================================================================== --- trunk/tools/bin/test_var (nonexistent) +++ trunk/tools/bin/test_var (revision 110) @@ -0,0 +1,24 @@ +#!/bin/bash + +# This script is not supposed to be run stand-alone, it is usually included by other scripts +# to check that an environment variable is set and that the corresponding directory does exist. + +if (test $# != 1) then + echo "Usage: $0 VAR_NAME" + exit 1; +fi + +var_name=$1 +eval dir_name=\$$var_name + +if [ -z "$dir_name" ]; then + echo "***ERROR***: Environment variable $var_name is undefined, please set it in the sourceme file and source it." + exit 1 +fi + +if [ ! -d "$dir_name" ]; then + echo "***ERROR***: Directory $dir_name does not exist, please check it and run 'source sourceme' again." + exit 1 +fi + +
trunk/tools/bin/test_var Property changes : Added: svn:executable ## -0,0 +1 ## +* \ No newline at end of property Index: trunk/tools/bin/s1_synth =================================================================== --- trunk/tools/bin/s1_synth (nonexistent) +++ trunk/tools/bin/s1_synth (revision 110) @@ -0,0 +1,37 @@ +#!/bin/bash + +if ( (test $# != 1) || ((test $1 != "xst") && (test $1 != "fpga") && (test $1 != "dc")) ) then + echo "Usage: $0 {xst|fpga|dc}" + exit 1; +fi + +test_var S1_ROOT + +echo -e "Synthesizing the design using $1" +mkdir -p $S1_ROOT/run/synth/$1 +cd $S1_ROOT/run/synth/$1 +rm -rf * .syn* + +if(test $1 == "xst") then + xst -ifn $S1_ROOT/tools/src/build_xst.cmd -ofn synth.log + #export TOP_LEVEL="s1_top" + #ngdbuild ${TOP_LEVEL} + #map -o ${TOP_LEVEL}_map.ncd ${TOP_LEVEL} + #par -w -ol high ${TOP_LEVEL}_map.ncd ${TOP_LEVEL}.ncd + #bitgen -g startupclk:Cclk -w ${TOP_LEVEL} ${TOP_LEVEL}.bit + #trce ${TOP_LEVEL} ${TOP_LEVEL}_map +fi + +if(test $1 == "fpga") then + iverilog -g1 -t xnf -o fpga.edif -c$FILELIST_FPGA 2>&1 | tee synth.log +fi + +if(test $1 == "dc") then + mkdir work + ln -s -f ../../../tools/src/synopsys_dc.setup .synopsys_dc.setup + dc_shell -tcl_mode -f $FILELIST_DC 2>&1 | tee synth.log +fi + +echo -e "Synthesis with $1 done!" + + Index: trunk/tools/bin/s1_sim_build =================================================================== --- trunk/tools/bin/s1_sim_build (nonexistent) +++ trunk/tools/bin/s1_sim_build (revision 110) @@ -0,0 +1,27 @@ +#!/bin/bash + +if ( (test $# != 1) || ((test $1 != "icarus") && (test $1 != "vcs")) ) then + echo "Usage: $0 {icarus|vcs}" + exit 1; +fi + +test_var S1_ROOT + +echo -e "Building design and testbench using $1" +mkdir -p $S1_ROOT/run/sim/$1 +cd $S1_ROOT/run/sim/$1 +rm -rf * + +if(test $1 == "icarus") then + iverilog -o testbench -c$FILELIST_ICARUS +fi + +if(test $1 == "vcs") then + vcs +cli -line -timescale=1ns/100ps -f $FILELIST_VCS + mv -f simv testbench + mv -f simv.daidir testbench.daidir +fi + +echo -e "Build with $1 done!" + +

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.