OpenCores
URL https://opencores.org/ocsvn/s6soc/s6soc/trunk

Subversion Repositories s6soc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /s6soc/trunk
    from Rev 8 to Rev 7
    Reverse comparison

Rev 8 → Rev 7

/sw/host/wbregs.cpp File deleted
/sw/host/flashdrvr.cpp File deleted
/sw/host/twoc.cpp File deleted
/sw/host/Makefile File deleted
/sw/host/devbus.h File deleted
/sw/host/regdefs.cpp File deleted
/sw/host/flashdrvr.h File deleted
/sw/host/twoc.h File deleted
/sw/host/deppbus.cpp File deleted
/sw/host/regdefs.h File deleted
/sw/host/deppbus.h File deleted
/rtl/wbdeppsimple.v File deleted
/rtl/Makefile File deleted
/rtl/rtclight.v
53,8 → 53,8
// A once-per-day strobe on the last clock of the day
o_ppd);
parameter DEFAULT_SPEED = 32'd2814750,
CKBITS = 24, // 100 Mhz
IMPLEMENT_TIMER=1, IMPLEMENT_STOPWATCH=1;
IMPLEMENT_TIMER=1, IMPLEMENT_STOPWATCH=1,
CKBITS = 24; // 100 Mhz
input i_clk;
input i_wb_cyc, i_wb_stb, i_wb_we;
input [2:0] i_wb_addr;
412,17 → 412,9
// meaning that my verilator simulation is running about 300x slower
// than board time.
// initial ckspeed = 32'd786432000;
generate
if (CKBITS < 32)
begin
always @(posedge i_clk)
if ((sp_sel)&&(i_wb_we))
ckspeed <= i_wb_data[(CKBITS-1):0];
end else begin
always @(posedge i_clk)
if ((sp_sel)&&(i_wb_we))
ckspeed <= i_wb_data;
end endgenerate
always @(posedge i_clk)
if ((sp_sel)&&(i_wb_we))
ckspeed <= i_wb_data;
 
assign o_interrupt = tm_int || al_int;
 
431,14 → 423,6
// connecting this module to a year/month/date date/calendar module.
assign o_ppd = (ck_ppd)&&(ck_pps);
 
wire [31:0] w_ckspeed;
generate
if (CKBITS < 32)
assign w_ckspeed = { {(32-CKBITS){1'b0}}, ckspeed };
else
assign w_ckspeed = ckspeed;
endgenerate
 
always @(posedge i_clk)
case(i_wb_addr[2:0])
3'b000: o_data <= { 10'h0, ck_last_clock };
445,7 → 429,7
3'b001: o_data <= bus_timer_return;
3'b010: o_data <= bus_stopwatch_return;
3'b011: o_data <= { 6'h00, al_tripped, al_enabled, 2'b00, alarm_time };
3'b100: o_data <= w_ckspeed;
3'b100: o_data <= ckspeed;
default: o_data <= 32'h000;
endcase
 
/rtl/cpu/ziptimer.v
121,7 → 121,7
initial o_int = 1'b0;
always @(posedge i_clk)
if (i_ce)
o_int <= (r_running)&&(r_value == { {(VW-1){1'b0}}, 1'b1 });
o_int<=(r_running)&&(r_value == {{(VW-1){1'b0}},1'b1 });
else
o_int <= 1'b0;
 
132,9 → 132,9
 
generate
if (VW < BW-1)
assign o_wb_data = { r_auto_reload, r_value };
else
assign o_wb_data = { r_auto_reload, {(BW-1-VW){1'b0}}, r_value };
else
assign o_wb_data = { r_auto_reload, r_value };
endgenerate
 
endmodule
/rtl/builddate.v
1,9 → 132,9
`define DATESTAMP 32'h20160423
`define DATESTAMP 32'h20160422
/rtl/busmaster.v
59,10 → 59,11
o_uart_setup,
// GPIO lines
i_gpio, o_gpio);
parameter BUS_ADDRESS_WIDTH=23, ZIP_ADDRESS_WIDTH=BUS_ADDRESS_WIDTH,
parameter ZIP_ADDRESS_WIDTH=23, ZA=ZIP_ADDRESS_WIDTH,
CMOD_ZIPCPU_RESET_ADDRESS=23'h400100,
ZA=ZIP_ADDRESS_WIDTH, BAW=BUS_ADDRESS_WIDTH; // 24bits->2,258,23b->2181
BUS_ADDRESS_WIDTH=23, BAW=23; // 24bits->2,258,23b->2181
input i_clk, i_rst;
// The bus commander, via an external JTAG port
input i_rx_stb;
input [7:0] i_rx_data;
output reg o_tx_stb;
259,7 → 260,7
&&(wb_addr[3:0]==4'h0)&&(wb_we),
wb_data, pic_data, int_vector, w_interrupt);
 
initial bus_err_addr = 0; // `DATESTAMP;
initial bus_err_addr = `DATESTAMP;
always @(posedge i_clk)
if (wb_err)
bus_err_addr <= wb_addr;
289,7 → 290,7
assign rtc_ack = r_rtc_ack;
 
rtclight
#(23'h35afe5,23,0,0) // 80 MHz clock
#(32'h35afe5,23,0,0) // 80 MHz clock
thetime(i_clk, wb_cyc,
((wb_stb)&&(rtc_sel)), wb_we,
{ 1'b0, wb_addr[1:0] }, wb_data, rtc_data,
/rtl/spio.v
6,8 → 6,6
//
// Purpose:
//
// With the USB cord on top, the board facing you, LED[0] is on the left.
//
// Creator: Dan Gisselquist, Ph.D.
// Gisselquist Technology, LLC
//
/rtl/alttop.v
49,10 → 49,7
i_uart, o_uart, i_uart_cts, o_uart_rts,
i_kp_row, o_kp_col,
i_gpio, o_gpio,
io_scl, io_sda,
i_depp_astb_n, i_depp_dstb_n, i_depp_write_n, io_depp_data,
o_depp_wait
);
io_scl, io_sda);
input i_clk_8mhz;
//
// Quad SPI Flash
79,10 → 76,6
output wire [15:2] o_gpio;
// and our I2C port
inout io_scl, io_sda;
// Finally, the DEPP interface ... if so enabled
input i_depp_astb_n, i_depp_dstb_n, i_depp_write_n;
inout [7:0] io_depp_data;
output wire o_depp_wait;
 
//
// Clock management
114,6 → 107,22
.RST(1'b0));
 
//
// Generate active-high reset.
//
// Actually, we don't. Instead, let this board reset through
// the reconfiguration/power on process and we never use this
// wire.
//
/*
reg r_reset;
initial r_reset = 1'b1;
always @(posedge i_clk_12mhz)
r_reset <= 1'b0;
*/
assign reset_s = 1'b0;
 
 
//
// The UART serial interface
//
// Perhaps this should be part of our simulation model as well.
128,7 → 137,7
 
wire rx_break, rx_parity_err, rx_frame_err, rx_ck_uart, tx_break;
assign tx_break = 1'b0;
rxuart rcvuart(clk_s, 1'b0, uart_setup,
rxuart rcvuart(clk_s, reset_s, uart_setup,
i_uart, rx_stb, rx_data,
rx_break, rx_parity_err, rx_frame_err, rx_ck_uart);
txuart tcvuart(clk_s, reset_s, uart_setup, tx_break, tx_stb, tx_data,
150,15 → 159,9
wire [3:0] qspi_dat;
wire [1:0] qspi_bmod;
wire [15:0] w_gpio;
wire [7:0] w_depp_data;
 
`ifndef BYPASS_LOGIC
altbusmaster slavedbus(clk_s, reset_s,
// External ... bus control (if enabled)
// DEPP I/O Control
i_depp_astb_n, i_depp_dstb_n, i_depp_write_n,
io_depp_data, w_depp_data, o_depp_wait,
// External UART interface
rx_stb, rx_data, tx_stb, tx_data, tx_busy, o_uart_rts,
// SPI/SD-card flash
o_qspi_cs_n, o_qspi_sck, qspi_dat, io_qspi_dat, qspi_bmod,
184,34 → 187,6
assign io_qspi_dat = (~qspi_bmod[1])?({2'b11,1'bz,qspi_dat[0]})
:((qspi_bmod[0])?(4'bzzzz):(qspi_dat[3:0]));
 
`else
reg [26:0] r_counter;
always @(posedge clk_s)
r_counter <= r_counter+1;
assign o_led[0] = r_counter[26];
assign o_led[1] = r_counter[25];
assign o_led[2] = r_counter[24];
assign o_led[3] = r_counter[23];
// assign o_led[0] = 1'b1;
// assign o_led[1] = 1'b0;
// assign o_led[2] = 1'b1;
// assign o_led[3] = 1'b0;
 
assign w_gpio = 16'h3;
assign o_pwm = 1'b0;
assign o_pwm_shutdown_n = 1'b0;
assign o_pwm_gain = 1'b0;
 
assign o_depp_wait = (~i_depp_astb_n);
assign w_depp_data = 8'h00;
assign io_qspi_dat = 4'bzzzz;
assign o_qspi_cs_n = 1'b1;
assign o_qspi_sck = 1'b1;
 
assign uart_setup = 30'h080002b6;
 
assign o_uart_rts = 1'b1;
`endif
//
// I2C support
//
226,9 → 201,4
assign io_scl = (w_gpio[1]) ? 1'bz : 1'b0;
assign o_gpio[15:2] = w_gpio[15:2];
 
//
// DEPP return data support
//
assign io_depp_data = (~i_depp_write_n)? 8'bzzzz_zzzz : w_depp_data;
 
endmodule
/rtl/altbusmaster.v
43,13 → 43,9
`define FANCY_ICAP_ACCESS
`endif
`define FLASH_ACCESS
`define DBG_SCOPE // About 204 LUTs, at 2^6 addresses
`define INCLUDE_RTC // About 90 LUTs
`define CFG_SCOPE
`define INCLUDE_RTC // 2017 slice LUTs w/o, 2108 with (!!!)
module altbusmaster(i_clk, i_rst,
// DEPP I/O Control
i_depp_astb_n, i_depp_dstb_n, i_depp_write_n,
i_depp_data, o_depp_data, o_depp_wait,
// External UART interface
i_rx_stb, i_rx_data, o_tx_stb, o_tx_data, i_tx_busy,
o_uart_rts,
// The SPI Flash lines
62,19 → 58,15
o_uart_setup,
// GPIO lines
i_gpio, o_gpio);
parameter BUS_ADDRESS_WIDTH=23,
BAW=BUS_ADDRESS_WIDTH; // 24bits->2,258,23b->2181
parameter ZIP_ADDRESS_WIDTH=23, ZA=ZIP_ADDRESS_WIDTH,
CMOD_ZIPCPU_RESET_ADDRESS=23'h400100,
BUS_ADDRESS_WIDTH=23, BAW=23; // 24bits->2,258,23b->2181
input i_clk, i_rst;
// The bus commander, via an external DEPP port
input i_depp_astb_n, i_depp_dstb_n, i_depp_write_n;
input wire [7:0] i_depp_data;
output wire [7:0] o_depp_data;
output wire o_depp_wait;
// Serial inputs
// The bus commander, via an external JTAG port
input i_rx_stb;
input [7:0] i_rx_data;
output reg o_tx_stb;
output reg [7:0] o_tx_data;
output wire o_tx_stb;
output wire [7:0] o_tx_data;
input i_tx_busy;
output wire o_uart_rts;
// SPI flash control
103,7 → 95,7
//
//
wire wb_cyc, wb_stb, wb_we, wb_stall, wb_ack, wb_err;
wire [31:0] wb_data, wb_idata, w_wbu_addr;
wire [31:0] wb_data, wb_idata;
wire [(BAW-1):0] wb_addr;
wire [5:0] io_addr;
assign io_addr = {
117,12 → 109,27
// Wires going to devices
// And then headed back home
wire w_interrupt;
`ifdef WBUBUS
// Oh, and the debug control for the ZIP CPU
wire zip_dbg_ack, zip_dbg_stall;
wire [31:0] zip_dbg_data;
 
 
//
//
// The BUS master (source): The WB to UART conversion bus
//
//
wire zip_cyc, zip_stb, zip_we, zip_cpu_int;
wire [(ZA-1):0] w_zip_addr;
wire [(BAW-1):0] zip_addr;
wire [31:0] zip_data;
// and then coming from devices
wire zip_ack, zip_stall, zip_err;
wire dwb_we, dwb_stb, dwb_cyc, dwb_ack, dwb_stall, dwb_err;
wire [(BAW-1):0] dwb_addr;
wire [31:0] dwb_odata;
 
// wire [31:0] zip_debug;
wbubus busbdriver(i_clk, i_rx_stb, i_rx_data,
// The wishbone interface
wb_cyc, wb_stb, wb_we, w_wbu_addr, wb_data,
131,25 → 138,12
// Provide feedback to the UART
o_tx_stb, o_tx_data, i_tx_busy);
assign o_uart_rts = (~rx_rdy);
`else
//
//
// Another BUS master (source): A conversion from DEPP to busmaster
//
//
wbdeppsimple deppdrive(i_clk,
i_depp_astb_n, i_depp_dstb_n, i_depp_write_n,
i_depp_data, o_depp_data, o_depp_wait,
wb_cyc, wb_stb, wb_we, w_wbu_addr, wb_data,
wb_ack, wb_stall, wb_err, wb_idata,
w_interrupt);
`endif
 
generate
if (BAW < 32)
assign wb_addr = w_wbu_addr[(BAW-1):0];
if (ZA < BAW)
assign wb_addr = { {(BAW-ZA){1'b0}}, w_wbu_addr };
else
assign wb_addr = w_wbu_addr;
assign wb_addr = w_zip_addr;
endgenerate
 
wire io_sel, flash_sel, flctl_sel, scop_sel, cfg_sel, mem_sel,
160,7 → 154,7
assign rtc_stall = 1'b0;
`endif
wire io_stall, flash_stall, scop_stall, cfg_stall, mem_stall;
reg io_ack;
reg io_ack, uart_ack;
 
wire [31:0] flash_data, scop_data, cfg_data, mem_data, pwm_data,
spio_data, gpio_data, uart_data;
168,6 → 162,7
reg [(BAW-1):0] bus_err_addr;
 
assign wb_ack = (wb_cyc)&&((io_ack)||(scop_ack)||(cfg_ack)
||(uart_ack)
`ifdef INCLUDE_RTC
||(rtc_ack)
`endif
191,8 → 186,9
: 32'h00))));
*/
assign wb_idata = (io_ack|scop_ack)?((io_ack )? io_data : scop_data)
: ((cfg_ack|uart_ack) ? ((cfg_ack)?cfg_data: uart_data)
: ((mem_ack|rtc_ack)?((mem_ack)?mem_data:rtc_data)
: ((cfg_ack) ? cfg_data : flash_data));//if (flash_ack)
: flash_data)); // if (flash_ack)
assign wb_err = ((wb_cyc)&&(wb_stb)&&(none_sel || many_sel)) || many_ack;
 
// Addresses ...
199,9 → 195,9
// 0000 xxxx configuration/control registers
// 1 xxxx xxxx xxxx xxxx xxxx Up-sampler taps
assign io_sel =((wb_cyc)&&(io_addr[5:0]==6'h1));
assign scop_sel =((wb_cyc)&&(io_addr[5:0]==6'h2));
assign flctl_sel=((wb_cyc)&&(io_addr[5:0]==6'h3));
assign cfg_sel =((wb_cyc)&&(io_addr[5:1]==5'h2));
assign flctl_sel= 1'b0; // ((wb_cyc)&&(io_addr[5:1]==5'h1));
assign scop_sel =((wb_cyc)&&(io_addr[5:1]==5'h1));
assign cfg_sel =((wb_cyc)&&(io_addr[5:2]==4'h1));
// zip_sel is not on the bus at this point
`ifdef INCLUDE_RTC
assign rtc_sel =((wb_cyc)&&(io_addr[5:3]==3'h1));
210,15 → 206,16
assign flash_sel=((wb_cyc)&&(io_addr[5]));
 
assign none_sel =((wb_cyc)&&(wb_stb)&&(io_addr==6'h0));
/*
assign many_sel =((wb_cyc)&&(wb_stb)&&(
{3'h0, io_sel}
+{3'h0, flctl_sel}
+{3'h0, scop_sel}
// +{3'h0, scop_sel}
+{3'h0, cfg_sel}
+{3'h0, rtc_sel}
+{3'h0, mem_sel}
+{3'h0, flash_sel} > 1));
// assign many_sel = 1'b0;
*/
assign many_sel = 1'b0;
 
wire many_ack;
assign many_ack =((wb_cyc)&&(
241,16 → 238,17
reg rx_rdy;
wire [10:0] int_vector;
assign int_vector = { gpio_int, pwm_int, keypad_int,
~i_tx_busy, rx_rdy, tmrb_int, tmra_int,
1'b0, rx_rdy, tmrb_int, tmra_int,
rtc_interrupt, scop_interrupt,
wb_err, button_int };
 
wire [31:0] pic_data;
icontrol #(11) pic(i_clk, 1'b0, (wb_stb)&&(io_sel)
icontrol #(11) pic(i_clk, 1'b0,
(wb_cyc)&&(wb_stb)&&(io_sel)
&&(wb_addr[3:0]==4'h0)&&(wb_we),
wb_data, pic_data, int_vector, w_interrupt);
 
initial bus_err_addr = 0; // `DATESTAMP;
initial bus_err_addr = `DATESTAMP;
always @(posedge i_clk)
if (wb_err)
bus_err_addr <= wb_addr;
257,13 → 255,11
 
wire zta_ack, zta_stall, ztb_ack, ztb_stall;
wire [31:0] timer_a, timer_b;
ziptimer #(32,20)
zipt_a(i_clk, 1'b0, 1'b1, wb_cyc,
ziptimer zipt_a(i_clk, 1'b0, 1'b1, wb_cyc,
(wb_stb)&&(io_sel)&&(wb_addr[3:0]==4'h2),
wb_we, wb_data, zta_ack, zta_stall, timer_a,
tmra_int);
ziptimer #(32,20)
zipt_b(i_clk, 1'b0, 1'b1, wb_cyc,
ziptimer zipt_b(i_clk, 1'b0, 1'b1, wb_cyc,
(wb_stb)&&(io_sel)&&(wb_addr[3:0]==4'h3),
wb_we, wb_data, ztb_ack, ztb_stall, timer_b,
tmrb_int);
280,7 → 276,7
assign rtc_ack = r_rtc_ack;
 
rtclight
#(23'h35afe5,23,0,0) // 80 MHz clock
#(32'h35afe5) // 80 MHz clock
thetime(i_clk, wb_cyc,
((wb_stb)&&(rtc_sel)), wb_we,
{ 1'b0, wb_addr[1:0] }, wb_data, rtc_data,
342,38 → 338,8
// hardware buffer.
//
// We'll add the flag for two stop bits.
// assign o_uart_setup = 30'h080002b6; // 115200 MBaud @ an 80MHz clock
assign o_uart_setup = 30'h0000208d; // 9600 MBaud, 8N1
assign o_uart_setup = 30'h080002b6; // 115200 MBaud @ an 80MHz clock
 
initial o_tx_stb = 1'b0;
initial o_tx_data = 8'h00;
always @(posedge i_clk)
if ((wb_stb)&&(io_sel)&&(wb_addr[3:0]==4'h7)&&(wb_we))
begin
o_tx_data <= wb_data[7:0];
o_tx_stb <= 1'b1;
end
else if ((o_tx_stb)&&(~i_tx_busy))
o_tx_stb <= 1'b0;
initial rx_rdy = 1'b0;
always @(posedge i_clk)
if (i_rx_stb)
r_rx_data <= i_rx_data;
always @(posedge i_clk)
begin
if((wb_stb)&&(io_sel)&&(wb_addr[3:0]==4'h7)&&(~wb_we))
rx_rdy <= i_rx_stb;
else if (i_rx_stb)
rx_rdy <= (rx_rdy | i_rx_stb);
end
assign o_uart_rts = (~rx_rdy);
assign uart_data = { 23'h0, ~rx_rdy, r_rx_data };
//
// uart_ack gets returned as part of io_ack, since that happens when
// io_sel and wb_stb are defined
//
// always @(posedge i_clk)
// uart_ack<= ((wb_stb)&&(io_sel)&&(wb_addr[3:0]==4'h7));
 
 
 
383,7 → 349,7
wire flash_cs_n, flash_sck, flash_mosi;
wbqspiflashp #(24) flashmem(i_clk,
wb_cyc,(wb_stb&&flash_sel),(wb_stb)&&(flctl_sel),wb_we,
wb_addr[(24-3):0], wb_data,
wb_addr[21:0], wb_data,
flash_ack, flash_stall, flash_data,
o_qspi_sck, o_qspi_cs_n, o_qspi_mod, o_qspi_dat, i_qspi_dat,
flash_interrupt);
411,17 → 377,8
//
// ON-CHIP RAM MEMORY ACCESS
//
`ifdef IMPLEMENT_ONCHIP_RAM
memdev #(12) ram(i_clk, wb_cyc, (wb_stb)&&(mem_sel), wb_we,
wb_addr[11:0], wb_data, mem_ack, mem_stall, mem_data);
`else
assign mem_data = 32'h00;
assign mem_stall = 1'b0;
reg r_mem_ack;
always @(posedge i_clk)
r_mem_ack <= (wb_cyc)&&(wb_stb)&&(mem_sel);
assign mem_ack = r_mem_ack;
`endif
 
//
//
432,22 → 389,15
//
wire [31:0] scop_cfg_data;
wire scop_cfg_ack, scop_cfg_stall, scop_cfg_interrupt;
`ifdef DBG_SCOPE
`ifdef CFG_SCOPE
wire scop_cfg_trigger;
assign scop_cfg_trigger = (wb_cyc)&&(wb_stb)&&(cfg_sel);
wbscope #(5'ha) wbcfgscope(i_clk, 1'b1, scop_cfg_trigger, cfg_scope,
// Wishbone interface
i_clk, wb_cyc, (wb_stb)&&(scop_sel),
i_clk, wb_cyc, ((wb_stb)&&(scop_sel)&&(wb_addr[2:1]==2'b01)),
wb_we, wb_addr[0], wb_data,
scop_cfg_ack, scop_cfg_stall, scop_cfg_data,
scop_cfg_interrupt);
`else
reg r_scop_cfg_ack;
always @(posedge i_clk)
r_scop_cfg_ack <= (wb_cyc)&&(wb_stb)&&(scop_sel);
assign scop_cfg_ack = r_scop_cfg_ack;
assign scop_cfg_data = 32'h000;
assign scop_cfg_stall= 1'b0;
`endif
 
assign scop_interrupt = scop_cfg_interrupt;
457,3 → 407,4
 
endmodule
 
// 0x8684 interrupts ...???
/rtl/wbpwmaudio.v
120,7 → 120,7
initial timer = DEFAULT_RELOAD;
always @(posedge i_clk)
if (timer == 0)
timer <= w_reload_value;
timer <= {{(32-TIMING_BITS){1'b0}}, w_reload_value };
else
timer <= timer - {{(TIMING_BITS-1){1'b0}},1'b1};
 
/rtl/wbqspiflash.v
52,7 → 52,7
//
///////////////////////////////////////////////////////////////////////////
//
`include "flashconfig.v"
`include "flash_config.v"
//
`define WBQSPI_RESET 0
`define WBQSPI_RESET_QUADMODE 1
/Makefile
69,11 → 69,8
axload:
djtgcfg enum
djtgcfg init -d CmodS6
djtgcfg erase -d CmodS6 -i 0
djtgcfg prog -d CmodS6 -i 0 -f xilinx/alttop.bit
# Might also be able to do a ...
# djtgcfg erase -d CmodS6 -i 0
# but I can't speak to whether it would be useful or not.
 
xload:
djtgcfg init -d CmodS6
djtgcfg prog -d CmodS6 -i 0 -f xilinx/toplevel.bit
/cmod.ucf
69,18 → 69,18
NET "io_qspi_dat<3>" LOC="P10" | IOSTANDARD = LVCMOS33;
 
#DEPP Signals
NET "o_depp_wait" LOC = "B6" | IOSTANDARD = LVCMOS33;
NET "i_depp_astb_n" LOC = "A6" | IOSTANDARD = LVCMOS33;
NET "i_depp_dstb_n" LOC = "B7" | IOSTANDARD = LVCMOS33;
NET "i_depp_write_n" LOC = "A7" | IOSTANDARD = LVCMOS33;
NET "io_depp_data<0>" LOC = "B9" | IOSTANDARD = LVCMOS33;
NET "io_depp_data<1>" LOC = "A9" | IOSTANDARD = LVCMOS33;
NET "io_depp_data<2>" LOC = "B10" | IOSTANDARD = LVCMOS33;
NET "io_depp_data<3>" LOC = "A10" | IOSTANDARD = LVCMOS33;
NET "io_depp_data<4>" LOC = "B11" | IOSTANDARD = LVCMOS33;
NET "io_depp_data<5>" LOC = "A11" | IOSTANDARD = LVCMOS33;
NET "io_depp_data<6>" LOC = "B12" | IOSTANDARD = LVCMOS33;
NET "io_depp_data<7>" LOC = "A12" | IOSTANDARD = LVCMOS33;
# NET "DEPP_WAIT" LOC = "B6" | IOSTANDARD = LVCMOS33;
# NET "DEPP_ASTB" LOC = "A6" | IOSTANDARD = LVCMOS33;
# NET "DEPP_DSTB" LOC = "B7" | IOSTANDARD = LVCMOS33;
# NET "DEPP_WRITE" LOC = "A7" | IOSTANDARD = LVCMOS33;
# NET "DBUS<0>" LOC = "B9" | IOSTANDARD = LVCMOS33;
# NET "DBUS<1>" LOC = "A9" | IOSTANDARD = LVCMOS33;
# NET "DBUS<2>" LOC = "B10" | IOSTANDARD = LVCMOS33;
# NET "DBUS<3>" LOC = "A10" | IOSTANDARD = LVCMOS33;
# NET "DBUS<4>" LOC = "B11" | IOSTANDARD = LVCMOS33;
# NET "DBUS<5>" LOC = "A11" | IOSTANDARD = LVCMOS33;
# NET "DBUS<6>" LOC = "B12" | IOSTANDARD = LVCMOS33;
# NET "DBUS<7>" LOC = "A12" | IOSTANDARD = LVCMOS33;
 
#IO PORTs
 
137,16 → 137,6
NET "i_gpio<14>" LOC = "G2" | IOSTANDARD = LVCMOS33;
NET "i_gpio<15>" LOC = "J1" | IOSTANDARD = LVCMOS33;
 
NET "o_kp_col<0>" LOC = "J2" | IOSTANDARD = LVCMOS33 | PULLUP;
NET "o_kp_col<1>" LOC = "K1" | IOSTANDARD = LVCMOS33 | PULLUP;
NET "o_kp_col<2>" LOC = "P7" | IOSTANDARD = LVCMOS33 | PULLUP;
NET "o_kp_col<3>" LOC = "D13" | IOSTANDARD = LVCMOS33 | PULLUP;
 
NET "i_kp_row<0>" LOC = "E13" | IOSTANDARD = LVCMOS33 | PULLUP;
NET "i_kp_row<1>" LOC = "N5" | IOSTANDARD = LVCMOS33 | PULLUP;
NET "i_kp_row<2>" LOC = "P12" | IOSTANDARD = LVCMOS33 | PULLUP;
NET "i_kp_row<3>" LOC = "N6" | IOSTANDARD = LVCMOS33 | PULLUP;
 
# NET "PORTA<0>" LOC = "P5" | IOSTANDARD = LVCMOS33 | PULLUP; # PIO01, i_uart
# NET "PORTA<1>" LOC = "N5" | IOSTANDARD = LVCMOS33 | PULLUP; # PIO02, o_uart
# NET "PORTA<2>" LOC = "N6" | IOSTANDARD = LVCMOS33 | PULLUP; # PIO03, io_scl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.