OpenCores
URL https://opencores.org/ocsvn/s6soc/s6soc/trunk

Subversion Repositories s6soc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /s6soc/trunk
    from Rev 6 to Rev 7
    Reverse comparison

Rev 6 → Rev 7

/rtl/memdev.v
1,3 → 1,40
///////////////////////////////////////////////////////////////////////////
//
// Filename: memdev.v
//
// Project: CMod S6 System on a Chip, ZipCPU demonstration Project
//
// Purpose: This file is really simple: it creates an on-chip memory,
// accessible via the wishbone bus, that can be used in this
// project. The memory has single cycle access--although getting to the
// memory from the ZipCPU may cost another cycle or two in access. Either
// way, operations can be pipelined for greater speed.
//
//
// Creator: Dan Gisselquist, Ph.D.
// Gisselquist Technology, LLC
//
///////////////////////////////////////////////////////////////////////////
//
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
//
// This program is free software (firmware): you can redistribute it and/or
// modify it under the terms of the GNU General Public License as published
// by the Free Software Foundation, either version 3 of the License, or (at
// your option) any later version.
//
// This program is distributed in the hope that it will be useful, but WITHOUT
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
// for more details.
//
// License: GPL, v3, as defined and found on www.gnu.org,
// http://www.gnu.org/licenses/gpl.html
//
//
///////////////////////////////////////////////////////////////////////////
//
//
module memdev(i_clk, i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr, i_wb_data,
o_wb_ack, o_wb_stall, o_wb_data);
parameter AW=15, DW=32;
/rtl/rtclight.v
53,6 → 53,7
// A once-per-day strobe on the last clock of the day
o_ppd);
parameter DEFAULT_SPEED = 32'd2814750,
IMPLEMENT_TIMER=1, IMPLEMENT_STOPWATCH=1,
CKBITS = 24; // 100 Mhz
input i_clk;
input i_wb_cyc, i_wb_stb, i_wb_we;
162,90 → 163,101
ck_last_clock <= clock[21:0];
 
reg tm_pps, tm_ppm, tm_int;
wire tm_stopped, tm_running, tm_alarm;
assign tm_stopped = ~timer[24];
assign tm_running = timer[24];
assign tm_alarm = timer[25];
reg [23:0] tm_start;
reg [7:0] tm_sub;
initial tm_start = 24'h00;
initial timer = 26'h00;
initial tm_int = 1'b0;
initial tm_pps = 1'b0;
always @(posedge i_clk)
wire [31:0] bus_timer_return;
wire tm_int;
generate
if (IMPLEMENT_TIMER != 0)
begin
if (ck_carry)
reg tm_pps, tm_ppm, r_tm_int;
wire tm_stopped, tm_running, tm_alarm;
assign tm_stopped = ~timer[24];
assign tm_running = timer[24];
assign tm_alarm = timer[25];
reg [23:0] tm_start;
reg [7:0] tm_sub;
initial tm_start = 24'h00;
initial timer = 26'h00;
initial r_tm_int = 1'b0;
initial tm_pps = 1'b0;
always @(posedge i_clk)
begin
tm_sub <= tm_sub + 8'h1;
tm_pps <= (tm_sub == 8'hff);
end else
tm_pps <= 1'b0;
if ((~tm_alarm)&&(tm_running)&&(tm_pps))
begin // If we are running ...
timer[25] <= 1'b0;
if (timer[23:0] == 24'h00)
timer[25] <= 1'b1;
else if (timer[3:0] != 4'h0)
timer[3:0] <= timer[3:0]-4'h1;
else begin // last digit is a zero
timer[3:0] <= 4'h9;
if (timer[7:4] != 4'h0)
timer[7:4] <= timer[7:4]-4'h1;
else begin // last two digits are zero
timer[7:4] <= 4'h5;
if (timer[11:8] != 4'h0)
timer[11:8] <= timer[11:8]-4'h1;
else begin // last three digits are zero
timer[11:8] <= 4'h9;
if (timer[15:12] != 4'h0)
timer[15:12] <= timer[15:12]-4'h1;
else begin
timer[15:12] <= 4'h5;
if (timer[19:16] != 4'h0)
timer[19:16] <= timer[19:16]-4'h1;
if (ck_carry)
begin
tm_sub <= tm_sub + 8'h1;
tm_pps <= (tm_sub == 8'hff);
end else
tm_pps <= 1'b0;
if ((~tm_alarm)&&(tm_running)&&(tm_pps))
begin // If we are running ...
timer[25] <= 1'b0;
if (timer[23:0] == 24'h00)
timer[25] <= 1'b1;
else if (timer[3:0] != 4'h0)
timer[3:0] <= timer[3:0]-4'h1;
else begin // last digit is a zero
timer[3:0] <= 4'h9;
if (timer[7:4] != 4'h0)
timer[7:4] <= timer[7:4]-4'h1;
else begin // last two digits are zero
timer[7:4] <= 4'h5;
if (timer[11:8] != 4'h0)
timer[11:8] <= timer[11:8]-4'h1;
else begin // last three digits are zero
timer[11:8] <= 4'h9;
if (timer[15:12] != 4'h0)
timer[15:12] <= timer[15:12]-4'h1;
else begin
//
timer[19:16] <= 4'h9;
timer[23:20] <= timer[23:20]-4'h1;
timer[15:12] <= 4'h5;
if (timer[19:16] != 4'h0)
timer[19:16] <= timer[19:16]-4'h1;
else begin
//
timer[19:16] <= 4'h9;
timer[23:20] <= timer[23:20]-4'h1;
end
end
end
end
end
end
end
 
if((~tm_alarm)&&(tm_running))
begin
timer[25] <= (timer[23:0] == 24'h00);
tm_int <= (timer[23:0] == 24'h00);
end else tm_int <= 1'b0;
if (tm_alarm)
timer[24] <= 1'b0;
if((~tm_alarm)&&(tm_running))
begin
timer[25] <= (timer[23:0] == 24'h00);
r_tm_int <= (timer[23:0] == 24'h00);
end else r_tm_int <= 1'b0;
if (tm_alarm)
timer[24] <= 1'b0;
 
if ((tm_sel)&&(i_wb_we)&&(tm_running)) // Writes while running
// Only allowed to stop the timer, nothing more
timer[24] <= i_wb_data[24];
else if ((tm_sel)&&(i_wb_we)&&(tm_stopped)) // Writes while off
begin
timer[24] <= i_wb_data[24];
if ((timer[24])||(i_wb_data[24]))
if ((tm_sel)&&(i_wb_we)&&(tm_running)) // Writes while running
// Only allowed to stop the timer, nothing more
timer[24] <= i_wb_data[24];
else if ((tm_sel)&&(i_wb_we)&&(tm_stopped)) // Writes while off
begin
timer[24] <= i_wb_data[24];
if ((timer[24])||(i_wb_data[24]))
timer[25] <= 1'b0;
if (i_wb_data[23:0] != 24'h0000)
begin
timer[23:0] <= i_wb_data[23:0];
tm_start <= i_wb_data[23:0];
tm_sub <= 8'h00;
end else if (timer[23:0] == 24'h00)
begin // Resetting timer to last valid timer start val
timer[23:0] <= tm_start;
tm_sub <= 8'h00;
end
// Any write clears the alarm
timer[25] <= 1'b0;
if (i_wb_data[23:0] != 24'h0000)
begin
timer[23:0] <= i_wb_data[23:0];
tm_start <= i_wb_data[23:0];
tm_sub <= 8'h00;
end else if (timer[23:0] == 24'h00)
begin // Resetting timer to last valid timer start val
timer[23:0] <= tm_start;
tm_sub <= 8'h00;
end
// Any write clears the alarm
timer[25] <= 1'b0;
end
end
assign bus_timer_return = { 6'h00, timer };
assign tm_int = r_tm_int;
end else begin
assign bus_timer_return = ck_counter[39:8];
assign tm_int = 1'b0;
end endgenerate
 
//
// Stopwatch functionality
256,77 → 268,85
// before or after the write. Hence, writing a '2' to the device
// will always stop and clear it, whereas writing a '3' to the device
// will only clear it if it was already stopped.
reg sw_pps, sw_ppm, sw_pph;
reg [7:0] sw_sub;
wire sw_running;
assign sw_running = stopwatch[0];
initial stopwatch = 32'h00000;
always @(posedge i_clk)
wire [31:0] bus_stopwatch_return;
generate
if (IMPLEMENT_STOPWATCH != 0)
begin
sw_pps <= 1'b0;
if (sw_running)
reg sw_pps, sw_ppm, sw_pph;
reg [7:0] sw_sub;
wire sw_running;
assign sw_running = stopwatch[0];
initial stopwatch = 32'h00000;
always @(posedge i_clk)
begin
if (ck_carry)
sw_pps <= 1'b0;
if (sw_running)
begin
sw_sub <= sw_sub + 8'h1;
sw_pps <= (sw_sub == 8'hff);
if (ck_carry)
begin
sw_sub <= sw_sub + 8'h1;
sw_pps <= (sw_sub == 8'hff);
end
end
end
 
stopwatch[7:1] <= sw_sub[7:1];
stopwatch[7:1] <= sw_sub[7:1];
 
if (sw_pps)
begin // Second hand
if (stopwatch[11:8] >= 4'h9)
stopwatch[11:8] <= 4'h0;
else
stopwatch[11:8] <= stopwatch[11:8] + 4'h1;
if (sw_pps)
begin // Second hand
if (stopwatch[11:8] >= 4'h9)
stopwatch[11:8] <= 4'h0;
else
stopwatch[11:8] <= stopwatch[11:8] + 4'h1;
 
if (stopwatch[15:8] >= 8'h59)
stopwatch[15:12] <= 4'h0;
else if (stopwatch[11:8] >= 4'h9)
stopwatch[15:12] <= stopwatch[15:12] + 4'h1;
sw_ppm <= (stopwatch[15:8] == 8'h59);
end else sw_ppm <= 1'b0;
if (stopwatch[15:8] >= 8'h59)
stopwatch[15:12] <= 4'h0;
else if (stopwatch[11:8] >= 4'h9)
stopwatch[15:12] <= stopwatch[15:12] + 4'h1;
sw_ppm <= (stopwatch[15:8] == 8'h59);
end else sw_ppm <= 1'b0;
 
if (sw_ppm)
begin // Minutes
if (stopwatch[19:16] >= 4'h9)
stopwatch[19:16] <= 4'h0;
else
stopwatch[19:16] <= stopwatch[19:16]+4'h1;
if (sw_ppm)
begin // Minutes
if (stopwatch[19:16] >= 4'h9)
stopwatch[19:16] <= 4'h0;
else
stopwatch[19:16] <= stopwatch[19:16]+4'h1;
 
if (stopwatch[23:16] >= 8'h59)
stopwatch[23:20] <= 4'h0;
else if (stopwatch[19:16] >= 4'h9)
stopwatch[23:20] <= stopwatch[23:20]+4'h1;
sw_pph <= (stopwatch[23:16] == 8'h59);
end else sw_pph <= 1'b0;
if (stopwatch[23:16] >= 8'h59)
stopwatch[23:20] <= 4'h0;
else if (stopwatch[19:16] >= 4'h9)
stopwatch[23:20] <= stopwatch[23:20]+4'h1;
sw_pph <= (stopwatch[23:16] == 8'h59);
end else sw_pph <= 1'b0;
 
if (sw_pph)
begin // And hours
if (stopwatch[27:24] >= 4'h9)
stopwatch[27:24] <= 4'h0;
else
stopwatch[27:24] <= stopwatch[27:24]+4'h1;
if (sw_pph)
begin // And hours
if (stopwatch[27:24] >= 4'h9)
stopwatch[27:24] <= 4'h0;
else
stopwatch[27:24] <= stopwatch[27:24]+4'h1;
 
if((stopwatch[27:24] >= 4'h9)&&(stopwatch[31:28] < 4'hf))
stopwatch[31:28] <= stopwatch[27:24]+4'h1;
end
if((stopwatch[27:24] >= 4'h9)&&(stopwatch[31:28] < 4'hf))
stopwatch[31:28] <= stopwatch[27:24]+4'h1;
end
 
if ((sw_sel)&&(i_wb_we))
begin
stopwatch[0] <= i_wb_data[0];
if((i_wb_data[1])&&((~stopwatch[0])||(~i_wb_data[0])))
if ((sw_sel)&&(i_wb_we))
begin
stopwatch[31:1] <= 31'h00;
sw_sub <= 8'h00;
sw_pps <= 1'b0;
sw_ppm <= 1'b0;
sw_pph <= 1'b0;
stopwatch[0] <= i_wb_data[0];
if((i_wb_data[1])&&((~stopwatch[0])||(~i_wb_data[0])))
begin
stopwatch[31:1] <= 31'h00;
sw_sub <= 8'h00;
sw_pps <= 1'b0;
sw_ppm <= 1'b0;
sw_pph <= 1'b0;
end
end
end
end
assign bus_stopwatch_return = stopwatch;
end else begin
assign bus_stopwatch_return = ck_counter[39:8];
end endgenerate
 
//
// The alarm code
406,8 → 426,8
always @(posedge i_clk)
case(i_wb_addr[2:0])
3'b000: o_data <= { 10'h0, ck_last_clock };
3'b001: o_data <= { 6'h00, timer };
3'b010: o_data <= stopwatch;
3'b001: o_data <= bus_timer_return;
3'b010: o_data <= bus_stopwatch_return;
3'b011: o_data <= { 6'h00, al_tripped, al_enabled, 2'b00, alarm_time };
3'b100: o_data <= ckspeed;
default: o_data <= 32'h000;
/rtl/cpu/cpudefs.v
77,7 → 77,7
// instruction that will then trip the illegal instruction trap.
//
//
`define OPT_MULTIPLY
`define OPT_MULTIPLY 2
//
//
//
/rtl/cpu/cpuops.v
32,6 → 32,7
//
///////////////////////////////////////////////////////////////////////////
//
`define LONG_MPY
module cpuops(i_clk,i_rst, i_ce, i_valid, i_op, i_a, i_b, o_c, o_f, o_valid,
o_illegal, o_busy);
parameter IMPLEMENT_MPY = 1;
90,6 → 91,10
||(i_op == 4'h6) // LSL
||(i_op == 4'h5)); // LSR
 
`ifdef LONG_MPY
reg mpyhi;
wire mpybusy;
`endif
 
// A 4-way multiplexer can be done in one 6-LUT.
// A 16-way multiplexer can therefore be done in 4x 6-LUT's with
113,7 → 118,9
4'b0101:{o_c,c } <= w_lsr_result[32:0]; // LSR
4'b0110:{c,o_c } <= (|i_b[31:5])? 33'h00 : {1'b0, i_a } << i_b[4:0]; // LSL
4'b0111:{o_c,c } <= w_asr_result[32:0]; // ASR
`ifndef LONG_MPY
4'b1000: o_c <= { i_b[15: 0], i_a[15:0] }; // LODIHI
`endif
4'b1001: o_c <= { i_a[31:16], i_b[15:0] }; // LODILO
// 4'h1010: The unimplemented MPYU,
// 4'h1011: and here for the unimplemented MPYS
128,12 → 135,141
 
reg r_illegal;
always @(posedge i_clk)
r_illegal <= (i_ce)&&((i_op == 4'h3)||(i_op == 4'h4));
r_illegal <= (i_ce)&&((i_op == 4'ha)||(i_op == 4'hb)
`ifdef LONG_MPY
||(i_op == 4'h8)
`endif
);
assign o_illegal = r_illegal;
end else begin
//
// Multiply pre-logic
//
`ifdef LONG_MPY
reg [63:0] r_mpy_result;
if (IMPLEMENT_MPY == 1)
begin // Our two clock option (one clock extra)
reg signed [64:0] r_mpy_a_input, r_mpy_b_input;
reg mpypipe, x;
initial mpypipe = 1'b0;
always @(posedge i_clk)
mpypipe <= (i_ce)&&((i_op[3:1]==3'h5)||(i_op[3:0]==4'h8));
always @(posedge i_clk)
if (i_ce)
begin
r_mpy_a_input <= {{(33){(i_a[31])&(i_op[0])}},
i_a[31:0]};
r_mpy_b_input <= {{(33){(i_b[31])&(i_op[0])}},
i_b[31:0]};
end
always @(posedge i_clk)
if (mpypipe)
{x, r_mpy_result} = r_mpy_a_input
* r_mpy_b_input;
always @(posedge i_clk)
if (i_ce)
mpyhi = i_op[1];
assign mpybusy = mpypipe;
end else if (IMPLEMENT_MPY == 2)
begin // The three clock option
reg [31:0] r_mpy_a_input, r_mpy_b_input;
reg r_mpy_signed;
reg [1:0] mpypipe;
 
// First clock, latch in the inputs
always @(posedge i_clk)
begin
// mpypipe indicates we have a multiply in the
// pipeline. In this case, the multiply
// pipeline is a two stage pipeline, so we need
// two bits in the pipe.
mpypipe[0] <= (i_ce)&&((i_op[3:1]==3'h5)
||(i_op[3:0]==4'h8));
mpypipe[1] <= mpypipe[0];
if (i_op[0]) // i.e. if signed multiply
begin
r_mpy_a_input <= {(~i_a[31]),i_a[30:0]};
r_mpy_b_input <= {(~i_b[31]),i_b[30:0]};
end else begin
r_mpy_a_input <= i_a[31:0];
r_mpy_b_input <= i_b[31:0];
end
// The signed bit really only matters in the
// case of 64 bit multiply. We'll keep track
// of it, though, and pretend in all other
// cases.
r_mpy_signed <= i_op[0];
 
if (i_ce)
mpyhi = i_op[1];
end
 
assign mpybusy = |mpypipe;
 
// Second clock, do the multiplies, get the "partial
// products". Here, we break our input up into two
// halves,
//
// A = (2^16 ah + al)
// B = (2^16 bh + bl)
//
// and use these to compute partial products.
//
// AB = (2^32 ah*bh + 2^16 (ah*bl + al*bh) + (al*bl)
//
// Since we're following the FOIL algorithm to get here,
// we'll name these partial products according to FOIL.
//
// The trick is what happens if A or B is signed. In
// those cases, the real value of A will not be given by
// A = (2^16 ah + al)
// but rather
// A = (2^16 ah[31^] + al) - 2^31
// (where we have flipped the sign bit of A)
// and so ...
//
// AB= (2^16 ah + al - 2^31) * (2^16 bh + bl - 2^31)
// = 2^32(ah*bh)
// +2^16 (ah*bl+al*bh)
// +(al*bl)
// - 2^31 (2^16 bh+bl + 2^16 ah+al)
// - 2^62
// = 2^32(ah*bh)
// +2^16 (ah*bl+al*bh)
// +(al*bl)
// - 2^31 (2^16 bh+bl + 2^16 ah+al + 2^31)
//
reg [31:0] pp_f, pp_l; // pp_o, pp_i, pp_l;
reg [32:0] pp_oi;
reg [32:0] pp_s;
always @(posedge i_clk)
begin
pp_f<=r_mpy_a_input[31:16]*r_mpy_b_input[31:16];
pp_oi<=r_mpy_a_input[31:16]*r_mpy_b_input[15: 0]
+ r_mpy_a_input[15: 0]*r_mpy_b_input[31:16];
pp_l<=r_mpy_a_input[15: 0]*r_mpy_b_input[15: 0];
// And a special one for the sign
if (r_mpy_signed)
pp_s <= 32'h8000_0000-(
r_mpy_a_input[31:0]
+ r_mpy_b_input[31:0]);
else
pp_s <= 33'h0;
end
 
// Third clock, add the results and produce a product
always @(posedge i_clk)
begin
r_mpy_result[15:0] <= pp_l[15:0];
r_mpy_result[63:16] <=
{ 32'h00, pp_l[31:16] }
+ { 15'h00, pp_oi }
+ { pp_s, 15'h00 }
+ { pp_f, 16'h00 };
end
end // Fourth clock -- results are available for writeback.
`else
wire signed [16:0] w_mpy_a_input, w_mpy_b_input;
wire [33:0] w_mpy_result;
reg [31:0] r_mpy_result;
143,6 → 279,7
always @(posedge i_clk)
if (i_ce)
r_mpy_result = w_mpy_result[31:0];
`endif
 
//
// The master ALU case statement
161,10 → 298,19
4'b0101:{o_c,c } <= w_lsr_result[32:0]; // LSR
4'b0110:{c,o_c } <= (|i_b[31:5])? 33'h00 : {1'b0, i_a } << i_b[4:0]; // LSL
4'b0111:{o_c,c } <= w_asr_result[32:0]; // ASR
`ifdef LONG_MPY
4'b1000: o_c <= r_mpy_result[31:0]; // MPY
`else
4'b1000: o_c <= { i_b[15: 0], i_a[15:0] }; // LODIHI
`endif
4'b1001: o_c <= { i_a[31:16], i_b[15:0] }; // LODILO
`ifdef LONG_MPY
4'b1010: o_c <= r_mpy_result[63:32]; // MPYHU
4'b1011: o_c <= r_mpy_result[63:32]; // MPYHS
`else
4'b1010: o_c <= r_mpy_result; // MPYU
4'b1011: o_c <= r_mpy_result; // MPYS
`endif
4'b1100: o_c <= w_brev_result; // BREV
4'b1101: o_c <= w_popc_result; // POPC
4'b1110: o_c <= w_rol_result; // ROL
171,13 → 317,22
default: o_c <= i_b; // MOV, LDI
endcase
end else if (r_busy)
`ifdef LONG_MPY
o_c <= (mpyhi)?r_mpy_result[63:32]:r_mpy_result[31:0];
`else
o_c <= r_mpy_result;
`endif
 
reg r_busy;
initial r_busy = 1'b0;
always @(posedge i_clk)
r_busy <= (~i_rst)&&(i_ce)&&(i_valid)
`ifdef LONG_MPY
&&((i_op[3:1] == 3'h5)
||(i_op[3:0] == 4'h8))||mpybusy;
`else
&&(i_op[3:1] == 3'h5);
`endif
 
assign o_busy = r_busy;
 
195,6 → 350,11
if (i_rst)
o_valid <= 1'b0;
else
o_valid <= (i_ce)&&(i_valid)&&(i_op[3:1] != 3'h5)
||(o_busy);
o_valid <= (i_ce)&&(i_valid)
`ifdef LONG_MPY
&&(i_op[3:1] != 3'h5)&&(i_op[3:0] != 4'h8)
||(o_busy)&&(~mpybusy);
`else
&&(i_op[3:1] != 3'h5)||(o_busy);
`endif
endmodule
/rtl/cpu/zipcpu.v
137,7 → 137,7
parameter RESET_ADDRESS=32'h0100000, ADDRESS_WIDTH=24,
LGICACHE=6;
`ifdef OPT_MULTIPLY
parameter IMPLEMENT_MPY = 1;
parameter IMPLEMENT_MPY = `OPT_MULTIPLY;
`else
parameter IMPLEMENT_MPY = 0;
`endif
284,7 → 284,7
wire [13:0] opFl;
reg [5:0] r_opF;
wire [7:0] opF;
wire op_ce, op_phase;
wire op_ce, op_phase, op_pipe;
// Some pipeline control wires
`ifdef OPT_PIPELINED
reg opA_alu, opA_mem;
304,7 → 304,7
//
//
reg [(AW-1):0] alu_pc;
reg alu_pc_valid;
reg alu_pc_valid, mem_pc_valid;
wire alu_phase;
wire alu_ce, alu_stall;
wire [31:0] alu_result;
608,9 → 608,9
`endif
 
`ifdef OPT_PIPELINED_BUS_ACCESS
reg op_pipe;
reg r_op_pipe;
 
initial op_pipe = 1'b0;
initial r_op_pipe = 1'b0;
// To be a pipeable operation, there must be
// two valid adjacent instructions
// Both must be memory instructions
622,7 → 622,10
// calculated in the instruction decoder.
always @(posedge i_clk)
if (op_ce)
op_pipe <= dcd_pipe;
r_op_pipe <= dcd_pipe;
assign op_pipe = r_op_pipe;
`else
assign op_pipe = 1'b0;
`endif
 
//
633,6 → 636,55
assign w_opA = regset[dcdA];
assign w_opB = regset[dcdB];
 
wire [8:0] w_cpu_info;
assign w_cpu_info = {
`ifdef OPT_ILLEGAL_INSTRUCTION
1'b1,
`else
1'b0,
`endif
`ifdef OPT_MULTIPLY
1'b1,
`else
1'b0,
`endif
`ifdef OPT_DIVIDE
1'b1,
`else
1'b0,
`endif
`ifdef OPT_IMPLEMENT_FPU
1'b1,
`else
1'b0,
`endif
`ifdef OPT_PIPELINED
1'b1,
`else
1'b0,
`endif
`ifdef OPT_TRADITIONAL_CACHE
1'b1,
`else
1'b0,
`endif
`ifdef OPT_EARLY_BRANCHING
1'b1,
`else
1'b0,
`endif
`ifdef OPT_PIPELINED_BUS_ACCESS
1'b1,
`else
1'b0,
`endif
`ifdef OPT_VLIW
1'b1
`else
1'b0
`endif
};
 
wire [31:0] w_pcA_v;
generate
if (AW < 32)
662,7 → 714,7
else if (dcdA_pc)
r_opA <= w_pcA_v;
else if (dcdA_cc)
r_opA <= { w_opA[31:14], (dcdA[4])?w_uflags:w_iflags };
r_opA <= { w_cpu_info, w_opA[22:14], (dcdA[4])?w_uflags:w_iflags };
else
r_opA <= w_opA;
`ifdef OPT_PIPELINED
688,7 → 740,8
assign w_opBnI = (~dcdB_rd) ? 32'h00
: (((wr_reg_ce)&&(wr_reg_id == dcdB)) ? wr_reg_vl
: ((dcdB_pc) ? w_pcB_v
: ((dcdB_cc) ? { w_opB[31:14], (dcdB[4])?w_uflags:w_iflags}
: ((dcdB_cc) ? { w_cpu_info, w_opB[22:14], // w_opB[31:14],
(dcdB[4])?w_uflags:w_iflags}
: w_opB)));
 
always @(posedge i_clk)
942,11 → 995,14
// We'll use the last values from that stage
// (opR_wr, opF_wr, opR) in our logic below.
&&((opvalid)||(mem_rdbusy)
||(div_busy)||(fpu_busy))
||(div_busy)||(fpu_busy)||(alu_busy))
&&(
// Stall on memory ops writing to my register
// (i.e. loads), or on any write to my
// register if I have an immediate offset
// Actually, this is worse. I can't tell
// whether or not my register is going to
// be written to, so
// Note the exception for writing to the PC:
// if I write to the PC, the whole next
// instruction is invalid, not just the
962,8 → 1018,10
// following each other constitutes a
// fairly unusualy code structure.)
//
((~dcd_zI)&&(opR == dcdB)&&(opR_wr))
// &&(opR != { op_gie, `CPU_PC_REG } )
((~dcd_zI)&&(
((opR == dcdB)&&(opR_wr))
||(((opvalid_mem)||(mem_rdbusy))
&&(op_pipe))))
// Stall following any instruction that will
// set the flags, if we're going to need the
// flags (CC) register for opB.
1075,14 → 1133,17
else if ((i_halt)&&(i_dbg_we))
alu_reg <= i_dbg_reg;
 
reg [31:0] dbg_val;
//
// DEBUG Register write access starts here
//
reg dbgv;
always @(posedge i_clk)
dbg_val <= i_dbg_data;
initial dbgv = 1'b0;
always @(posedge i_clk)
dbgv <= (~i_rst)&&(~alu_ce)&&((i_halt)&&(i_dbg_we));
reg [31:0] dbg_val;
always @(posedge i_clk)
dbg_val <= i_dbg_data;
always @(posedge i_clk)
if ((alu_ce)||(mem_ce))
alu_gie <= op_gie;
always @(posedge i_clk)
1101,14 → 1162,18
assign alu_illegal = (alu_illegal_op)||(r_alu_illegal);
`endif
 
// This _almost_ is equal to (alu_ce)||(mem_ce). The only
// problem is that mem_ce is gated by the set_cond, and
// the PC will be valid independent of the set condition. Hence, this
// equals (alu_ce)||(everything in mem_ce but the set condition)
initial alu_pc_valid = 1'b0;
initial mem_pc_valid = 1'b0;
always @(posedge i_clk)
alu_pc_valid <= ((alu_ce)
||((master_ce)&&(opvalid_mem)&&(~clear_pipeline)&&(~mem_stalled)));
if (i_rst)
alu_pc_valid <= 1'b0;
else
alu_pc_valid <= (alu_ce);
always @(posedge i_clk)
if (i_rst)
mem_pc_valid <= 1'b0;
else
mem_pc_valid <= (mem_ce);
 
wire bus_lock;
`ifdef OPT_PIPELINED
1168,8 → 1233,16
o_wb_we, o_wb_addr, o_wb_data,
i_wb_ack, i_wb_stall, i_wb_err);
 
 
 
//
//
//
//
//
//
//
//
// PIPELINE STAGE #5 :: Write-back results
//
//
1187,12 → 1260,12
// Further, alu_wr includes (set_cond), so we don't need to
// check for that here either.
`ifdef OPT_ILLEGAL_INSTRUCTION
assign wr_reg_ce = (~alu_illegal)&&
assign wr_reg_ce = (dbgv)||(~alu_illegal)&&
(((alu_wr)&&(~clear_pipeline)
&&((alu_valid)||(div_valid)||(fpu_valid)))
||(mem_valid));
`else
assign wr_reg_ce = ((alu_wr)&&(~clear_pipeline))||(mem_valid)||(div_valid)||(fpu_valid);
assign wr_reg_ce = (dbgv)||((alu_wr)&&(~clear_pipeline))||(mem_valid)||(div_valid)||(fpu_valid);
`endif
// Which register shall be written?
// COULD SIMPLIFY THIS: by adding three bits to these registers,
1279,7 → 1352,9
assign o_break = (((break_en)||(~op_gie))&&(op_break)
&&(~alu_valid)&&(~mem_valid)&&(~mem_busy)
&&(~clear_pipeline))
||((~alu_gie)&&(bus_err));
||((~alu_gie)&&(bus_err))
||((~alu_gie)&&(div_valid)&&(div_error))
||((~alu_gie)&&(fpu_valid)&&(fpu_error));
`endif
 
 
1315,7 → 1390,7
step <= 1'b0;
else if ((wr_reg_ce)&&(~alu_gie)&&(wr_reg_id[4])&&(wr_write_cc))
step <= wr_reg_vl[`CPU_STEP_BIT];
else if ((alu_pc_valid)&&(step)&&(gie))
else if (((alu_pc_valid)||(mem_pc_valid))&&(step)&&(gie))
step <= 1'b0;
 
// The GIE register. Only interrupts can disable the interrupt register
1323,7 → 1398,7
// On interrupt (obviously)
((i_interrupt)&&(~alu_phase)&&(~bus_lock))
// If we are stepping the CPU
||((alu_pc_valid)&&(step)&&(~alu_phase)&&(~bus_lock))
||(((alu_pc_valid)||(mem_pc_valid))&&(step)&&(~alu_phase)&&(~bus_lock))
// If we encounter a break instruction, if the break
// enable isn't set.
||((master_ce)&&(~mem_rdbusy)&&(~div_busy)&&(~fpu_busy)
1361,11 → 1436,13
always @(posedge i_clk)
if (i_rst)
trap <= 1'b0;
else if (w_release_from_interrupt)
trap <= 1'b0;
else if ((alu_gie)&&(wr_reg_ce)&&(~wr_reg_vl[`CPU_GIE_BIT])
&&(wr_write_cc)) // &&(wr_reg_id[4]) implied
trap <= 1'b1;
else if (w_release_from_interrupt)
trap <= 1'b0;
else if ((wr_reg_ce)&&(wr_write_cc)&&(wr_reg_id[4]))
trap <= wr_reg_vl[`CPU_TRAP_BIT];
 
`ifdef OPT_ILLEGAL_INSTRUCTION
initial ill_err_i = 1'b0;
1372,7 → 1449,7
always @(posedge i_clk)
if (i_rst)
ill_err_i <= 1'b0;
// The debug interface can clear this bit
// Only the debug interface can clear this bit
else if ((dbgv)&&(wr_reg_id == {1'b0, `CPU_CC_REG})
&&(~wr_reg_vl[`CPU_ILL_BIT]))
ill_err_i <= 1'b0;
1416,10 → 1493,6
ubus_err_flag <= 1'b0;
else if (w_release_from_interrupt)
ubus_err_flag <= 1'b0;
// else if ((i_halt)&&(i_dbg_we)&&(~i_dbg_reg[4])
// &&(i_dbg_reg == {1'b1, `CPU_CC_REG})
// &&(~i_dbg_data[`CPU_BUSERR_BIT]))
// ubus_err_flag <= 1'b0;
else if (((~alu_gie)||(dbgv))&&(wr_reg_ce)
&&(~wr_reg_vl[`CPU_BUSERR_BIT])
&&(wr_reg_id[4])&&(wr_write_cc))
1537,7 → 1610,9
always @(posedge i_clk)
if ((wr_reg_ce)&&(wr_reg_id[4])&&(wr_write_pc))
upc <= wr_reg_vl[(AW-1):0];
else if ((alu_gie)&&(alu_pc_valid)&&(~clear_pipeline))
else if ((alu_gie)&&
(((alu_pc_valid)&&(~clear_pipeline))
||(mem_pc_valid)))
upc <= alu_pc;
 
always @(posedge i_clk)
1545,7 → 1620,9
ipc <= RESET_ADDRESS;
else if ((wr_reg_ce)&&(~wr_reg_id[4])&&(wr_write_pc))
ipc <= wr_reg_vl[(AW-1):0];
else if ((~alu_gie)&&(alu_pc_valid)&&(~clear_pipeline))
else if ((~alu_gie)&&
(((alu_pc_valid)&&(~clear_pipeline))
||(mem_pc_valid)))
ipc <= alu_pc;
 
always @(posedge i_clk)
1616,8 → 1693,8
always @(posedge i_clk)
o_dbg_stall <= (i_halt)&&(
(pf_cyc)||(mem_cyc_gbl)||(mem_cyc_lcl)||(mem_busy)
||((~opvalid)&&(~i_rst))
||((~dcdvalid)&&(~i_rst)));
||((~opvalid)&&(~i_rst)&&(~dcd_illegal))
||((~dcdvalid)&&(~i_rst)&&(~pf_illegal)));
 
//
//
1632,7 → 1709,8
`ifdef DEBUG_SCOPE
always @(posedge i_clk)
o_debug <= {
pf_pc[3:0], flags,
o_break, i_wb_err, pf_pc[1:0],
flags,
pf_valid, dcdvalid, opvalid, alu_valid, mem_valid,
op_ce, alu_ce, mem_ce,
//
1644,8 → 1722,7
// ||((opvalid_mem)&&(~op_pipe)&&(mem_busy))
// ||((opvalid_mem)&&( op_pipe)&&(mem_pipe_stalled)));
// opA[23:20], opA[3:0],
gie, sleep,
wr_reg_ce, wr_reg_vl[4:0]
gie, sleep, wr_reg_ce, wr_reg_vl[4:0]
/*
i_rst, master_ce, (new_pc),
((dcd_early_branch)&&(dcdvalid)),
1654,6 → 1731,25
pf_cyc, pf_stb, pf_we, pf_ack, pf_stall, pf_err,
pf_pc[7:0], pf_addr[7:0]
*/
/*
i_wb_err, gie, alu_illegal,
(new_pc)||((dcd_early_branch)&&(~clear_pipeline)),
mem_busy,
(mem_busy)?{ (o_wb_gbl_stb|o_wb_lcl_stb), o_wb_we,
o_wb_addr[8:0] }
: { instruction[31:21] },
pf_valid, (pf_valid) ? alu_pc[14:0]
:{ pf_cyc, pf_stb, pf_pc[12:0] }
*/
/*
i_wb_err, gie, new_pc, dcd_early_branch, // 4
pf_valid, pf_cyc, pf_stb, instruction_pc[0], // 4
instruction[30:27], // 4
dcd_gie, mem_busy, o_wb_gbl_cyc, o_wb_gbl_stb, // 4
dcdvalid,
((dcd_early_branch)&&(~clear_pipeline)) // 15
? dcd_branch_pc[14:0]:pf_pc[14:0]
*/
};
`endif
/rtl/wbgpio.v
1,3 → 1,52
////////////////////////////////////////////////////////////////////////////////
//
// Filename: wbgpio.v
//
// Project: CMod S6 System on a Chip, ZipCPU demonstration project
//
// Purpose: A General Purpose Input/Output controller. This controller
// allows a user to read the current state of the 16-GPIO input
// pins, or to set the state of the 16-GPIO output pins. Specific numbers
// of pins are configurable from 1-16.
//
// Unlike other controllers, this controller offers no capability to
// change input/output direction, or to implement pull-up or pull-down
// resistors. It simply changes and adjusts the values going out the
// output pins, while allowing a user to read the values on the input
// pins.
//
// Any change of an input pin value will result in the generation of an
// interrupt signal.
//
// Creator: Dan Gisselquist, Ph.D.
// Gisselquist Technology, LLC
//
////////////////////////////////////////////////////////////////////////////////
//
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
//
// This program is free software (firmware): you can redistribute it and/or
// modify it under the terms of the GNU General Public License as published
// by the Free Software Foundation, either version 3 of the License, or (at
// your option) any later version.
//
// This program is distributed in the hope that it will be useful, but WITHOUT
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
// for more details.
//
// You should have received a copy of the GNU General Public License along
// with this program. (It's in the $(ROOT)/doc directory, run make with no
// target there if the PDF file isn't present.) If not, see
// <http://www.gnu.org/licenses/> for a copy.
//
// License: GPL, v3, as defined and found on www.gnu.org,
// http://www.gnu.org/licenses/gpl.html
//
//
////////////////////////////////////////////////////////////////////////////////
//
//
module wbgpio(i_clk, i_wb_cyc, i_wb_stb, i_wb_we, i_wb_data, o_wb_data,
i_gpio, o_gpio, o_int);
parameter NIN=16, NOUT=16, DEFAULT=16'h00;
/rtl/builddate.v
0,0 → 1,52
`define DATESTAMP 32'h20160422
/rtl/busmaster.v
44,8 → 44,8
`define FANCY_ICAP_ACCESS
`endif
`define FLASH_ACCESS
// `define CFG_SCOPE // About 204 LUTs, at 2^6 addresses
`define INCLUDE_RTC // About 90 LUTs
`define DBG_SCOPE // About 204 LUTs, at 2^6 addresses
// `define INCLUDE_RTC // About 90 LUTs
module busmaster(i_clk, i_rst,
i_rx_stb, i_rx_data, o_tx_stb, o_tx_data, i_tx_busy,
o_uart_rts,
290,7 → 290,7
assign rtc_ack = r_rtc_ack;
 
rtclight
#(32'h35afe5,23) // 80 MHz clock
#(32'h35afe5,23,0,0) // 80 MHz clock
thetime(i_clk, wb_cyc,
((wb_stb)&&(rtc_sel)), wb_we,
{ 1'b0, wb_addr[1:0] }, wb_data, rtc_data,
352,7 → 352,8
// hardware buffer.
//
// We'll add the flag for two stop bits.
assign o_uart_setup = 30'h080002b6; // 115200 MBaud @ an 80MHz clock
// assign o_uart_setup = 30'h080002b6; // 115200 MBaud @ an 80MHz clock
assign o_uart_setup = 30'h0000208d; // 9600 MBaud, 8N1
 
initial o_tx_stb = 1'b0;
initial o_tx_data = 8'h00;
441,10 → 442,10
//
wire [31:0] scop_cfg_data;
wire scop_cfg_ack, scop_cfg_stall, scop_cfg_interrupt;
`ifdef CFG_SCOPE
`ifdef DBG_SCOPE
wire scop_cfg_trigger;
assign scop_cfg_trigger = (wb_cyc)&&(wb_stb)&&(cfg_sel);
wbscope #(5'h6) wbcfgscope(i_clk, 1'b1, scop_cfg_trigger, cfg_scope,
wbscope #(5'ha) wbcfgscope(i_clk, 1'b1, scop_cfg_trigger, cfg_scope,
// Wishbone interface
i_clk, wb_cyc, (wb_stb)&&(scop_sel),
wb_we, wb_addr[0], wb_data,
/rtl/spio.v
1,3 → 1,41
////////////////////////////////////////////////////////////////////////////////
//
// Filename: spio.v
//
// Project: CMod S6 System on a Chip, ZipCPU demonstration project
//
// Purpose:
//
// Creator: Dan Gisselquist, Ph.D.
// Gisselquist Technology, LLC
//
////////////////////////////////////////////////////////////////////////////////
//
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
//
// This program is free software (firmware): you can redistribute it and/or
// modify it under the terms of the GNU General Public License as published
// by the Free Software Foundation, either version 3 of the License, or (at
// your option) any later version.
//
// This program is distributed in the hope that it will be useful, but WITHOUT
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
// for more details.
//
// You should have received a copy of the GNU General Public License along
// with this program. (It's in the $(ROOT)/doc directory, run make with no
// target there if the PDF file isn't present.) If not, see
// <http://www.gnu.org/licenses/> for a copy.
//
// License: GPL, v3, as defined and found on www.gnu.org,
// http://www.gnu.org/licenses/gpl.html
//
//
////////////////////////////////////////////////////////////////////////////////
//
//
 
module spio(i_clk, i_wb_cyc, i_wb_stb, i_wb_we, i_wb_data, o_wb_data,
o_kp_col, i_kp_row, i_btn, o_led,
o_kp_int, o_btn_int);
/doc/spec.pdf Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
doc/spec.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: doc/gfx/s6bones.eps =================================================================== --- doc/gfx/s6bones.eps (nonexistent) +++ doc/gfx/s6bones.eps (revision 7) @@ -0,0 +1,8744 @@ +%!PS-Adobe-2.0 EPSF-2.0 +%%Title: /home/dan/jericho/work/rnd/opencores/s6soc/trunk/doc/gfx/s6bones.dia +%%Creator: Dia v0.97.2 +%%CreationDate: Sat Apr 23 06:36:46 2016 +%%For: dan +%%Orientation: Portrait +%%Magnification: 1.0000 +%%BoundingBox: 0 0 648 341 +%%BeginSetup +%%EndSetup +%%EndComments +%%BeginProlog +[ /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /space /exclam /quotedbl /numbersign /dollar /percent /ampersand /quoteright +/parenleft /parenright /asterisk /plus /comma /hyphen /period /slash /zero /one +/two /three /four /five /six /seven /eight /nine /colon /semicolon +/less /equal /greater /question /at /A /B /C /D /E +/F /G /H /I /J /K /L /M /N /O +/P /Q /R /S /T /U /V /W /X /Y +/Z /bracketleft /backslash /bracketright /asciicircum /underscore /quoteleft /a /b /c +/d /e /f /g /h /i /j /k /l /m +/n /o /p /q /r /s /t /u /v /w +/x /y /z /braceleft /bar /braceright /asciitilde /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/space /exclamdown /cent /sterling /currency /yen /brokenbar /section /dieresis /copyright +/ordfeminine /guillemotleft /logicalnot /hyphen /registered /macron /degree /plusminus /twosuperior /threesuperior +/acute /mu /paragraph /periodcentered /cedilla /onesuperior /ordmasculine /guillemotright /onequarter /onehalf +/threequarters /questiondown /Agrave /Aacute /Acircumflex /Atilde /Adieresis /Aring /AE /Ccedilla +/Egrave /Eacute /Ecircumflex /Edieresis /Igrave /Iacute /Icircumflex /Idieresis /Eth /Ntilde +/Ograve /Oacute /Ocircumflex /Otilde /Odieresis /multiply /Oslash /Ugrave /Uacute /Ucircumflex +/Udieresis /Yacute /Thorn /germandbls /agrave /aacute /acircumflex /atilde /adieresis /aring +/ae /ccedilla /egrave /eacute /ecircumflex /edieresis /igrave /iacute /icircumflex /idieresis +/eth /ntilde /ograve /oacute /ocircumflex /otilde /odieresis /divide /oslash /ugrave +/uacute /ucircumflex /udieresis /yacute /thorn /ydieresis] /isolatin1encoding exch def +/cp {closepath} bind def +/c {curveto} bind def +/f {fill} bind def +/a {arc} bind def +/ef {eofill} bind def +/ex {exch} bind def +/gr {grestore} bind def +/gs {gsave} bind def +/sa {save} bind def +/rs {restore} bind def +/l {lineto} bind def +/m {moveto} bind def +/rm {rmoveto} bind def +/n {newpath} bind def +/s {stroke} bind def +/sh {show} bind def +/slc {setlinecap} bind def +/slj {setlinejoin} bind def +/slw {setlinewidth} bind def +/srgb {setrgbcolor} bind def +/rot {rotate} bind def +/sc {scale} bind def +/sd {setdash} bind def +/ff {findfont} bind def +/sf {setfont} bind def +/scf {scalefont} bind def +/sw {stringwidth pop} bind def +/tr {translate} bind def + +/ellipsedict 8 dict def +ellipsedict /mtrx matrix put +/ellipse +{ ellipsedict begin + /endangle exch def + /startangle exch def + /yrad exch def + /xrad exch def + /y exch def + /x exch def /savematrix mtrx currentmatrix def + x y tr xrad yrad sc + 0 0 1 startangle endangle arc + savematrix setmatrix + end +} def + +/mergeprocs { +dup length +3 -1 roll +dup +length +dup +5 1 roll +3 -1 roll +add +array cvx +dup +3 -1 roll +0 exch +putinterval +dup +4 2 roll +putinterval +} bind def +/dpi_x 300 def +/dpi_y 300 def +/conicto { + /to_y exch def + /to_x exch def + /conic_cntrl_y exch def + /conic_cntrl_x exch def + currentpoint + /p0_y exch def + /p0_x exch def + /p1_x p0_x conic_cntrl_x p0_x sub 2 3 div mul add def + /p1_y p0_y conic_cntrl_y p0_y sub 2 3 div mul add def + /p2_x p1_x to_x p0_x sub 1 3 div mul add def + /p2_y p1_y to_y p0_y sub 1 3 div mul add def + p1_x p1_y p2_x p2_y to_x to_y curveto +} bind def +/start_ol { gsave 1.1 dpi_x div dup scale} bind def +/end_ol { closepath fill grestore } bind def +4.961635 -4.961635 scale +0.300000 -68.300000 translate +%%EndProlog + + +0.600000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 0.800000 1.000000 srgb +n 8.000000 0.000000 m 8.000000 68.000000 l 122.000000 68.000000 l 122.000000 0.000000 l f +n 8.000000 8.000000 m 8.000000 8.000000 8.000000 8.000000 180.000000 270.000000 ellipse f +n 122.000000 8.000000 m 122.000000 8.000000 8.000000 8.000000 270.000000 360.000000 ellipse f +n 0.000000 8.000000 m 0.000000 60.000000 l 130.000000 60.000000 l 130.000000 8.000000 l f +n 8.000000 60.000000 m 8.000000 60.000000 8.000000 8.000000 90.000000 180.000000 ellipse f +n 122.000000 60.000000 m 122.000000 60.000000 8.000000 8.000000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 8.000000 0.000000 m 122.000000 0.000000 l s +n 8.000000 68.000000 m 122.000000 68.000000 l s +n 8.000000 8.000000 8.000000 8.000000 180.000000 270.000000 ellipse s +n 122.000000 8.000000 8.000000 8.000000 270.000000 360.000000 ellipse s +n 0.000000 8.000000 m 0.000000 60.000000 l s +n 130.000000 8.000000 m 130.000000 60.000000 l s +n 8.000000 60.000000 8.000000 8.000000 90.000000 180.000000 ellipse s +n 122.000000 60.000000 8.000000 8.000000 0.000000 90.000000 ellipse s +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +0.847059 0.898039 0.898039 srgb +n 4.000000 6.000000 m 4.000000 68.000000 l 60.000000 68.000000 l 60.000000 6.000000 l f +n 4.000000 10.000000 m 4.000000 10.000000 4.000000 4.000000 180.000000 270.000000 ellipse f +n 60.000000 10.000000 m 60.000000 10.000000 4.000000 4.000000 270.000000 360.000000 ellipse f +n 0.000000 10.000000 m 0.000000 64.000000 l 64.000000 64.000000 l 64.000000 10.000000 l f +n 4.000000 64.000000 m 4.000000 64.000000 4.000000 4.000000 90.000000 180.000000 ellipse f +n 60.000000 64.000000 m 60.000000 64.000000 4.000000 4.000000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 4.000000 6.000000 m 60.000000 6.000000 l s +n 4.000000 68.000000 m 60.000000 68.000000 l s +n 4.000000 10.000000 4.000000 4.000000 180.000000 270.000000 ellipse s +n 60.000000 10.000000 4.000000 4.000000 270.000000 360.000000 ellipse s +n 0.000000 10.000000 m 0.000000 64.000000 l s +n 64.000000 10.000000 m 64.000000 64.000000 l s +n 4.000000 64.000000 4.000000 4.000000 90.000000 180.000000 ellipse s +n 60.000000 64.000000 4.000000 4.000000 0.000000 90.000000 ellipse s +0.500000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 0.792157 srgb +n 7.000000 13.000000 m 7.000000 55.000000 l 58.000000 55.000000 l 58.000000 13.000000 l f +n 7.000000 16.000000 m 7.000000 16.000000 3.000000 3.000000 180.000000 270.000000 ellipse f +n 58.000000 16.000000 m 58.000000 16.000000 3.000000 3.000000 270.000000 360.000000 ellipse f +n 4.000000 16.000000 m 4.000000 52.000000 l 61.000000 52.000000 l 61.000000 16.000000 l f +n 7.000000 52.000000 m 7.000000 52.000000 3.000000 3.000000 90.000000 180.000000 ellipse f +n 58.000000 52.000000 m 58.000000 52.000000 3.000000 3.000000 0.000000 90.000000 ellipse f +0.501961 0.501961 0.501961 srgb +n 7.000000 13.000000 m 58.000000 13.000000 l s +n 7.000000 55.000000 m 58.000000 55.000000 l s +n 7.000000 16.000000 3.000000 3.000000 180.000000 270.000000 ellipse s +n 58.000000 16.000000 3.000000 3.000000 270.000000 360.000000 ellipse s +n 4.000000 16.000000 m 4.000000 52.000000 l s +n 61.000000 16.000000 m 61.000000 52.000000 l s +n 7.000000 52.000000 3.000000 3.000000 90.000000 180.000000 ellipse s +n 58.000000 52.000000 3.000000 3.000000 0.000000 90.000000 ellipse s +0.010000 slw +[] 0 sd +0 slj +1 slc +0.000000 0.000000 0.000000 srgb +n 14.015501 40.261623 m 14.010790 40.993986 l 14.075104 40.997733 l 14.087556 40.979478 l 14.078851 40.933419 14.073048 40.902712 14.100853 40.881556 c 14.116207 40.878654 14.116207 40.878654 14.180521 40.882402 c 14.673881 40.884576 l 14.738195 40.888323 14.738195 40.888323 14.753548 40.885422 c 14.756450 40.900775 14.762253 40.931481 14.770957 40.977541 c 14.758505 40.995796 l 14.822820 40.999543 l 14.836600 40.567597 l 14.772285 40.563849 l 14.759833 40.582104 l 14.768538 40.628164 14.758987 40.661772 14.743634 40.664673 c 14.731182 40.682928 14.731182 40.682928 14.666868 40.679181 c 14.427865 40.676643 l 14.424963 40.661290 l 14.425809 40.581622 14.496773 40.536408 14.588892 40.518999 c 14.592639 40.454685 l 14.227909 40.460006 l 14.224162 40.524320 l 14.337437 40.534716 14.379750 40.590326 14.378904 40.669994 c 14.381805 40.685347 l 14.173509 40.677007 l 14.127449 40.685711 14.109194 40.673259 14.090939 40.660808 c 14.072685 40.648356 14.085137 40.630101 14.076432 40.584041 c 14.088884 40.565787 l 14.074377 40.489020 14.108830 40.418903 14.164440 40.376591 c 14.192245 40.355434 14.250757 40.328475 14.327523 40.313968 c 14.315917 40.252555 l 14.015501 40.261623 l ef +n 13.996792 39.931226 m 14.015410 40.198034 l 14.079725 40.201781 l 14.089275 40.168173 l 14.077669 40.106761 14.077669 40.106761 14.172690 40.104705 c 14.666050 40.106879 l 14.715011 40.113528 l 14.761071 40.104823 14.763972 40.120177 14.760225 40.184491 c 14.763126 40.199844 l 14.827441 40.203592 l 14.822120 39.838861 l 14.757805 39.835114 l 14.760707 39.850467 l 14.763608 39.865820 14.769411 39.896527 14.769411 39.896527 c 14.756959 39.914782 14.744508 39.933036 14.713801 39.938839 c 14.664840 39.932190 l 13.996792 39.931226 l ef +n 14.465184 39.254351 m 14.385517 39.253505 14.370163 39.256406 14.329907 39.295817 c 14.307905 39.347680 14.285902 39.399543 14.287958 39.494564 c 14.286266 39.653899 14.349735 39.737314 14.414049 39.741061 c 14.463010 39.747710 14.503267 39.708299 14.509916 39.659338 c 14.513663 39.595024 14.477154 39.570120 14.428193 39.563471 c 14.412840 39.566373 14.397486 39.569274 14.385034 39.587529 c 14.366780 39.575077 14.345624 39.547272 14.352272 39.498311 c 14.340667 39.436898 14.365570 39.400389 14.429885 39.404136 c 14.494199 39.407883 l 14.497100 39.423237 14.500002 39.438590 14.502903 39.453943 c 14.523214 39.561416 14.547271 39.604574 14.558877 39.665987 c 14.588738 39.739852 14.643502 39.777207 14.723170 39.778053 c 14.802837 39.778899 14.837291 39.708782 14.847687 39.595506 c 14.845632 39.500485 14.818673 39.441974 14.761007 39.389265 c 14.807067 39.380561 14.847324 39.341150 14.835718 39.279737 c 14.839465 39.215422 14.797153 39.159812 14.748192 39.153163 c 14.732838 39.156065 14.732838 39.156065 14.735740 39.171418 c 14.738641 39.186771 14.738641 39.186771 14.726190 39.205026 c 14.741543 39.202125 14.741543 39.202125 14.744444 39.217478 c 14.747346 39.232831 14.734894 39.251086 14.670579 39.247338 c 14.465184 39.254351 l ef +1.000000 1.000000 1.000000 srgb +n 14.558513 39.411631 m 14.622828 39.415378 l 14.717849 39.413323 14.757260 39.453579 14.768866 39.514992 c 14.762217 39.563954 14.734412 39.585110 14.685451 39.578461 c 14.624038 39.590067 14.584627 39.549810 14.570119 39.473044 c ef +0.000000 0.000000 0.000000 srgb +n 14.723982 38.835732 m 14.720234 38.900046 14.723136 38.915400 14.689528 38.905849 c 14.658821 38.911652 14.653018 38.880946 14.656766 38.816631 c 14.653864 38.801278 l 14.654710 38.721610 14.633554 38.693805 14.627751 38.663099 c 14.582537 38.592135 14.524872 38.539427 14.463459 38.551033 c 14.399144 38.547285 14.386693 38.565540 14.349337 38.620304 c 14.315729 38.610754 14.312828 38.595401 14.325280 38.577146 c 14.325280 38.577146 14.322378 38.561793 14.322378 38.561793 c 14.353085 38.555990 14.383791 38.550187 14.377988 38.519480 c 14.384637 38.470519 14.345226 38.430262 14.299166 38.438967 c 14.250205 38.432318 14.225302 38.468827 14.218653 38.517789 c 14.230259 38.579202 14.254316 38.622360 14.327335 38.672167 c 14.299530 38.693323 14.280429 38.760539 14.289134 38.806599 c 14.287442 38.965934 14.369165 39.061801 14.467088 39.075099 c 14.546755 39.075945 14.602365 39.033632 14.618565 38.951063 c 14.635973 39.043183 14.690737 39.080538 14.739699 39.087187 c 14.804013 39.090934 14.826015 39.039071 14.845116 38.971855 c 14.859623 39.048622 14.886582 39.107133 14.932642 39.098429 c 15.012310 39.099275 15.059215 39.010903 15.070458 38.817959 c 15.066346 38.627917 14.994173 38.498443 14.883799 38.503400 c 14.819485 38.499652 14.748522 38.544866 14.726519 38.596729 c 14.719871 38.645690 14.713222 38.694651 14.709474 38.758966 c 14.723982 38.835732 l ef +1.000000 1.000000 1.000000 srgb +n 14.350547 38.794993 m 14.341842 38.748933 14.385001 38.724875 14.464668 38.725721 c 14.544336 38.726567 14.580846 38.751471 14.589550 38.797531 c 14.595353 38.828237 14.570449 38.864747 14.557998 38.883001 c 14.524390 38.873451 14.496585 38.894607 14.465878 38.900410 c 14.386210 38.899564 14.346799 38.859307 14.350547 38.794993 c ef +n 14.868810 38.760657 m 14.872557 38.696343 14.872557 38.696343 14.900362 38.675187 c 14.897461 38.659834 14.912814 38.656932 14.928167 38.654031 c 14.977128 38.660679 14.998285 38.688485 15.006143 38.814212 c 15.005297 38.893880 14.967942 38.948644 14.934334 38.939093 c 14.903627 38.944896 14.888274 38.947798 14.882471 38.917091 c ef +0.000000 0.000000 0.000000 srgb +n 14.307355 37.956397 m 14.300706 38.005358 l 14.321862 38.033163 l 14.299860 38.085026 14.293211 38.133987 14.286562 38.182948 c 14.294421 38.308676 14.373243 38.389189 14.471165 38.402487 c 14.501871 38.396684 14.547931 38.387980 14.572835 38.351470 c 14.597738 38.314961 14.625543 38.293805 14.641743 38.211235 c 14.657942 38.128666 l 14.661689 38.064352 14.689494 38.043196 14.723102 38.052746 c 14.769162 38.044042 14.774965 38.074748 14.786571 38.136161 c 14.785725 38.215829 14.738819 38.304201 14.621796 38.358119 c 14.630500 38.404179 l 14.835895 38.397166 l 14.818487 38.305047 l 14.825136 38.256086 14.847138 38.204223 14.850885 38.139908 c 14.840125 37.998828 14.761303 37.918314 14.650929 37.923271 c 14.601968 37.916622 14.561711 37.956033 14.533906 37.977189 c 14.506101 37.998346 14.493649 38.016600 14.477450 38.099169 c 14.451701 38.215347 l 14.426797 38.251856 14.429699 38.267209 14.398992 38.273012 c 14.365384 38.263462 14.344228 38.235657 14.347975 38.171342 c 14.348821 38.091675 14.389078 38.052264 14.460041 38.007050 c 14.466690 37.958089 l 14.307355 37.956397 l ef +1.000000 1.000000 1.000000 srgb +n 14.015501 40.261623 m 14.010790 40.993986 l 14.075104 40.997733 l 14.087556 40.979478 l 14.078851 40.933419 14.073048 40.902712 14.100853 40.881556 c 14.116207 40.878654 14.116207 40.878654 14.180521 40.882402 c 14.673881 40.884576 l 14.738195 40.888323 14.738195 40.888323 14.753548 40.885422 c 14.756450 40.900775 14.762253 40.931481 14.770957 40.977541 c 14.758505 40.995796 l 14.822820 40.999543 l 14.836600 40.567597 l 14.772285 40.563849 l 14.759833 40.582104 l 14.768538 40.628164 14.758987 40.661772 14.743634 40.664673 c 14.731182 40.682928 14.731182 40.682928 14.666868 40.679181 c 14.427865 40.676643 l 14.424963 40.661290 l 14.425809 40.581622 14.496773 40.536408 14.588892 40.518999 c 14.592639 40.454685 l 14.227909 40.460006 l 14.224162 40.524320 l 14.337437 40.534716 14.379750 40.590326 14.378904 40.669994 c 14.381805 40.685347 l 14.173509 40.677007 l 14.127449 40.685711 14.109194 40.673259 14.090939 40.660808 c 14.072685 40.648356 14.085137 40.630101 14.076432 40.584041 c 14.088884 40.565787 l 14.074377 40.489020 14.108830 40.418903 14.164440 40.376591 c 14.192245 40.355434 14.250757 40.328475 14.327523 40.313968 c 14.315917 40.252555 l 14.015501 40.261623 l s +n 13.996792 39.931226 m 14.015410 40.198034 l 14.079725 40.201781 l 14.089275 40.168173 l 14.077669 40.106761 14.077669 40.106761 14.172690 40.104705 c 14.666050 40.106879 l 14.715011 40.113528 l 14.761071 40.104823 14.763972 40.120177 14.760225 40.184491 c 14.763126 40.199844 l 14.827441 40.203592 l 14.822120 39.838861 l 14.757805 39.835114 l 14.760707 39.850467 l 14.763608 39.865820 14.769411 39.896527 14.769411 39.896527 c 14.756959 39.914782 14.744508 39.933036 14.713801 39.938839 c 14.664840 39.932190 l 13.996792 39.931226 l s +n 14.465184 39.254351 m 14.385517 39.253505 14.370163 39.256406 14.329907 39.295817 c 14.307905 39.347680 14.285902 39.399543 14.287958 39.494564 c 14.286266 39.653899 14.349735 39.737314 14.414049 39.741061 c 14.463010 39.747710 14.503267 39.708299 14.509916 39.659338 c 14.513663 39.595024 14.477154 39.570120 14.428193 39.563471 c 14.412840 39.566373 14.397486 39.569274 14.385034 39.587529 c 14.366780 39.575077 14.345624 39.547272 14.352272 39.498311 c 14.340667 39.436898 14.365570 39.400389 14.429885 39.404136 c 14.494199 39.407883 l 14.497100 39.423237 14.500002 39.438590 14.502903 39.453943 c 14.523214 39.561416 14.547271 39.604574 14.558877 39.665987 c 14.588738 39.739852 14.643502 39.777207 14.723170 39.778053 c 14.802837 39.778899 14.837291 39.708782 14.847687 39.595506 c 14.845632 39.500485 14.818673 39.441974 14.761007 39.389265 c 14.807067 39.380561 14.847324 39.341150 14.835718 39.279737 c 14.839465 39.215422 14.797153 39.159812 14.748192 39.153163 c 14.732838 39.156065 14.732838 39.156065 14.735740 39.171418 c 14.738641 39.186771 14.738641 39.186771 14.726190 39.205026 c 14.741543 39.202125 14.741543 39.202125 14.744444 39.217478 c 14.747346 39.232831 14.734894 39.251086 14.670579 39.247338 c 14.465184 39.254351 l s +n 14.558513 39.411631 m 14.622828 39.415378 l 14.717849 39.413323 14.757260 39.453579 14.768866 39.514992 c 14.762217 39.563954 14.734412 39.585110 14.685451 39.578461 c 14.624038 39.590067 14.584627 39.549810 14.570119 39.473044 c 14.558513 39.411631 l s +n 14.723982 38.835732 m 14.720234 38.900046 14.723136 38.915400 14.689528 38.905849 c 14.658821 38.911652 14.653018 38.880946 14.656766 38.816631 c 14.653864 38.801278 l 14.654710 38.721610 14.633554 38.693805 14.627751 38.663099 c 14.582537 38.592135 14.524872 38.539427 14.463459 38.551033 c 14.399144 38.547285 14.386693 38.565540 14.349337 38.620304 c 14.315729 38.610754 14.312828 38.595401 14.325280 38.577146 c 14.325280 38.577146 14.322378 38.561793 14.322378 38.561793 c 14.353085 38.555990 14.383791 38.550187 14.377988 38.519480 c 14.384637 38.470519 14.345226 38.430262 14.299166 38.438967 c 14.250205 38.432318 14.225302 38.468827 14.218653 38.517789 c 14.230259 38.579202 14.254316 38.622360 14.327335 38.672167 c 14.299530 38.693323 14.280429 38.760539 14.289134 38.806599 c 14.287442 38.965934 14.369165 39.061801 14.467088 39.075099 c 14.546755 39.075945 14.602365 39.033632 14.618565 38.951063 c 14.635973 39.043183 14.690737 39.080538 14.739699 39.087187 c 14.804013 39.090934 14.826015 39.039071 14.845116 38.971855 c 14.859623 39.048622 14.886582 39.107133 14.932642 39.098429 c 15.012310 39.099275 15.059215 39.010903 15.070458 38.817959 c 15.066346 38.627917 14.994173 38.498443 14.883799 38.503400 c 14.819485 38.499652 14.748522 38.544866 14.726519 38.596729 c 14.719871 38.645690 14.713222 38.694651 14.709474 38.758966 c 14.723982 38.835732 l s +n 14.350547 38.794993 m 14.341842 38.748933 14.385001 38.724875 14.464668 38.725721 c 14.544336 38.726567 14.580846 38.751471 14.589550 38.797531 c 14.595353 38.828237 14.570449 38.864747 14.557998 38.883001 c 14.524390 38.873451 14.496585 38.894607 14.465878 38.900410 c 14.386210 38.899564 14.346799 38.859307 14.350547 38.794993 c 14.350547 38.794993 l s +n 14.868810 38.760657 m 14.872557 38.696343 14.872557 38.696343 14.900362 38.675187 c 14.897461 38.659834 14.912814 38.656932 14.928167 38.654031 c 14.977128 38.660679 14.998285 38.688485 15.006143 38.814212 c 15.005297 38.893880 14.967942 38.948644 14.934334 38.939093 c 14.903627 38.944896 14.888274 38.947798 14.882471 38.917091 c 14.868810 38.760657 l s +n 14.307355 37.956397 m 14.300706 38.005358 l 14.321862 38.033163 l 14.299860 38.085026 14.293211 38.133987 14.286562 38.182948 c 14.294421 38.308676 14.373243 38.389189 14.471165 38.402487 c 14.501871 38.396684 14.547931 38.387980 14.572835 38.351470 c 14.597738 38.314961 14.625543 38.293805 14.641743 38.211235 c 14.657942 38.128666 l 14.661689 38.064352 14.689494 38.043196 14.723102 38.052746 c 14.769162 38.044042 14.774965 38.074748 14.786571 38.136161 c 14.785725 38.215829 14.738819 38.304201 14.621796 38.358119 c 14.630500 38.404179 l 14.835895 38.397166 l 14.818487 38.305047 l 14.825136 38.256086 14.847138 38.204223 14.850885 38.139908 c 14.840125 37.998828 14.761303 37.918314 14.650929 37.923271 c 14.601968 37.916622 14.561711 37.956033 14.533906 37.977189 c 14.506101 37.998346 14.493649 38.016600 14.477450 38.099169 c 14.451701 38.215347 l 14.426797 38.251856 14.429699 38.267209 14.398992 38.273012 c 14.365384 38.263462 14.344228 38.235657 14.347975 38.171342 c 14.348821 38.091675 14.389078 38.052264 14.460041 38.007050 c 14.466690 37.958089 l 14.307355 37.956397 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +0.000000 0.000000 0.000000 srgb +n 18.000000 41.000000 m 18.000000 42.000000 l 15.000000 42.000000 l 15.000000 36.000000 l 19.000000 36.000000 l 19.000000 36.513197 l s +[] 0 sd +0 slj +0 slc +n 19.000000 36.888197 m 18.750000 36.388197 l 19.000000 36.513197 l 19.250000 36.388197 l ef +n 19.000000 36.888197 m 18.750000 36.388197 l 19.000000 36.513197 l 19.250000 36.388197 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 19.000000 36.000000 m 35.000000 36.000000 l 35.000000 36.513197 l s +[] 0 sd +0 slj +0 slc +n 35.000000 36.888197 m 34.750000 36.388197 l 35.000000 36.513197 l 35.250000 36.388197 l ef +n 35.000000 36.888197 m 34.750000 36.388197 l 35.000000 36.513197 l 35.250000 36.388197 l cp s +0.500000 slw +[] 0 sd +[] 0 sd +0 slc +n 32.000000 19.000000 m 32.000000 21.065983 l s +[] 0 sd +0 slj +0 slc +n 32.000000 21.440983 m 31.750000 20.940983 l 32.000000 21.065983 l 32.250000 20.940983 l ef +n 32.000000 21.440983 m 31.750000 20.940983 l 32.000000 21.065983 l 32.250000 20.940983 l cp s +0.500000 slw +[] 0 sd +[] 0 sd +0 slc +n 32.000000 26.000000 m 32.000000 28.065983 l s +[] 0 sd +0 slj +0 slc +n 32.000000 28.440983 m 31.750000 27.940983 l 32.000000 28.065983 l 32.250000 27.940983 l ef +n 32.000000 28.440983 m 31.750000 27.940983 l 32.000000 28.065983 l 32.250000 27.940983 l cp s +0.400000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 32.000000 33.000000 m 32.000000 35.000000 l 24.000000 35.000000 l 24.000000 36.177786 l s +[] 0 sd +0 slj +0 slc +n 24.000000 36.552786 m 23.750000 36.052786 l 24.000000 36.177786 l 24.250000 36.052786 l ef +n 24.000000 36.552786 m 23.750000 36.052786 l 24.000000 36.177786 l 24.250000 36.052786 l cp s +0.400000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 32.000000 35.000000 m 32.000000 35.000000 l 39.750000 35.000000 l 39.750000 36.177786 l s +[] 0 sd +0 slj +0 slc +n 39.750000 36.552786 m 39.500000 36.052786 l 39.750000 36.177786 l 40.000000 36.052786 l ef +n 39.750000 36.552786 m 39.500000 36.052786 l 39.750000 36.177786 l 40.000000 36.052786 l cp s +0.400000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 24.000000 43.000000 m 24.000000 45.000000 l 32.000000 45.000000 l 32.000000 47.177786 l s +[] 0 sd +0 slj +0 slc +n 32.000000 47.552786 m 31.750000 47.052786 l 32.000000 47.177786 l 32.250000 47.052786 l ef +n 32.000000 47.552786 m 31.750000 47.052786 l 32.000000 47.177786 l 32.250000 47.052786 l cp s +0.500000 slw +[] 0 sd +[] 0 sd +0 slc +n 25.000000 50.000000 m 12.934017 50.000000 l s +[] 0 sd +0 slj +0 slc +n 12.559017 50.000000 m 13.059017 49.750000 l 12.934017 50.000000 l 13.059017 50.250000 l ef +n 12.559017 50.000000 m 13.059017 49.750000 l 12.934017 50.000000 l 13.059017 50.250000 l cp s +0.500000 slw +[] 0 sd +[] 0 sd +0 slc +n 12.000000 31.000000 m 24.065983 31.000000 l s +[] 0 sd +0 slj +0 slc +n 24.440983 31.000000 m 23.940983 31.250000 l 24.065983 31.000000 l 23.940983 30.750000 l ef +n 24.440983 31.000000 m 23.940983 31.250000 l 24.065983 31.000000 l 23.940983 30.750000 l cp s +0.500000 slw +[] 0 sd +[] 0 sd +0 slc +n 53.000000 17.000000 m 39.934017 17.000000 l s +[] 0 sd +0 slj +0 slc +n 39.559017 17.000000 m 40.059017 16.750000 l 39.934017 17.000000 l 40.059017 17.250000 l ef +n 39.559017 17.000000 m 40.059017 16.750000 l 39.934017 17.000000 l 40.059017 17.250000 l cp s +0.500000 slw +[] 0 sd +[] 0 sd +0 slc +n 52.065983 38.000000 m 47.434017 38.000000 l s +[] 0 sd +0 slj +0 slc +n 52.440983 38.000000 m 51.940983 38.250000 l 52.065983 38.000000 l 51.940983 37.750000 l ef +n 52.440983 38.000000 m 51.940983 38.250000 l 52.065983 38.000000 l 51.940983 37.750000 l cp s +[] 0 sd +0 slj +0 slc +n 47.059017 38.000000 m 47.559017 37.750000 l 47.434017 38.000000 l 47.559017 38.250000 l ef +n 47.059017 38.000000 m 47.559017 37.750000 l 47.434017 38.000000 l 47.559017 38.250000 l cp s +0.300000 slw +[] 0 sd +[] 0 sd +0 slj +1.000000 1.000000 1.000000 srgb +n 17.000000 37.000000 m 17.000000 41.000000 l 31.000000 41.000000 l 31.000000 37.000000 l f +0.000000 0.000000 0.600000 srgb +n 17.000000 37.000000 m 17.000000 41.000000 l 31.000000 41.000000 l 31.000000 37.000000 l cp s +0.000000 0.000000 0.000000 srgb +gsave 18.250000 39.468750 translate 0.035278 -0.035278 scale +start_ol +2048 2688 moveto +4786 2688 lineto +3417 6268 lineto +2048 2688 lineto +-64 0 moveto +-64 512 lineto +594 512 lineto +3252 7488 lineto +4091 7488 lineto +6754 512 lineto +7488 512 lineto +7488 0 lineto +4776 0 lineto +4776 512 lineto +5605 512 lineto +4980 2176 lineto +1843 2176 lineto +1219 512 lineto +2038 512 lineto +2038 0 lineto +-64 0 lineto +end_ol grestore +gsave 19.226585 39.468750 translate 0.035278 -0.035278 scale +start_ol +4928 5312 moveto +4928 3968 lineto +4416 3968 lineto +4390 4354 4191 4545 conicto +3992 4736 3610 4736 conicto +2916 4736 2546 4271 conicto +2176 3806 2176 2936 conicto +2176 512 lineto +3264 512 lineto +3264 0 lineto +448 0 lineto +448 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 4335 lineto +2449 4898 2878 5169 conicto +3307 5440 3923 5440 conicto +4150 5440 4400 5407 conicto +4650 5374 4928 5312 conicto +end_ol grestore +gsave 19.873475 39.468750 translate 0.035278 -0.035278 scale +start_ol +960 6973 moveto +960 7207 1130 7379 conicto +1300 7552 1539 7552 conicto +1772 7552 1942 7379 conicto +2112 7207 2112 6973 conicto +2112 6735 1944 6567 conicto +1777 6400 1539 6400 conicto +1300 6400 1130 6567 conicto +960 6735 960 6973 conicto +2176 512 moveto +3072 512 lineto +3072 0 lineto +384 0 lineto +384 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 512 lineto +end_ol grestore +gsave 20.305564 39.468750 translate 0.035278 -0.035278 scale +start_ol +1088 4800 moveto +256 4800 lineto +256 5312 lineto +1088 5312 lineto +1088 6976 lineto +1984 6976 lineto +1984 5312 lineto +3753 5312 lineto +3753 4800 lineto +1984 4800 lineto +1984 1435 lineto +1984 763 2117 573 conicto +2251 384 2610 384 conicto +2979 384 3148 595 conicto +3318 807 3328 1280 conicto +4032 1280 lineto +3992 548 3635 210 conicto +3278 -128 2550 -128 conicto +1751 -128 1419 227 conicto +1088 583 1088 1435 conicto +1088 4800 lineto +end_ol grestore +gsave 20.850052 39.468750 translate 0.035278 -0.035278 scale +start_ol +448 0 moveto +448 512 lineto +1280 512 lineto +1280 7296 lineto +384 7296 lineto +384 7808 lineto +2176 7808 lineto +2176 4329 lineto +2432 4879 2840 5159 conicto +3249 5440 3790 5440 conicto +4672 5440 5088 4931 conicto +5504 4423 5504 3345 conicto +5504 512 lineto +6336 512 lineto +6336 0 lineto +3840 0 lineto +3840 512 lineto +4608 512 lineto +4608 3056 lineto +4608 4025 4367 4380 conicto +4126 4736 3506 4736 conicto +2856 4736 2516 4274 conicto +2176 3812 2176 2927 conicto +2176 512 lineto +3008 512 lineto +3008 0 lineto +448 0 lineto +end_ol grestore +gsave 21.721730 39.468750 translate 0.035278 -0.035278 scale +start_ol +5362 4239 moveto +5631 4834 6049 5137 conicto +6468 5440 7025 5440 conicto +7872 5440 8288 4919 conicto +8704 4398 8704 3345 conicto +8704 512 lineto +9536 512 lineto +9536 0 lineto +6976 0 lineto +6976 512 lineto +7808 512 lineto +7808 3240 lineto +7808 4050 7560 4393 conicto +7313 4736 6740 4736 conicto +6106 4736 5773 4274 conicto +5440 3812 5440 2927 conicto +5440 512 lineto +6272 512 lineto +6272 0 lineto +3712 0 lineto +3712 512 lineto +4544 512 lineto +4544 3275 lineto +4544 4065 4296 4400 conicto +4049 4736 3476 4736 conicto +2842 4736 2509 4274 conicto +2176 3812 2176 2927 conicto +2176 512 lineto +3008 512 lineto +3008 0 lineto +448 0 lineto +448 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 4329 lineto +2435 4869 2836 5154 conicto +3238 5440 3746 5440 conicto +4376 5440 4798 5127 conicto +5220 4814 5362 4239 conicto +end_ol grestore +gsave 23.005530 39.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +gsave 23.807275 39.468750 translate 0.035278 -0.035278 scale +start_ol +1088 4800 moveto +256 4800 lineto +256 5312 lineto +1088 5312 lineto +1088 6976 lineto +1984 6976 lineto +1984 5312 lineto +3753 5312 lineto +3753 4800 lineto +1984 4800 lineto +1984 1435 lineto +1984 763 2117 573 conicto +2251 384 2610 384 conicto +2979 384 3148 595 conicto +3318 807 3328 1280 conicto +4032 1280 lineto +3992 548 3635 210 conicto +3278 -128 2550 -128 conicto +1751 -128 1419 227 conicto +1088 583 1088 1435 conicto +1088 4800 lineto +end_ol grestore +gsave 24.351763 39.468750 translate 0.035278 -0.035278 scale +start_ol +960 6973 moveto +960 7207 1130 7379 conicto +1300 7552 1539 7552 conicto +1772 7552 1942 7379 conicto +2112 7207 2112 6973 conicto +2112 6735 1944 6567 conicto +1777 6400 1539 6400 conicto +1300 6400 1130 6567 conicto +960 6735 960 6973 conicto +2176 512 moveto +3072 512 lineto +3072 0 lineto +384 0 lineto +384 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 512 lineto +end_ol grestore +gsave 24.783852 39.468750 translate 0.035278 -0.035278 scale +start_ol +5248 1600 moveto +5054 756 4501 314 conicto +3948 -128 3072 -128 conicto +1916 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3925 1211 4682 conicto +1911 5440 3062 5440 conicto +3563 5440 4059 5329 conicto +4555 5218 5056 4992 conicto +5056 3648 lineto +4544 3648 lineto +4440 4317 4090 4622 conicto +3741 4928 3092 4928 conicto +2353 4928 1976 4359 conicto +1600 3791 1600 2658 conicto +1600 1526 1970 955 conicto +2340 384 3074 384 conicto +3657 384 4005 687 conicto +4353 990 4480 1600 conicto +5248 1600 lineto +end_ol grestore +gsave 25.540643 39.468750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 25.970236 39.468750 translate 0.035278 -0.035278 scale +start_ol +576 0 moveto +576 512 lineto +1536 512 lineto +1536 6976 lineto +576 6976 lineto +576 7488 lineto +3520 7488 lineto +3520 6976 lineto +2560 6976 lineto +2560 640 lineto +5952 640 lineto +5952 1920 lineto +6592 1920 lineto +6592 0 lineto +576 0 lineto +end_ol grestore +gsave 26.869389 39.468750 translate 0.035278 -0.035278 scale +start_ol +3072 384 moveto +3801 384 4172 960 conicto +4544 1536 4544 2658 conicto +4544 3781 4172 4354 conicto +3801 4928 3072 4928 conicto +2343 4928 1971 4354 conicto +1600 3781 1600 2658 conicto +1600 1536 1974 960 conicto +2348 384 3072 384 conicto +3072 -128 moveto +1917 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3920 1212 4680 conicto +1912 5440 3072 5440 conicto +4232 5440 4932 4680 conicto +5632 3920 5632 2658 conicto +5632 1397 4932 634 conicto +4232 -128 3072 -128 conicto +end_ol grestore +gsave 27.683628 39.468750 translate 0.035278 -0.035278 scale +start_ol +5376 4800 moveto +5376 132 lineto +5376 -1035 4745 -1669 conicto +4115 -2304 2950 -2304 conicto +2425 -2304 1944 -2208 conicto +1464 -2112 1024 -1920 conicto +1024 -832 lineto +1536 -832 lineto +1626 -1331 1960 -1561 conicto +2294 -1792 2918 -1792 conicto +3727 -1792 4103 -1327 conicto +4480 -863 4480 132 conicto +4480 848 lineto +4208 347 3788 109 conicto +3369 -128 2750 -128 conicto +1764 -128 1138 644 conicto +512 1417 512 2658 conicto +512 3900 1135 4670 conicto +1759 5440 2750 5440 conicto +3369 5440 3788 5212 conicto +4208 4984 4480 4505 conicto +4480 5312 lineto +6272 5312 lineto +6272 4800 lineto +5376 4800 lineto +4480 2939 moveto +4480 3875 4111 4369 conicto +3742 4864 3040 4864 conicto +2328 4864 1964 4309 conicto +1600 3754 1600 2658 conicto +1600 1568 1964 1008 conicto +2328 448 3040 448 conicto +3742 448 4111 951 conicto +4480 1454 4480 2414 conicto +4480 2939 lineto +end_ol grestore +gsave 28.550312 39.468750 translate 0.035278 -0.035278 scale +start_ol +960 6973 moveto +960 7207 1130 7379 conicto +1300 7552 1539 7552 conicto +1772 7552 1942 7379 conicto +2112 7207 2112 6973 conicto +2112 6735 1944 6567 conicto +1777 6400 1539 6400 conicto +1300 6400 1130 6567 conicto +960 6735 960 6973 conicto +2176 512 moveto +3072 512 lineto +3072 0 lineto +384 0 lineto +384 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 512 lineto +end_ol grestore +gsave 28.982401 39.468750 translate 0.035278 -0.035278 scale +start_ol +5248 1600 moveto +5054 756 4501 314 conicto +3948 -128 3072 -128 conicto +1916 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3925 1211 4682 conicto +1911 5440 3062 5440 conicto +3563 5440 4059 5329 conicto +4555 5218 5056 4992 conicto +5056 3648 lineto +4544 3648 lineto +4440 4317 4090 4622 conicto +3741 4928 3092 4928 conicto +2353 4928 1976 4359 conicto +1600 3791 1600 2658 conicto +1600 1526 1970 955 conicto +2340 384 3074 384 conicto +3657 384 4005 687 conicto +4353 990 4480 1600 conicto +5248 1600 lineto +end_ol grestore +0.300000 slw +[] 0 sd +[] 0 sd +0 slj +1.000000 1.000000 1.000000 srgb +n 25.000000 48.000000 m 25.000000 52.000000 l 39.000000 52.000000 l 39.000000 48.000000 l f +1.000000 0.329412 0.329412 srgb +n 25.000000 48.000000 m 25.000000 52.000000 l 39.000000 52.000000 l 39.000000 48.000000 l cp s +0.000000 0.000000 0.000000 srgb +gsave 28.197500 50.468750 translate 0.035278 -0.035278 scale +start_ol +7809 0 moveto +6990 0 lineto +5282 6110 lineto +3575 0 lineto +2756 0 lineto +803 6976 lineto +64 6976 lineto +64 7488 lineto +2786 7488 lineto +2786 6976 lineto +1857 6976 lineto +3410 1424 lineto +5108 7488 lineto +5917 7488 lineto +7645 1359 lineto +9208 6976 lineto +8349 6976 lineto +8349 7488 lineto +10496 7488 lineto +10496 6976 lineto +9762 6976 lineto +7809 0 lineto +end_ol grestore +gsave 29.528751 50.468750 translate 0.035278 -0.035278 scale +start_ol +4928 5312 moveto +4928 3968 lineto +4416 3968 lineto +4390 4354 4191 4545 conicto +3992 4736 3610 4736 conicto +2916 4736 2546 4271 conicto +2176 3806 2176 2936 conicto +2176 512 lineto +3264 512 lineto +3264 0 lineto +448 0 lineto +448 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 4335 lineto +2449 4898 2878 5169 conicto +3307 5440 3923 5440 conicto +4150 5440 4400 5407 conicto +4650 5374 4928 5312 conicto +end_ol grestore +gsave 30.175641 50.468750 translate 0.035278 -0.035278 scale +start_ol +960 6973 moveto +960 7207 1130 7379 conicto +1300 7552 1539 7552 conicto +1772 7552 1942 7379 conicto +2112 7207 2112 6973 conicto +2112 6735 1944 6567 conicto +1777 6400 1539 6400 conicto +1300 6400 1130 6567 conicto +960 6735 960 6973 conicto +2176 512 moveto +3072 512 lineto +3072 0 lineto +384 0 lineto +384 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 512 lineto +end_ol grestore +gsave 30.607730 50.468750 translate 0.035278 -0.035278 scale +start_ol +1088 4800 moveto +256 4800 lineto +256 5312 lineto +1088 5312 lineto +1088 6976 lineto +1984 6976 lineto +1984 5312 lineto +3753 5312 lineto +3753 4800 lineto +1984 4800 lineto +1984 1435 lineto +1984 763 2117 573 conicto +2251 384 2610 384 conicto +2979 384 3148 595 conicto +3318 807 3328 1280 conicto +4032 1280 lineto +3992 548 3635 210 conicto +3278 -128 2550 -128 conicto +1751 -128 1419 227 conicto +1088 583 1088 1435 conicto +1088 4800 lineto +end_ol grestore +gsave 31.152218 50.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +gsave 31.953963 50.468750 translate 0.035278 -0.035278 scale +start_ol +448 3136 moveto +3008 3136 lineto +3008 2368 lineto +448 2368 lineto +448 3136 lineto +end_ol grestore +gsave 32.411031 50.468750 translate 0.035278 -0.035278 scale +start_ol +2560 512 moveto +4040 512 lineto +4935 512 5347 903 conicto +5760 1295 5760 2149 conicto +5760 2998 5350 3387 conicto +4940 3776 4040 3776 conicto +2560 3776 lineto +2560 512 lineto +2560 4288 moveto +3817 4288 lineto +4629 4288 5002 4611 conicto +5376 4934 5376 5632 conicto +5376 6335 5002 6655 conicto +4629 6976 3817 6976 conicto +2560 6976 lineto +2560 4288 lineto +576 0 moveto +576 512 lineto +1536 512 lineto +1536 6976 lineto +576 6976 lineto +576 7488 lineto +4262 7488 lineto +5388 7488 5958 7020 conicto +6528 6553 6528 5623 conicto +6528 4951 6131 4551 conicto +5734 4152 4970 4061 conicto +5923 3941 6417 3455 conicto +6912 2970 6912 2153 conicto +6912 1046 6212 523 conicto +5513 0 4030 0 conicto +576 0 lineto +end_ol grestore +gsave 33.405095 50.468750 translate 0.035278 -0.035278 scale +start_ol +4096 1672 moveto +4096 2816 lineto +2902 2816 lineto +2211 2816 1873 2517 conicto +1536 2219 1536 1603 conicto +1536 1041 1878 712 conicto +2221 384 2806 384 conicto +3385 384 3740 741 conicto +4096 1098 4096 1672 conicto +4992 3318 moveto +4992 512 lineto +5824 512 lineto +5824 0 lineto +4096 0 lineto +4096 558 lineto +3789 205 3387 38 conicto +2985 -128 2447 -128 conicto +1558 -128 1035 339 conicto +512 807 512 1602 conicto +512 2423 1109 2875 conicto +1707 3328 2796 3328 conicto +4096 3328 lineto +4096 3690 lineto +4096 4280 3718 4604 conicto +3341 4928 2658 4928 conicto +2094 4928 1760 4685 conicto +1426 4443 1344 3968 conicto +896 3968 lineto +896 5056 lineto +1378 5248 1833 5344 conicto +2288 5440 2720 5440 conicto +3831 5440 4411 4897 conicto +4992 4354 4992 3318 conicto +end_ol grestore +gsave 34.211835 50.468750 translate 0.035278 -0.035278 scale +start_ol +5248 1600 moveto +5054 756 4501 314 conicto +3948 -128 3072 -128 conicto +1916 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3925 1211 4682 conicto +1911 5440 3062 5440 conicto +3563 5440 4059 5329 conicto +4555 5218 5056 4992 conicto +5056 3648 lineto +4544 3648 lineto +4440 4317 4090 4622 conicto +3741 4928 3092 4928 conicto +2353 4928 1976 4359 conicto +1600 3791 1600 2658 conicto +1600 1526 1970 955 conicto +2340 384 3074 384 conicto +3657 384 4005 687 conicto +4353 990 4480 1600 conicto +5248 1600 lineto +end_ol grestore +gsave 34.968626 50.468750 translate 0.035278 -0.035278 scale +start_ol +2880 0 moveto +312 0 lineto +312 512 lineto +1152 512 lineto +1152 7296 lineto +256 7296 lineto +256 7808 lineto +2048 7808 lineto +2048 2717 lineto +4290 4800 lineto +3525 4800 lineto +3525 5312 lineto +5930 5312 lineto +5930 4800 lineto +5020 4800 lineto +3440 3327 lineto +5460 512 lineto +6225 512 lineto +6225 0 lineto +3605 0 lineto +3605 512 lineto +4365 512 lineto +2775 2717 lineto +2048 2036 lineto +2048 512 lineto +2880 512 lineto +2880 0 lineto +end_ol grestore +0.300000 slw +[] 0 sd +[] 0 sd +0 slj +1.000000 1.000000 1.000000 srgb +n 25.000000 29.000000 m 25.000000 33.000000 l 39.000000 33.000000 l 39.000000 29.000000 l f +1.000000 0.749020 0.000000 srgb +n 25.000000 29.000000 m 25.000000 33.000000 l 39.000000 33.000000 l 39.000000 29.000000 l cp s +0.000000 0.000000 0.000000 srgb +gsave 26.701250 31.468750 translate 0.035278 -0.035278 scale +start_ol +4921 3745 moveto +5275 3649 5530 3420 conicto +5785 3191 5987 2778 conicto +7076 512 lineto +7986 512 lineto +7986 0 lineto +6223 0 lineto +5048 2400 lineto +4709 3106 4425 3313 conicto +4141 3520 3645 3520 conicto +2560 3520 lineto +2560 512 lineto +3584 512 lineto +3584 0 lineto +576 0 lineto +576 512 lineto +1536 512 lineto +1536 6976 lineto +576 6976 lineto +576 7488 lineto +4359 7488 lineto +5460 7488 6058 6970 conicto +6656 6453 6656 5502 conicto +6656 4735 6219 4295 conicto +5783 3855 4921 3745 conicto +2560 4032 moveto +4010 4032 lineto +4775 4032 5139 4392 conicto +5504 4752 5504 5507 conicto +5504 6261 5139 6618 conicto +4775 6976 4010 6976 conicto +2560 6976 lineto +2560 4032 lineto +end_ol grestore +gsave 27.720293 31.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +gsave 28.522038 31.468750 translate 0.035278 -0.035278 scale +start_ol +4096 1672 moveto +4096 2816 lineto +2902 2816 lineto +2211 2816 1873 2517 conicto +1536 2219 1536 1603 conicto +1536 1041 1878 712 conicto +2221 384 2806 384 conicto +3385 384 3740 741 conicto +4096 1098 4096 1672 conicto +4992 3318 moveto +4992 512 lineto +5824 512 lineto +5824 0 lineto +4096 0 lineto +4096 558 lineto +3789 205 3387 38 conicto +2985 -128 2447 -128 conicto +1558 -128 1035 339 conicto +512 807 512 1602 conicto +512 2423 1109 2875 conicto +1707 3328 2796 3328 conicto +4096 3328 lineto +4096 3690 lineto +4096 4280 3718 4604 conicto +3341 4928 2658 4928 conicto +2094 4928 1760 4685 conicto +1426 4443 1344 3968 conicto +896 3968 lineto +896 5056 lineto +1378 5248 1833 5344 conicto +2288 5440 2720 5440 conicto +3831 5440 4411 4897 conicto +4992 4354 4992 3318 conicto +end_ol grestore +gsave 29.328777 31.468750 translate 0.035278 -0.035278 scale +start_ol +5376 512 moveto +6272 512 lineto +6272 0 lineto +4480 0 lineto +4480 812 lineto +4208 330 3788 101 conicto +3369 -128 2750 -128 conicto +1764 -128 1138 644 conicto +512 1417 512 2658 conicto +512 3900 1135 4670 conicto +1759 5440 2750 5440 conicto +3369 5440 3788 5202 conicto +4208 4965 4480 4464 conicto +4480 7296 lineto +3584 7296 lineto +3584 7808 lineto +5376 7808 lineto +5376 512 lineto +4480 2376 moveto +4480 2900 lineto +4480 3855 4111 4359 conicto +3742 4864 3040 4864 conicto +2328 4864 1964 4309 conicto +1600 3754 1600 2658 conicto +1600 1568 1964 1008 conicto +2328 448 3040 448 conicto +3742 448 4111 941 conicto +4480 1434 4480 2376 conicto +end_ol grestore +gsave 30.195462 31.468750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 30.625054 31.468750 translate 0.035278 -0.035278 scale +start_ol +4194 384 moveto +5413 384 6034 1232 conicto +6656 2080 6656 3741 conicto +6656 5408 6034 6256 conicto +5413 7104 4194 7104 conicto +2971 7104 2349 6256 conicto +1728 5408 1728 3741 conicto +1728 2080 2349 1232 conicto +2971 384 4194 384 conicto +4192 -128 moveto +3434 -128 2798 122 conicto +2162 372 1678 857 conicto +1120 1417 848 2127 conicto +576 2837 576 3742 conicto +576 4646 848 5358 conicto +1120 6071 1678 6631 conicto +2167 7121 2795 7368 conicto +3424 7616 4192 7616 conicto +5813 7616 6810 6551 conicto +7808 5486 7808 3742 conicto +7808 2847 7533 2129 conicto +7259 1412 6701 857 conicto +6212 367 5583 119 conicto +4955 -128 4192 -128 conicto +end_ol grestore +gsave 31.734014 31.468750 translate 0.035278 -0.035278 scale +start_ol +2048 2939 moveto +2048 2414 lineto +2048 1454 2417 951 conicto +2787 448 3491 448 conicto +4199 448 4563 1008 conicto +4928 1568 4928 2658 conicto +4928 3754 4563 4309 conicto +4199 4864 3491 4864 conicto +2787 4864 2417 4369 conicto +2048 3875 2048 2939 conicto +1152 4800 moveto +256 4800 lineto +256 5312 lineto +2048 5312 lineto +2048 4505 lineto +2320 4984 2740 5212 conicto +3161 5440 3780 5440 conicto +4767 5440 5391 4670 conicto +6016 3900 6016 2658 conicto +6016 1417 5391 644 conicto +4767 -128 3780 -128 conicto +3161 -128 2740 109 conicto +2320 347 2048 848 conicto +2048 -1600 lineto +2944 -1600 lineto +2944 -2112 lineto +256 -2112 lineto +256 -1600 lineto +1152 -1600 lineto +1152 4800 lineto +end_ol grestore +gsave 32.600698 31.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +gsave 33.402443 31.468750 translate 0.035278 -0.035278 scale +start_ol +4928 5312 moveto +4928 3968 lineto +4416 3968 lineto +4390 4354 4191 4545 conicto +3992 4736 3610 4736 conicto +2916 4736 2546 4271 conicto +2176 3806 2176 2936 conicto +2176 512 lineto +3264 512 lineto +3264 0 lineto +448 0 lineto +448 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 4335 lineto +2449 4898 2878 5169 conicto +3307 5440 3923 5440 conicto +4150 5440 4400 5407 conicto +4650 5374 4928 5312 conicto +end_ol grestore +gsave 34.049333 31.468750 translate 0.035278 -0.035278 scale +start_ol +4096 1672 moveto +4096 2816 lineto +2902 2816 lineto +2211 2816 1873 2517 conicto +1536 2219 1536 1603 conicto +1536 1041 1878 712 conicto +2221 384 2806 384 conicto +3385 384 3740 741 conicto +4096 1098 4096 1672 conicto +4992 3318 moveto +4992 512 lineto +5824 512 lineto +5824 0 lineto +4096 0 lineto +4096 558 lineto +3789 205 3387 38 conicto +2985 -128 2447 -128 conicto +1558 -128 1035 339 conicto +512 807 512 1602 conicto +512 2423 1109 2875 conicto +1707 3328 2796 3328 conicto +4096 3328 lineto +4096 3690 lineto +4096 4280 3718 4604 conicto +3341 4928 2658 4928 conicto +2094 4928 1760 4685 conicto +1426 4443 1344 3968 conicto +896 3968 lineto +896 5056 lineto +1378 5248 1833 5344 conicto +2288 5440 2720 5440 conicto +3831 5440 4411 4897 conicto +4992 4354 4992 3318 conicto +end_ol grestore +gsave 34.856072 31.468750 translate 0.035278 -0.035278 scale +start_ol +448 0 moveto +448 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 4386 lineto +2432 4908 2840 5174 conicto +3249 5440 3790 5440 conicto +4672 5440 5088 4941 conicto +5504 4443 5504 3387 conicto +5504 512 lineto +6336 512 lineto +6336 0 lineto +3840 0 lineto +3840 512 lineto +4608 512 lineto +4608 3095 lineto +4608 4073 4364 4436 conicto +4121 4800 3506 4800 conicto +2856 4800 2516 4331 conicto +2176 3862 2176 2964 conicto +2176 512 lineto +3008 512 lineto +3008 0 lineto +448 0 lineto +end_ol grestore +gsave 35.727750 31.468750 translate 0.035278 -0.035278 scale +start_ol +5376 512 moveto +6272 512 lineto +6272 0 lineto +4480 0 lineto +4480 812 lineto +4208 330 3788 101 conicto +3369 -128 2750 -128 conicto +1764 -128 1138 644 conicto +512 1417 512 2658 conicto +512 3900 1135 4670 conicto +1759 5440 2750 5440 conicto +3369 5440 3788 5202 conicto +4208 4965 4480 4464 conicto +4480 7296 lineto +3584 7296 lineto +3584 7808 lineto +5376 7808 lineto +5376 512 lineto +4480 2376 moveto +4480 2900 lineto +4480 3855 4111 4359 conicto +3742 4864 3040 4864 conicto +2328 4864 1964 4309 conicto +1600 3754 1600 2658 conicto +1600 1568 1964 1008 conicto +2328 448 3040 448 conicto +3742 448 4111 941 conicto +4480 1434 4480 2376 conicto +end_ol grestore +gsave 36.594434 31.468750 translate 0.035278 -0.035278 scale +start_ol +576 320 moveto +576 1536 lineto +1088 1536 lineto +1108 958 1469 671 conicto +1831 384 2538 384 conicto +3174 384 3507 611 conicto +3840 839 3840 1274 conicto +3840 1616 3599 1826 conicto +3358 2036 2582 2276 conicto +1908 2498 lineto +1206 2717 891 3046 conicto +576 3376 576 3884 conicto +576 4612 1117 5026 conicto +1658 5440 2614 5440 conicto +3039 5440 3509 5325 conicto +3979 5211 4480 4992 conicto +4480 3840 lineto +3968 3840 lineto +3948 4352 3603 4640 conicto +3258 4928 2664 4928 conicto +2076 4928 1774 4725 conicto +1472 4522 1472 4115 conicto +1472 3783 1700 3582 conicto +1928 3382 2613 3174 conicto +3353 2952 lineto +4088 2720 4412 2371 conicto +4736 2023 4736 1474 conicto +4736 727 4158 299 conicto +3580 -128 2563 -128 conicto +2048 -128 1557 -16 conicto +1067 96 576 320 conicto +end_ol grestore +0.300000 slw +[] 0 sd +[] 0 sd +0 slj +1.000000 1.000000 1.000000 srgb +n 25.000000 22.000000 m 25.000000 26.000000 l 39.000000 26.000000 l 39.000000 22.000000 l f +0.345098 1.000000 0.345098 srgb +n 25.000000 22.000000 m 25.000000 26.000000 l 39.000000 26.000000 l 39.000000 22.000000 l cp s +0.000000 0.000000 0.000000 srgb +gsave 29.435000 24.468750 translate 0.035278 -0.035278 scale +start_ol +2560 512 moveto +3482 512 lineto +4923 512 5693 1350 conicto +6464 2189 6464 3754 conicto +6464 5320 5696 6148 conicto +4928 6976 3482 6976 conicto +2560 6976 lineto +2560 512 lineto +576 0 moveto +576 512 lineto +1536 512 lineto +1536 6976 lineto +576 6976 lineto +576 7488 lineto +3556 7488 lineto +5474 7488 6545 6500 conicto +7616 5512 7616 3752 conicto +7616 1986 6542 993 conicto +5469 0 3556 0 conicto +576 0 lineto +end_ol grestore +gsave 30.518981 24.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +gsave 31.320727 24.468750 translate 0.035278 -0.035278 scale +start_ol +5248 1600 moveto +5054 756 4501 314 conicto +3948 -128 3072 -128 conicto +1916 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3925 1211 4682 conicto +1911 5440 3062 5440 conicto +3563 5440 4059 5329 conicto +4555 5218 5056 4992 conicto +5056 3648 lineto +4544 3648 lineto +4440 4317 4090 4622 conicto +3741 4928 3092 4928 conicto +2353 4928 1976 4359 conicto +1600 3791 1600 2658 conicto +1600 1526 1970 955 conicto +2340 384 3074 384 conicto +3657 384 4005 687 conicto +4353 990 4480 1600 conicto +5248 1600 lineto +end_ol grestore +gsave 32.077518 24.468750 translate 0.035278 -0.035278 scale +start_ol +3072 384 moveto +3801 384 4172 960 conicto +4544 1536 4544 2658 conicto +4544 3781 4172 4354 conicto +3801 4928 3072 4928 conicto +2343 4928 1971 4354 conicto +1600 3781 1600 2658 conicto +1600 1536 1974 960 conicto +2348 384 3072 384 conicto +3072 -128 moveto +1917 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3920 1212 4680 conicto +1912 5440 3072 5440 conicto +4232 5440 4932 4680 conicto +5632 3920 5632 2658 conicto +5632 1397 4932 634 conicto +4232 -128 3072 -128 conicto +end_ol grestore +gsave 32.891756 24.468750 translate 0.035278 -0.035278 scale +start_ol +5376 512 moveto +6272 512 lineto +6272 0 lineto +4480 0 lineto +4480 812 lineto +4208 330 3788 101 conicto +3369 -128 2750 -128 conicto +1764 -128 1138 644 conicto +512 1417 512 2658 conicto +512 3900 1135 4670 conicto +1759 5440 2750 5440 conicto +3369 5440 3788 5202 conicto +4208 4965 4480 4464 conicto +4480 7296 lineto +3584 7296 lineto +3584 7808 lineto +5376 7808 lineto +5376 512 lineto +4480 2376 moveto +4480 2900 lineto +4480 3855 4111 4359 conicto +3742 4864 3040 4864 conicto +2328 4864 1964 4309 conicto +1600 3754 1600 2658 conicto +1600 1568 1964 1008 conicto +2328 448 3040 448 conicto +3742 448 4111 941 conicto +4480 1434 4480 2376 conicto +end_ol grestore +gsave 33.758440 24.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +0.300000 slw +[] 0 sd +[] 0 sd +0 slj +1.000000 1.000000 1.000000 srgb +n 25.000000 15.000000 m 25.000000 19.000000 l 39.000000 19.000000 l 39.000000 15.000000 l f +0.784314 0.784314 1.000000 srgb +n 25.000000 15.000000 m 25.000000 19.000000 l 39.000000 19.000000 l 39.000000 15.000000 l cp s +0.000000 0.000000 0.000000 srgb +gsave 25.497500 17.468750 translate 0.035278 -0.035278 scale +start_ol +2560 3776 moveto +3874 3776 lineto +4608 3776 4992 4187 conicto +5376 4599 5376 5376 conicto +5376 6158 4992 6567 conicto +4608 6976 3874 6976 conicto +2560 6976 lineto +2560 3776 lineto +576 0 moveto +576 512 lineto +1536 512 lineto +1536 6976 lineto +576 6976 lineto +576 7488 lineto +4116 7488 lineto +5228 7488 5878 6917 conicto +6528 6347 6528 5376 conicto +6528 4411 5878 3837 conicto +5228 3264 4116 3264 conicto +2560 3264 lineto +2560 512 lineto +3712 512 lineto +3712 0 lineto +576 0 lineto +end_ol grestore +gsave 26.409147 17.468750 translate 0.035278 -0.035278 scale +start_ol +4928 5312 moveto +4928 3968 lineto +4416 3968 lineto +4390 4354 4191 4545 conicto +3992 4736 3610 4736 conicto +2916 4736 2546 4271 conicto +2176 3806 2176 2936 conicto +2176 512 lineto +3264 512 lineto +3264 0 lineto +448 0 lineto +448 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 4335 lineto +2449 4898 2878 5169 conicto +3307 5440 3923 5440 conicto +4150 5440 4400 5407 conicto +4650 5374 4928 5312 conicto +end_ol grestore +gsave 27.056036 17.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +gsave 27.857782 17.468750 translate 0.035278 -0.035278 scale +start_ol +448 3136 moveto +3008 3136 lineto +3008 2368 lineto +448 2368 lineto +448 3136 lineto +end_ol grestore +gsave 28.314849 17.468750 translate 0.035278 -0.035278 scale +start_ol +576 0 moveto +576 512 lineto +1536 512 lineto +1536 6976 lineto +576 6976 lineto +576 7488 lineto +6720 7488 lineto +6720 5824 lineto +6080 5824 lineto +6080 6848 lineto +2560 6848 lineto +2560 4352 lineto +5120 4352 lineto +5120 5312 lineto +5760 5312 lineto +5760 2752 lineto +5120 2752 lineto +5120 3712 lineto +2560 3712 lineto +2560 512 lineto +3776 512 lineto +3776 0 lineto +576 0 lineto +end_ol grestore +gsave 29.179037 17.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +gsave 29.980782 17.468750 translate 0.035278 -0.035278 scale +start_ol +1088 4800 moveto +256 4800 lineto +256 5312 lineto +1088 5312 lineto +1088 6976 lineto +1984 6976 lineto +1984 5312 lineto +3753 5312 lineto +3753 4800 lineto +1984 4800 lineto +1984 1435 lineto +1984 763 2117 573 conicto +2251 384 2610 384 conicto +2979 384 3148 595 conicto +3318 807 3328 1280 conicto +4032 1280 lineto +3992 548 3635 210 conicto +3278 -128 2550 -128 conicto +1751 -128 1419 227 conicto +1088 583 1088 1435 conicto +1088 4800 lineto +end_ol grestore +gsave 30.525270 17.468750 translate 0.035278 -0.035278 scale +start_ol +5248 1600 moveto +5054 756 4501 314 conicto +3948 -128 3072 -128 conicto +1916 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3925 1211 4682 conicto +1911 5440 3062 5440 conicto +3563 5440 4059 5329 conicto +4555 5218 5056 4992 conicto +5056 3648 lineto +4544 3648 lineto +4440 4317 4090 4622 conicto +3741 4928 3092 4928 conicto +2353 4928 1976 4359 conicto +1600 3791 1600 2658 conicto +1600 1526 1970 955 conicto +2340 384 3074 384 conicto +3657 384 4005 687 conicto +4353 990 4480 1600 conicto +5248 1600 lineto +end_ol grestore +gsave 31.282061 17.468750 translate 0.035278 -0.035278 scale +start_ol +448 0 moveto +448 512 lineto +1280 512 lineto +1280 7296 lineto +384 7296 lineto +384 7808 lineto +2176 7808 lineto +2176 4329 lineto +2432 4879 2840 5159 conicto +3249 5440 3790 5440 conicto +4672 5440 5088 4931 conicto +5504 4423 5504 3345 conicto +5504 512 lineto +6336 512 lineto +6336 0 lineto +3840 0 lineto +3840 512 lineto +4608 512 lineto +4608 3056 lineto +4608 4025 4367 4380 conicto +4126 4736 3506 4736 conicto +2856 4736 2516 4274 conicto +2176 3812 2176 2927 conicto +2176 512 lineto +3008 512 lineto +3008 0 lineto +448 0 lineto +end_ol grestore +gsave 32.153739 17.468750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 32.583331 17.468750 translate 0.035278 -0.035278 scale +start_ol +5443 1155 moveto +2572 4269 lineto +2214 3873 2035 3413 conicto +1856 2953 1856 2435 conicto +1856 1591 2401 1019 conicto +2947 448 3763 448 conicto +4247 448 4676 628 conicto +5106 809 5443 1155 conicto +8592 0 moveto +6563 0 lineto +5870 712 lineto +5349 287 4758 79 conicto +4168 -128 3483 -128 conicto +2203 -128 1453 536 conicto +704 1201 704 2338 conicto +704 3007 1082 3588 conicto +1460 4170 2226 4678 conicto +1969 4980 1848 5279 conicto +1728 5578 1728 5905 conicto +1728 6691 2296 7153 conicto +2864 7616 3841 7616 conicto +4174 7616 4618 7536 conicto +5062 7456 5632 7296 conicto +5632 6016 lineto +5056 6016 lineto +4987 6548 4673 6826 conicto +4360 7104 3821 7104 conicto +3316 7104 3002 6822 conicto +2688 6541 2688 6093 conicto +2688 5789 2854 5487 conicto +3021 5186 3551 4623 conicto +6140 1874 lineto +6505 2285 6714 2760 conicto +6923 3236 6976 3776 conicto +6024 3776 lineto +6024 4288 lineto +8412 4288 lineto +8412 3776 lineto +7552 3776 lineto +7468 3108 7221 2527 conicto +6975 1946 6563 1453 conicto +7420 512 lineto +8592 512 lineto +8592 0 lineto +end_ol grestore +gsave 33.787202 17.468750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 34.216794 17.468750 translate 0.035278 -0.035278 scale +start_ol +7232 1984 moveto +6901 943 6122 407 conicto +5344 -128 4152 -128 conicto +3421 -128 2795 122 conicto +2169 372 1683 857 conicto +1122 1417 849 2129 conicto +576 2842 576 3742 conicto +576 5481 1584 6548 conicto +2593 7616 4245 7616 conicto +4857 7616 5549 7457 conicto +6242 7298 7040 6976 conicto +7040 5248 lineto +6464 5248 lineto +6279 6201 5720 6652 conicto +5162 7104 4156 7104 conicto +2960 7104 2344 6251 conicto +1728 5398 1728 3741 conicto +1728 2090 2347 1237 conicto +2966 384 4168 384 conicto +5008 384 5551 785 conicto +6095 1187 6336 1984 conicto +7232 1984 lineto +end_ol grestore +gsave 35.253324 17.468750 translate 0.035278 -0.035278 scale +start_ol +4096 1672 moveto +4096 2816 lineto +2902 2816 lineto +2211 2816 1873 2517 conicto +1536 2219 1536 1603 conicto +1536 1041 1878 712 conicto +2221 384 2806 384 conicto +3385 384 3740 741 conicto +4096 1098 4096 1672 conicto +4992 3318 moveto +4992 512 lineto +5824 512 lineto +5824 0 lineto +4096 0 lineto +4096 558 lineto +3789 205 3387 38 conicto +2985 -128 2447 -128 conicto +1558 -128 1035 339 conicto +512 807 512 1602 conicto +512 2423 1109 2875 conicto +1707 3328 2796 3328 conicto +4096 3328 lineto +4096 3690 lineto +4096 4280 3718 4604 conicto +3341 4928 2658 4928 conicto +2094 4928 1760 4685 conicto +1426 4443 1344 3968 conicto +896 3968 lineto +896 5056 lineto +1378 5248 1833 5344 conicto +2288 5440 2720 5440 conicto +3831 5440 4411 4897 conicto +4992 4354 4992 3318 conicto +end_ol grestore +gsave 36.060064 17.468750 translate 0.035278 -0.035278 scale +start_ol +5248 1600 moveto +5054 756 4501 314 conicto +3948 -128 3072 -128 conicto +1916 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3925 1211 4682 conicto +1911 5440 3062 5440 conicto +3563 5440 4059 5329 conicto +4555 5218 5056 4992 conicto +5056 3648 lineto +4544 3648 lineto +4440 4317 4090 4622 conicto +3741 4928 3092 4928 conicto +2353 4928 1976 4359 conicto +1600 3791 1600 2658 conicto +1600 1526 1970 955 conicto +2340 384 3074 384 conicto +3657 384 4005 687 conicto +4353 990 4480 1600 conicto +5248 1600 lineto +end_ol grestore +gsave 36.816855 17.468750 translate 0.035278 -0.035278 scale +start_ol +448 0 moveto +448 512 lineto +1280 512 lineto +1280 7296 lineto +384 7296 lineto +384 7808 lineto +2176 7808 lineto +2176 4329 lineto +2432 4879 2840 5159 conicto +3249 5440 3790 5440 conicto +4672 5440 5088 4931 conicto +5504 4423 5504 3345 conicto +5504 512 lineto +6336 512 lineto +6336 0 lineto +3840 0 lineto +3840 512 lineto +4608 512 lineto +4608 3056 lineto +4608 4025 4367 4380 conicto +4126 4736 3506 4736 conicto +2856 4736 2516 4274 conicto +2176 3812 2176 2927 conicto +2176 512 lineto +3008 512 lineto +3008 0 lineto +448 0 lineto +end_ol grestore +gsave 37.688533 17.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +0.300000 slw +[] 0 sd +[] 0 sd +0 slj +1.000000 1.000000 1.000000 srgb +n 53.000000 15.000000 m 53.000000 40.000000 l 57.000000 40.000000 l 57.000000 15.000000 l f +0.501961 0.501961 0.501961 srgb +n 53.000000 15.000000 m 53.000000 40.000000 l 57.000000 40.000000 l 57.000000 15.000000 l cp s +0.010000 slw +[] 0 sd +0 slj +1 slc +0.000000 0.000000 0.000000 srgb +n 54.800964 30.811056 m 54.216694 31.000980 l 54.183086 30.991429 54.167733 30.994331 54.167733 30.994331 c 54.137027 31.000134 54.118772 30.987682 54.119618 30.908014 c 54.024597 30.910070 l 54.025324 31.418782 l 54.120345 31.416727 l 54.124093 31.352412 54.136545 31.334158 54.225763 31.301396 c 54.404199 31.235872 l 54.828650 31.378280 l 54.213674 31.574007 l 54.180067 31.564456 54.164713 31.567358 54.164713 31.567358 c 54.134007 31.573161 54.118654 31.576062 54.119499 31.496395 c 54.024479 31.498450 l 54.025206 32.007163 l 54.120227 32.005107 l 54.121073 31.925439 54.133525 31.907185 54.222743 31.874423 c 55.286710 31.530239 l 55.278851 31.404512 l 54.600889 31.182799 l 55.274376 30.960114 l 55.281871 30.831485 l 54.340848 30.564076 l 54.185260 30.498070 54.133398 30.476068 54.115989 30.383948 c 54.020968 30.386004 l 54.019640 30.799696 l 54.114661 30.797640 l 54.121310 30.748679 54.115507 30.717972 54.143312 30.696816 c 54.152862 30.663208 54.180667 30.642052 54.229628 30.648701 c 54.244982 30.645799 54.263236 30.658251 54.327551 30.661999 c 54.800964 30.811056 l ef +n 54.454152 29.922503 m 54.480629 30.315039 l 54.560296 30.315884 l 54.554493 30.285178 l 54.552438 30.190157 54.567791 30.187256 54.711773 30.191849 c 54.996836 30.185682 l 55.076504 30.186528 l 55.156171 30.187374 55.159073 30.202727 55.164030 30.313101 c 55.259051 30.311046 l 55.255422 29.786980 l 55.160401 29.789036 l 55.163302 29.804389 l 55.165358 29.899410 55.152906 29.917664 55.088592 29.913917 c 54.993571 29.915973 l 54.454152 29.922503 l ef +n 53.999357 30.040254 m 54.001413 30.135274 54.077333 30.200435 54.157001 30.201281 c 54.236668 30.202127 54.320084 30.138658 54.320929 30.058990 c 54.318874 29.963969 54.230502 29.917064 54.150834 29.916218 c 54.071166 29.915372 54.000203 29.960586 53.999357 30.040254 c 53.999357 30.040254 l ef +n 54.442512 28.998437 m 54.438765 29.062751 l 54.483978 29.133714 l 54.431270 29.191380 54.427522 29.255694 54.426677 29.335362 c 54.415434 29.528305 54.551922 29.661527 54.711257 29.663219 c 54.772670 29.651613 54.818730 29.642909 54.856085 29.588145 c 54.911695 29.545833 54.933697 29.493970 54.962348 29.393146 c 54.988098 29.276969 l 55.016749 29.176145 55.026299 29.142537 55.090613 29.146284 c 55.154928 29.150032 55.181887 29.208543 55.181041 29.288211 c 55.188900 29.413938 55.111287 29.508114 54.951106 29.586089 c 54.950260 29.665757 l 55.250676 29.656689 l 55.254424 29.592374 l 55.212111 29.536764 l 55.246565 29.466647 55.275216 29.365823 55.276062 29.286156 c 55.287304 29.093212 55.150817 28.959990 54.976128 28.961200 c 54.911814 28.957452 54.850401 28.969058 54.813045 29.023822 c 54.788142 29.060332 54.778592 29.093940 54.740390 29.228371 c 54.686836 29.365705 l 54.670637 29.448274 54.661086 29.481882 54.612125 29.475233 c 54.566065 29.483937 54.520852 29.412974 54.521697 29.333306 c 54.519642 29.238285 54.584802 29.162365 54.711376 29.074839 c 54.712222 28.995171 l 54.442512 28.998437 l ef +n 53.987957 28.444301 m 54.020236 28.867543 l 54.115257 28.865487 l 54.124808 28.831879 l 54.122752 28.736858 54.119851 28.721505 54.276285 28.707844 c 54.993294 28.715457 l 55.088315 28.713401 l 55.152629 28.717149 55.155531 28.732502 55.148036 28.861131 c 55.243057 28.859075 l 55.242329 28.350363 l 55.147308 28.352418 l 55.149364 28.447439 55.149364 28.447439 55.069696 28.446593 c 54.830693 28.444055 l 54.766379 28.440308 54.720319 28.449012 54.686711 28.439462 c 54.634848 28.417460 54.574281 28.349398 54.578029 28.285084 c 54.578875 28.205416 54.652739 28.175555 54.763114 28.170598 c 55.002117 28.173136 l 55.097138 28.171081 l 55.161452 28.174828 55.149000 28.193083 55.151056 28.288104 c 55.246077 28.286048 l 55.242448 27.761982 l 55.147427 27.764038 l 55.150328 27.779391 l 55.152384 27.874412 55.158187 27.905118 55.078519 27.904273 c 54.998851 27.903427 l 54.729142 27.906692 l 54.634121 27.908747 54.572708 27.920353 54.501745 27.965567 c 54.449036 28.023233 54.427034 28.075095 54.429090 28.170116 c 54.421595 28.298745 54.472612 28.400415 54.576337 28.444419 c 53.987957 28.444301 l ef +n 53.993785 27.318163 m 54.026065 27.741405 l 54.121086 27.739350 l 54.115283 27.708643 l 54.125679 27.595368 54.125679 27.595368 54.282113 27.581706 c 54.904101 27.591375 l 55.063437 27.593067 55.189164 27.585208 55.274635 27.616761 c 55.272579 27.521740 l 55.141895 27.419224 l 55.237761 27.337501 55.287569 27.264482 55.279710 27.138755 c 55.276445 26.869045 55.085193 26.698468 54.830837 26.698831 c 54.591834 26.696294 54.415454 26.856839 54.422466 27.062234 c 54.414971 27.190863 54.478440 27.274278 54.551459 27.324085 c 53.993785 27.318163 l ef +1.000000 1.000000 1.000000 srgb +n 54.553151 27.164749 m 54.548194 27.054375 54.665217 27.000457 54.855258 26.996346 c 55.078908 27.001785 55.182634 27.045789 55.187591 27.156164 c 55.192548 27.266538 55.075525 27.320456 54.885483 27.324567 c 54.677186 27.316226 54.558108 27.275124 54.553151 27.164749 c ef +0.000000 0.000000 0.000000 srgb +n 54.420891 26.128315 m 54.421254 26.382671 54.618309 26.583955 54.857312 26.586493 c 55.096315 26.589030 55.279344 26.379524 55.278981 26.125168 c 55.275715 25.855458 55.094014 25.651273 54.855011 25.648735 c 54.616008 25.646197 54.417626 25.858605 54.420891 26.128315 c 54.420891 26.128315 l ef +1.000000 1.000000 1.000000 srgb +n 54.515912 26.126259 m 54.519659 26.061945 54.559916 26.022534 54.615526 25.980221 c 54.676939 25.968615 54.769058 25.951207 54.848726 25.952053 c 55.057023 25.960393 55.179003 26.016849 55.183960 26.127223 c 55.186015 26.222244 55.071894 26.291516 54.848244 26.286077 c 54.627495 26.295991 54.517967 26.221280 54.515912 26.126259 c ef +0.000000 0.000000 0.000000 srgb +n 54.453860 25.187867 m 54.464984 25.583304 l 54.544651 25.584150 l 54.554202 25.550542 l 54.552146 25.455521 54.564598 25.437266 54.723933 25.438958 c 54.993643 25.435693 l 55.073311 25.436539 l 55.152978 25.437385 55.155880 25.452738 55.160837 25.563112 c 55.148385 25.581367 l 55.243406 25.579311 l 55.242678 25.070599 l 55.147658 25.072654 l 55.149713 25.167675 55.149713 25.167675 55.085399 25.163928 c 54.990378 25.165983 l 54.831042 25.164292 l 54.766728 25.160544 54.720668 25.169249 54.687060 25.159698 c 54.635198 25.137696 54.574630 25.069634 54.578378 25.005320 c 54.579224 24.925652 54.650187 24.880438 54.760561 24.875481 c 54.999564 24.878019 l 55.094585 24.875964 l 55.158900 24.879711 55.161801 24.895064 55.151405 25.008340 c 55.246426 25.006284 l 55.242797 24.482218 l 55.147776 24.484274 l 55.152733 24.594648 55.155634 24.610001 55.075967 24.609155 c 54.996299 24.608310 l 54.726590 24.611575 l 54.631569 24.613630 54.573057 24.640589 54.499192 24.670450 c 54.461837 24.725214 54.427383 24.795331 54.429439 24.890352 c 54.421944 25.018981 54.472961 25.120651 54.594941 25.177107 c 54.453860 25.187867 l ef +n 54.854398 23.541893 m 54.839045 23.544795 l 54.600042 23.542257 54.423661 23.702802 54.421123 23.941805 c 54.413629 24.070434 54.467547 24.187457 54.564623 24.280423 c 54.643445 24.360936 54.762524 24.402039 54.857545 24.399983 c 54.986174 24.407478 55.084942 24.341108 55.165455 24.262286 c 55.230616 24.186366 55.271719 24.067287 55.279213 23.938659 c 55.275102 23.748617 55.184675 23.606690 54.998380 23.546487 c 54.966828 23.631957 l 55.104161 23.685512 55.152276 23.771828 55.157233 23.882203 c 55.156387 23.961870 55.119032 24.016634 55.063422 24.058947 c 55.004910 24.085906 54.961752 24.109964 54.848477 24.099567 c 54.854398 23.541893 l ef +1.000000 1.000000 1.000000 srgb +n 54.768809 24.098721 m 54.609473 24.097030 54.518200 24.034771 54.516144 23.939750 c 54.516990 23.860082 54.587954 23.814868 54.731936 23.819462 c 54.777995 23.810757 54.777995 23.810757 54.771347 23.859718 c ef +n 54.800964 30.811056 m 54.216694 31.000980 l 54.183086 30.991429 54.167733 30.994331 54.167733 30.994331 c 54.137027 31.000134 54.118772 30.987682 54.119618 30.908014 c 54.024597 30.910070 l 54.025324 31.418782 l 54.120345 31.416727 l 54.124093 31.352412 54.136545 31.334158 54.225763 31.301396 c 54.404199 31.235872 l 54.828650 31.378280 l 54.213674 31.574007 l 54.180067 31.564456 54.164713 31.567358 54.164713 31.567358 c 54.134007 31.573161 54.118654 31.576062 54.119499 31.496395 c 54.024479 31.498450 l 54.025206 32.007163 l 54.120227 32.005107 l 54.121073 31.925439 54.133525 31.907185 54.222743 31.874423 c 55.286710 31.530239 l 55.278851 31.404512 l 54.600889 31.182799 l 55.274376 30.960114 l 55.281871 30.831485 l 54.340848 30.564076 l 54.185260 30.498070 54.133398 30.476068 54.115989 30.383948 c 54.020968 30.386004 l 54.019640 30.799696 l 54.114661 30.797640 l 54.121310 30.748679 54.115507 30.717972 54.143312 30.696816 c 54.152862 30.663208 54.180667 30.642052 54.229628 30.648701 c 54.244982 30.645799 54.263236 30.658251 54.327551 30.661999 c 54.800964 30.811056 l s +n 54.454152 29.922503 m 54.480629 30.315039 l 54.560296 30.315884 l 54.554493 30.285178 l 54.552438 30.190157 54.567791 30.187256 54.711773 30.191849 c 54.996836 30.185682 l 55.076504 30.186528 l 55.156171 30.187374 55.159073 30.202727 55.164030 30.313101 c 55.259051 30.311046 l 55.255422 29.786980 l 55.160401 29.789036 l 55.163302 29.804389 l 55.165358 29.899410 55.152906 29.917664 55.088592 29.913917 c 54.993571 29.915973 l 54.454152 29.922503 l s +n 53.999357 30.040254 m 54.001413 30.135274 54.077333 30.200435 54.157001 30.201281 c 54.236668 30.202127 54.320084 30.138658 54.320929 30.058990 c 54.318874 29.963969 54.230502 29.917064 54.150834 29.916218 c 54.071166 29.915372 54.000203 29.960586 53.999357 30.040254 c 53.999357 30.040254 l s +n 54.442512 28.998437 m 54.438765 29.062751 l 54.483978 29.133714 l 54.431270 29.191380 54.427522 29.255694 54.426677 29.335362 c 54.415434 29.528305 54.551922 29.661527 54.711257 29.663219 c 54.772670 29.651613 54.818730 29.642909 54.856085 29.588145 c 54.911695 29.545833 54.933697 29.493970 54.962348 29.393146 c 54.988098 29.276969 l 55.016749 29.176145 55.026299 29.142537 55.090613 29.146284 c 55.154928 29.150032 55.181887 29.208543 55.181041 29.288211 c 55.188900 29.413938 55.111287 29.508114 54.951106 29.586089 c 54.950260 29.665757 l 55.250676 29.656689 l 55.254424 29.592374 l 55.212111 29.536764 l 55.246565 29.466647 55.275216 29.365823 55.276062 29.286156 c 55.287304 29.093212 55.150817 28.959990 54.976128 28.961200 c 54.911814 28.957452 54.850401 28.969058 54.813045 29.023822 c 54.788142 29.060332 54.778592 29.093940 54.740390 29.228371 c 54.686836 29.365705 l 54.670637 29.448274 54.661086 29.481882 54.612125 29.475233 c 54.566065 29.483937 54.520852 29.412974 54.521697 29.333306 c 54.519642 29.238285 54.584802 29.162365 54.711376 29.074839 c 54.712222 28.995171 l 54.442512 28.998437 l s +n 53.987957 28.444301 m 54.020236 28.867543 l 54.115257 28.865487 l 54.124808 28.831879 l 54.122752 28.736858 54.119851 28.721505 54.276285 28.707844 c 54.993294 28.715457 l 55.088315 28.713401 l 55.152629 28.717149 55.155531 28.732502 55.148036 28.861131 c 55.243057 28.859075 l 55.242329 28.350363 l 55.147308 28.352418 l 55.149364 28.447439 55.149364 28.447439 55.069696 28.446593 c 54.830693 28.444055 l 54.766379 28.440308 54.720319 28.449012 54.686711 28.439462 c 54.634848 28.417460 54.574281 28.349398 54.578029 28.285084 c 54.578875 28.205416 54.652739 28.175555 54.763114 28.170598 c 55.002117 28.173136 l 55.097138 28.171081 l 55.161452 28.174828 55.149000 28.193083 55.151056 28.288104 c 55.246077 28.286048 l 55.242448 27.761982 l 55.147427 27.764038 l 55.150328 27.779391 l 55.152384 27.874412 55.158187 27.905118 55.078519 27.904273 c 54.998851 27.903427 l 54.729142 27.906692 l 54.634121 27.908747 54.572708 27.920353 54.501745 27.965567 c 54.449036 28.023233 54.427034 28.075095 54.429090 28.170116 c 54.421595 28.298745 54.472612 28.400415 54.576337 28.444419 c 53.987957 28.444301 l s +n 53.993785 27.318163 m 54.026065 27.741405 l 54.121086 27.739350 l 54.115283 27.708643 l 54.125679 27.595368 54.125679 27.595368 54.282113 27.581706 c 54.904101 27.591375 l 55.063437 27.593067 55.189164 27.585208 55.274635 27.616761 c 55.272579 27.521740 l 55.141895 27.419224 l 55.237761 27.337501 55.287569 27.264482 55.279710 27.138755 c 55.276445 26.869045 55.085193 26.698468 54.830837 26.698831 c 54.591834 26.696294 54.415454 26.856839 54.422466 27.062234 c 54.414971 27.190863 54.478440 27.274278 54.551459 27.324085 c 53.993785 27.318163 l s +n 54.553151 27.164749 m 54.548194 27.054375 54.665217 27.000457 54.855258 26.996346 c 55.078908 27.001785 55.182634 27.045789 55.187591 27.156164 c 55.192548 27.266538 55.075525 27.320456 54.885483 27.324567 c 54.677186 27.316226 54.558108 27.275124 54.553151 27.164749 c 54.553151 27.164749 l s +n 54.420891 26.128315 m 54.421254 26.382671 54.618309 26.583955 54.857312 26.586493 c 55.096315 26.589030 55.279344 26.379524 55.278981 26.125168 c 55.275715 25.855458 55.094014 25.651273 54.855011 25.648735 c 54.616008 25.646197 54.417626 25.858605 54.420891 26.128315 c 54.420891 26.128315 l s +n 54.515912 26.126259 m 54.519659 26.061945 54.559916 26.022534 54.615526 25.980221 c 54.676939 25.968615 54.769058 25.951207 54.848726 25.952053 c 55.057023 25.960393 55.179003 26.016849 55.183960 26.127223 c 55.186015 26.222244 55.071894 26.291516 54.848244 26.286077 c 54.627495 26.295991 54.517967 26.221280 54.515912 26.126259 c 54.515912 26.126259 l s +n 54.453860 25.187867 m 54.464984 25.583304 l 54.544651 25.584150 l 54.554202 25.550542 l 54.552146 25.455521 54.564598 25.437266 54.723933 25.438958 c 54.993643 25.435693 l 55.073311 25.436539 l 55.152978 25.437385 55.155880 25.452738 55.160837 25.563112 c 55.148385 25.581367 l 55.243406 25.579311 l 55.242678 25.070599 l 55.147658 25.072654 l 55.149713 25.167675 55.149713 25.167675 55.085399 25.163928 c 54.990378 25.165983 l 54.831042 25.164292 l 54.766728 25.160544 54.720668 25.169249 54.687060 25.159698 c 54.635198 25.137696 54.574630 25.069634 54.578378 25.005320 c 54.579224 24.925652 54.650187 24.880438 54.760561 24.875481 c 54.999564 24.878019 l 55.094585 24.875964 l 55.158900 24.879711 55.161801 24.895064 55.151405 25.008340 c 55.246426 25.006284 l 55.242797 24.482218 l 55.147776 24.484274 l 55.152733 24.594648 55.155634 24.610001 55.075967 24.609155 c 54.996299 24.608310 l 54.726590 24.611575 l 54.631569 24.613630 54.573057 24.640589 54.499192 24.670450 c 54.461837 24.725214 54.427383 24.795331 54.429439 24.890352 c 54.421944 25.018981 54.472961 25.120651 54.594941 25.177107 c 54.453860 25.187867 l s +n 54.854398 23.541893 m 54.839045 23.544795 l 54.600042 23.542257 54.423661 23.702802 54.421123 23.941805 c 54.413629 24.070434 54.467547 24.187457 54.564623 24.280423 c 54.643445 24.360936 54.762524 24.402039 54.857545 24.399983 c 54.986174 24.407478 55.084942 24.341108 55.165455 24.262286 c 55.230616 24.186366 55.271719 24.067287 55.279213 23.938659 c 55.275102 23.748617 55.184675 23.606690 54.998380 23.546487 c 54.966828 23.631957 l 55.104161 23.685512 55.152276 23.771828 55.157233 23.882203 c 55.156387 23.961870 55.119032 24.016634 55.063422 24.058947 c 55.004910 24.085906 54.961752 24.109964 54.848477 24.099567 c 54.854398 23.541893 l s +n 54.768809 24.098721 m 54.609473 24.097030 54.518200 24.034771 54.516144 23.939750 c 54.516990 23.860082 54.587954 23.814868 54.731936 23.819462 c 54.777995 23.810757 54.777995 23.810757 54.771347 23.859718 c 54.768809 24.098721 l s +0.300000 slw +[] 0 sd +[] 0 sd +0 slj +n 8.000000 29.000000 m 8.000000 52.000000 l 12.000000 52.000000 l 12.000000 29.000000 l f +0.749020 0.749020 0.749020 srgb +n 8.000000 29.000000 m 8.000000 52.000000 l 12.000000 52.000000 l 12.000000 29.000000 l cp s +0.010000 slw +[] 0 sd +0 slj +1 slc +0.000000 0.000000 0.000000 srgb +n 9.676236 44.518395 m 9.674181 44.423374 l 9.675027 44.343706 9.697029 44.291843 9.727735 44.286040 c 9.752639 44.249531 9.765091 44.231276 9.844758 44.232122 c 9.985839 44.221362 10.050154 44.225110 10.077959 44.203953 c 10.200784 44.180742 10.278397 44.086567 10.280088 43.927231 c 10.275977 43.737189 10.166449 43.662479 9.991760 43.663688 c 9.961054 43.669491 l 9.960208 43.749159 l 10.070582 43.744202 10.137798 43.763303 10.134051 43.827617 c 10.136952 43.842970 10.124500 43.861225 10.109147 43.864126 c 10.093794 43.867028 10.078441 43.869929 10.032381 43.878634 c 10.017028 43.881535 10.001674 43.884437 9.986321 43.887338 c 9.909555 43.901845 l 9.771376 43.927959 9.687961 43.991427 9.652661 44.141212 c 9.638154 44.064446 9.611195 44.005935 9.602490 43.959875 c 9.551473 43.858205 9.447748 43.814201 9.334472 43.803805 c 9.224098 43.808762 9.153135 43.853975 9.087975 43.929896 c 9.041069 44.018268 9.030673 44.131544 9.028981 44.290879 c 9.021368 45.007888 l 9.116389 45.005833 l 9.125939 44.972225 l 9.126785 44.892557 9.118080 44.846497 9.130532 44.828242 c 9.145885 44.825341 9.161239 44.822440 9.271613 44.817483 c 9.988622 44.825096 l 10.098996 44.820139 10.117251 44.832590 10.132604 44.829689 c 10.150859 44.842141 10.159563 44.888201 10.158717 44.967868 c 10.149167 45.001476 l 10.244188 44.999421 l 10.245152 44.331373 l 10.150131 44.333428 l 10.155934 44.364135 l 10.157990 44.459156 10.148440 44.492764 10.135988 44.511018 c 10.123536 44.529273 10.105281 44.516821 9.994907 44.521778 c 9.676236 44.518395 l ef +1.000000 1.000000 1.000000 srgb +n 9.581215 44.520450 m 9.231838 44.522869 l 9.136817 44.524925 9.118563 44.512473 9.128959 44.399198 c 9.123156 44.368491 l 9.124848 44.209156 9.187107 44.117882 9.346442 44.119574 c 9.521131 44.118364 9.584599 44.201779 9.579160 44.425429 c ef +0.000000 0.000000 0.000000 srgb +n 9.853668 42.743642 m 9.838315 42.746543 l 9.599312 42.744006 9.422931 42.904551 9.420394 43.143554 c 9.412899 43.272183 9.466817 43.389206 9.563893 43.482171 c 9.642715 43.562685 9.761794 43.603787 9.856815 43.601732 c 9.985444 43.609227 10.084212 43.542857 10.164726 43.464035 c 10.229886 43.388115 10.270989 43.269036 10.278483 43.140407 c 10.274372 42.950365 10.183945 42.808439 9.997650 42.748235 c 9.966098 42.833706 l 10.103431 42.887260 10.151546 42.973577 10.156503 43.083951 c 10.155657 43.163619 10.118302 43.218383 10.062692 43.260695 c 10.004181 43.287654 9.961022 43.311712 9.847747 43.301316 c 9.853668 42.743642 l ef +1.000000 1.000000 1.000000 srgb +n 9.768079 43.300470 m 9.608744 43.298778 9.517470 43.236519 9.515414 43.141498 c 9.516260 43.061831 9.587224 43.016617 9.731206 43.021210 c 9.777266 43.012506 9.777266 43.012506 9.770617 43.061467 c ef +0.000000 0.000000 0.000000 srgb +n 10.084267 42.238918 m 10.083421 42.318586 10.073871 42.352193 10.024910 42.345545 c 9.994203 42.351348 9.973047 42.323543 9.986345 42.225620 c 9.980542 42.194914 l 9.975585 42.084539 9.963979 42.023127 9.934118 41.949262 c 9.886003 41.862945 9.810083 41.797785 9.715062 41.799840 c 9.635394 41.798994 9.576882 41.825954 9.511722 41.901874 c 9.490566 41.874069 9.472311 41.861617 9.469410 41.846264 c 9.466508 41.830911 9.466508 41.830911 9.481862 41.828009 c 9.512568 41.822206 9.568178 41.779894 9.559474 41.733834 c 9.566123 41.684873 9.508457 41.632164 9.447044 41.643770 c 9.382730 41.640023 9.342473 41.679434 9.341627 41.759101 c 9.340781 41.838769 9.370641 41.912634 9.464816 41.990246 c 9.442814 42.042109 9.423714 42.109325 9.425769 42.204346 c 9.420330 42.427995 9.547267 42.594825 9.721956 42.593616 c 9.816977 42.591560 9.900392 42.528092 9.941495 42.409013 c 9.982961 42.544291 10.025273 42.599901 10.123196 42.613199 c 10.184609 42.601593 10.240219 42.559280 10.281321 42.440202 c 10.292081 42.581283 10.349747 42.633991 10.429415 42.634837 c 10.539789 42.629880 10.593343 42.492547 10.605431 42.219936 c 10.593462 41.904166 10.481878 41.734435 10.307189 41.735644 c 10.212168 41.737700 10.144107 41.798267 10.109653 41.868384 c 10.090552 41.935600 10.086805 41.999915 10.094663 42.125642 c 10.084267 42.238918 l ef +1.000000 1.000000 1.000000 srgb +n 9.520790 42.202290 m 9.518735 42.107269 9.577246 42.080310 9.705875 42.087805 c 9.816249 42.082848 9.904621 42.129753 9.903775 42.209421 c 9.894225 42.243029 9.869322 42.279538 9.838615 42.285341 c 9.795457 42.309399 9.749397 42.318103 9.703337 42.326808 c 9.574708 42.319313 9.517043 42.266604 9.520790 42.202290 c ef +n 10.321215 42.146435 m 10.331611 42.033159 10.328709 42.017806 10.338260 41.984198 c 10.366065 41.963042 10.378516 41.944787 10.412124 41.954337 c 10.476439 41.958085 10.509201 42.047303 10.510410 42.221991 c 10.500014 42.335267 10.468462 42.420737 10.404147 42.416990 c 10.370539 42.407440 10.349383 42.379635 10.328227 42.351830 c ef +0.000000 0.000000 0.000000 srgb +n 9.450034 41.217838 m 9.476511 41.610374 l 9.556179 41.611220 l 9.550376 41.580513 l 9.548320 41.485492 9.563674 41.482591 9.707656 41.487184 c 9.992719 41.481017 l 10.072386 41.481863 l 10.152054 41.482709 10.154955 41.498062 10.159912 41.608437 c 10.254933 41.606381 l 10.251304 41.082315 l 10.156284 41.084371 l 10.159185 41.099724 l 10.161241 41.194745 10.148789 41.213000 10.084474 41.209252 c 9.989453 41.211308 l 9.450034 41.217838 l ef +n 8.995240 41.335589 m 8.997295 41.430610 9.073216 41.495770 9.152883 41.496616 c 9.232551 41.497462 9.315966 41.433993 9.316812 41.354326 c 9.314757 41.259305 9.226384 41.212399 9.146717 41.211553 c 9.067049 41.210707 8.996086 41.255921 8.995240 41.335589 c 8.995240 41.335589 l ef +n 9.442233 40.293046 m 9.438486 40.357361 l 9.483699 40.428324 l 9.430991 40.485990 9.427243 40.550304 9.426397 40.629972 c 9.415155 40.822915 9.551643 40.956137 9.710978 40.957829 c 9.772391 40.946223 9.818451 40.937519 9.855806 40.882755 c 9.911416 40.840442 9.933418 40.788580 9.962069 40.687756 c 9.987819 40.571579 l 10.016470 40.470755 10.026020 40.437147 10.090334 40.440894 c 10.154649 40.444642 10.181608 40.503153 10.180762 40.582821 c 10.188621 40.708548 10.111008 40.802723 9.950827 40.880699 c 9.949981 40.960367 l 10.250397 40.951299 l 10.254145 40.886984 l 10.211832 40.831374 l 10.246286 40.761257 10.274937 40.660433 10.275783 40.580765 c 10.287025 40.387822 10.150538 40.254600 9.975849 40.255809 c 9.911535 40.252062 9.850122 40.263668 9.812766 40.318432 c 9.787863 40.354942 9.778312 40.388549 9.740111 40.522981 c 9.686557 40.660315 l 9.670358 40.742884 9.660807 40.776492 9.611846 40.769843 c 9.565786 40.778547 9.520573 40.707584 9.521418 40.627916 c 9.519363 40.532895 9.584523 40.456975 9.711097 40.369449 c 9.711942 40.289781 l 9.442233 40.293046 l ef +n 9.462298 39.557782 m 9.453957 39.766079 l 9.119933 39.765597 l 9.124890 39.875971 l 9.333187 39.884312 9.448518 39.989729 9.465081 40.161516 c 9.544749 40.162362 l 9.552243 40.033733 l 9.947680 40.022609 l 10.091662 40.027203 10.137722 40.018498 10.180880 39.994441 c 10.236491 39.952128 10.286298 39.879109 10.284242 39.784088 c 10.282187 39.689068 10.252326 39.615203 10.182209 39.580749 c 10.127444 39.543394 10.041974 39.511841 9.962306 39.510995 c 9.962306 39.510995 9.944051 39.498543 9.928698 39.501445 c 9.930754 39.596466 l 10.059383 39.603961 10.129500 39.638414 10.128654 39.718082 c 10.119104 39.751690 10.088397 39.757493 9.978023 39.762450 c 9.548978 39.764023 l 9.557319 39.555727 l 9.462298 39.557782 l ef +n 9.853681 38.557552 m 9.838328 38.560454 l 9.599325 38.557916 9.422944 38.718461 9.420406 38.957464 c 9.412912 39.086093 9.466830 39.203116 9.563906 39.296082 c 9.642728 39.376595 9.761807 39.417698 9.856828 39.415642 c 9.985457 39.423137 10.084225 39.356767 10.164738 39.277945 c 10.229899 39.202025 10.271002 39.082946 10.278496 38.954317 c 10.274385 38.764276 10.183958 38.622349 9.997663 38.562146 c 9.966111 38.647616 l 10.103444 38.701171 10.151559 38.787487 10.156516 38.897862 c 10.155670 38.977529 10.118315 39.032293 10.062705 39.074606 c 10.004193 39.101565 9.961035 39.125622 9.847760 39.115226 c 9.853681 38.557552 l ef +1.000000 1.000000 1.000000 srgb +n 9.768092 39.114380 m 9.608756 39.112689 9.517483 39.050430 9.515427 38.955409 c 9.516273 38.875741 9.587237 38.830527 9.731219 38.835120 c 9.777278 38.826416 9.777278 38.826416 9.770630 38.875377 c ef +0.000000 0.000000 0.000000 srgb +n 9.455643 38.092121 m 9.463865 38.472204 l 9.543533 38.473050 l 9.553083 38.439442 l 9.551027 38.344421 9.566381 38.341520 9.725716 38.343212 c 9.995426 38.339947 l 10.075093 38.340793 l 10.154761 38.341638 10.157662 38.356992 10.147266 38.470267 c 10.242287 38.468212 l 10.248209 37.910538 l 10.153188 37.912594 l 10.158991 37.943300 l 10.148594 38.056576 10.148594 38.056576 10.068927 38.055730 c 9.989259 38.054884 l 9.958552 38.060687 l 9.845277 38.050291 9.719549 38.058149 9.646531 38.008342 c 9.576413 37.973888 9.567709 37.927828 9.525396 37.872218 c 9.561906 37.897122 9.595514 37.906672 9.610867 37.903771 c 9.690535 37.904617 9.743243 37.846951 9.744089 37.767283 c 9.744935 37.687616 9.669015 37.622455 9.589347 37.621609 c 9.494326 37.623665 9.413813 37.702487 9.418770 37.812861 c 9.426628 37.938588 9.508351 38.034455 9.661038 38.085108 c 9.455643 38.092121 l ef +n 9.029823 35.986127 m 9.020882 37.116828 l 9.115903 37.114772 l 9.125453 37.081165 l 9.123397 36.986144 9.117594 36.955437 9.130046 36.937182 c 9.157851 36.916026 9.173205 36.913125 9.283579 36.908168 c 10.000588 36.915781 l 10.110962 36.910824 10.129217 36.923276 10.132118 36.938629 c 10.150373 36.951081 10.156176 36.981787 10.158231 37.076808 c 10.148681 37.110416 l 10.243702 37.108361 l 10.257118 36.422058 l 10.162097 36.424113 l 10.155448 36.473075 l 10.157504 36.568096 10.147954 36.601703 10.135502 36.619958 c 10.123050 36.638213 10.104795 36.625761 9.994421 36.630718 c 9.675750 36.627334 l 9.669948 36.596628 l 9.677442 36.467999 9.755054 36.373824 9.896135 36.363064 c 9.894080 36.268043 l 9.321052 36.265023 l 9.323108 36.360044 l 9.485345 36.377089 9.582421 36.470055 9.574927 36.598684 c 9.580730 36.629390 l 9.262059 36.626006 l 9.200646 36.637612 9.182391 36.625160 9.148783 36.615610 c 9.130528 36.603158 9.121824 36.557099 9.125571 36.492784 c 9.119768 36.462078 l 9.127263 36.333449 9.168366 36.214370 9.251781 36.150902 c 9.294939 36.126844 9.368804 36.096983 9.473375 36.061320 c 9.471320 35.966299 l 9.029823 35.986127 l ef +n 9.451915 35.484968 m 9.478392 35.877504 l 9.558059 35.878350 l 9.552256 35.847643 l 9.550201 35.752623 9.565554 35.749721 9.709536 35.754314 c 9.994599 35.748148 l 10.074267 35.748994 l 10.153934 35.749839 10.156836 35.765193 10.161793 35.875567 c 10.256814 35.873511 l 10.253185 35.349445 l 10.158164 35.351501 l 10.161065 35.366854 l 10.163121 35.461875 10.150669 35.480130 10.086355 35.476383 c 9.991334 35.478438 l 9.451915 35.484968 l ef +n 8.997120 35.602719 m 8.999176 35.697740 9.075096 35.762900 9.154764 35.763746 c 9.234431 35.764592 9.317847 35.701124 9.318692 35.621456 c 9.316637 35.526435 9.228265 35.479529 9.148597 35.478683 c 9.068929 35.477837 8.997966 35.523051 8.997120 35.602719 c 8.997120 35.602719 l ef +n 8.990529 34.852616 m 9.022808 35.275858 l 9.117829 35.273802 l 9.127380 35.240194 l 9.125324 35.145173 9.122423 35.129820 9.278856 35.116159 c 9.995866 35.123772 l 10.090887 35.121716 l 10.155201 35.125464 10.158103 35.140817 10.150608 35.269446 c 10.245629 35.267390 l 10.257353 34.740423 l 10.162332 34.742478 l 10.149880 34.760733 l 10.155683 34.791440 10.164388 34.837499 10.151936 34.855754 c 10.136583 34.858656 10.121229 34.861557 10.087621 34.852007 c 9.992601 34.854062 l 8.990529 34.852616 l ef +n 9.855263 33.790759 m 9.839910 33.793661 l 9.600907 33.791123 9.424526 33.951668 9.421989 34.190671 c 9.414494 34.319300 9.468412 34.436323 9.565488 34.529288 c 9.644310 34.609802 9.763389 34.650905 9.858410 34.648849 c 9.987039 34.656344 10.085807 34.589974 10.166321 34.511152 c 10.231481 34.435232 10.272584 34.316153 10.280078 34.187524 c 10.275967 33.997482 10.185540 33.855556 9.999245 33.795352 c 9.967693 33.880823 l 10.105026 33.934378 10.153141 34.020694 10.158098 34.131068 c 10.157252 34.210736 10.119897 34.265500 10.064287 34.307812 c 10.005776 34.334772 9.962617 34.358829 9.849342 34.348433 c 9.855263 33.790759 l ef +1.000000 1.000000 1.000000 srgb +n 9.769674 34.347587 m 9.610339 34.345895 9.519065 34.283636 9.517009 34.188615 c 9.517855 34.108948 9.588819 34.063734 9.732801 34.068327 c 9.778861 34.059623 9.778861 34.059623 9.772212 34.108584 c ef +n 9.676236 44.518395 m 9.674181 44.423374 l 9.675027 44.343706 9.697029 44.291843 9.727735 44.286040 c 9.752639 44.249531 9.765091 44.231276 9.844758 44.232122 c 9.985839 44.221362 10.050154 44.225110 10.077959 44.203953 c 10.200784 44.180742 10.278397 44.086567 10.280088 43.927231 c 10.275977 43.737189 10.166449 43.662479 9.991760 43.663688 c 9.961054 43.669491 l 9.960208 43.749159 l 10.070582 43.744202 10.137798 43.763303 10.134051 43.827617 c 10.136952 43.842970 10.124500 43.861225 10.109147 43.864126 c 10.093794 43.867028 10.078441 43.869929 10.032381 43.878634 c 10.017028 43.881535 10.001674 43.884437 9.986321 43.887338 c 9.909555 43.901845 l 9.771376 43.927959 9.687961 43.991427 9.652661 44.141212 c 9.638154 44.064446 9.611195 44.005935 9.602490 43.959875 c 9.551473 43.858205 9.447748 43.814201 9.334472 43.803805 c 9.224098 43.808762 9.153135 43.853975 9.087975 43.929896 c 9.041069 44.018268 9.030673 44.131544 9.028981 44.290879 c 9.021368 45.007888 l 9.116389 45.005833 l 9.125939 44.972225 l 9.126785 44.892557 9.118080 44.846497 9.130532 44.828242 c 9.145885 44.825341 9.161239 44.822440 9.271613 44.817483 c 9.988622 44.825096 l 10.098996 44.820139 10.117251 44.832590 10.132604 44.829689 c 10.150859 44.842141 10.159563 44.888201 10.158717 44.967868 c 10.149167 45.001476 l 10.244188 44.999421 l 10.245152 44.331373 l 10.150131 44.333428 l 10.155934 44.364135 l 10.157990 44.459156 10.148440 44.492764 10.135988 44.511018 c 10.123536 44.529273 10.105281 44.516821 9.994907 44.521778 c 9.676236 44.518395 l s +n 9.581215 44.520450 m 9.231838 44.522869 l 9.136817 44.524925 9.118563 44.512473 9.128959 44.399198 c 9.123156 44.368491 l 9.124848 44.209156 9.187107 44.117882 9.346442 44.119574 c 9.521131 44.118364 9.584599 44.201779 9.579160 44.425429 c 9.581215 44.520450 l s +n 9.853668 42.743642 m 9.838315 42.746543 l 9.599312 42.744006 9.422931 42.904551 9.420394 43.143554 c 9.412899 43.272183 9.466817 43.389206 9.563893 43.482171 c 9.642715 43.562685 9.761794 43.603787 9.856815 43.601732 c 9.985444 43.609227 10.084212 43.542857 10.164726 43.464035 c 10.229886 43.388115 10.270989 43.269036 10.278483 43.140407 c 10.274372 42.950365 10.183945 42.808439 9.997650 42.748235 c 9.966098 42.833706 l 10.103431 42.887260 10.151546 42.973577 10.156503 43.083951 c 10.155657 43.163619 10.118302 43.218383 10.062692 43.260695 c 10.004181 43.287654 9.961022 43.311712 9.847747 43.301316 c 9.853668 42.743642 l s +n 9.768079 43.300470 m 9.608744 43.298778 9.517470 43.236519 9.515414 43.141498 c 9.516260 43.061831 9.587224 43.016617 9.731206 43.021210 c 9.777266 43.012506 9.777266 43.012506 9.770617 43.061467 c 9.768079 43.300470 l s +n 10.084267 42.238918 m 10.083421 42.318586 10.073871 42.352193 10.024910 42.345545 c 9.994203 42.351348 9.973047 42.323543 9.986345 42.225620 c 9.980542 42.194914 l 9.975585 42.084539 9.963979 42.023127 9.934118 41.949262 c 9.886003 41.862945 9.810083 41.797785 9.715062 41.799840 c 9.635394 41.798994 9.576882 41.825954 9.511722 41.901874 c 9.490566 41.874069 9.472311 41.861617 9.469410 41.846264 c 9.466508 41.830911 9.466508 41.830911 9.481862 41.828009 c 9.512568 41.822206 9.568178 41.779894 9.559474 41.733834 c 9.566123 41.684873 9.508457 41.632164 9.447044 41.643770 c 9.382730 41.640023 9.342473 41.679434 9.341627 41.759101 c 9.340781 41.838769 9.370641 41.912634 9.464816 41.990246 c 9.442814 42.042109 9.423714 42.109325 9.425769 42.204346 c 9.420330 42.427995 9.547267 42.594825 9.721956 42.593616 c 9.816977 42.591560 9.900392 42.528092 9.941495 42.409013 c 9.982961 42.544291 10.025273 42.599901 10.123196 42.613199 c 10.184609 42.601593 10.240219 42.559280 10.281321 42.440202 c 10.292081 42.581283 10.349747 42.633991 10.429415 42.634837 c 10.539789 42.629880 10.593343 42.492547 10.605431 42.219936 c 10.593462 41.904166 10.481878 41.734435 10.307189 41.735644 c 10.212168 41.737700 10.144107 41.798267 10.109653 41.868384 c 10.090552 41.935600 10.086805 41.999915 10.094663 42.125642 c 10.084267 42.238918 l s +n 9.520790 42.202290 m 9.518735 42.107269 9.577246 42.080310 9.705875 42.087805 c 9.816249 42.082848 9.904621 42.129753 9.903775 42.209421 c 9.894225 42.243029 9.869322 42.279538 9.838615 42.285341 c 9.795457 42.309399 9.749397 42.318103 9.703337 42.326808 c 9.574708 42.319313 9.517043 42.266604 9.520790 42.202290 c 9.520790 42.202290 l s +n 10.321215 42.146435 m 10.331611 42.033159 10.328709 42.017806 10.338260 41.984198 c 10.366065 41.963042 10.378516 41.944787 10.412124 41.954337 c 10.476439 41.958085 10.509201 42.047303 10.510410 42.221991 c 10.500014 42.335267 10.468462 42.420737 10.404147 42.416990 c 10.370539 42.407440 10.349383 42.379635 10.328227 42.351830 c 10.321215 42.146435 l s +n 9.450034 41.217838 m 9.476511 41.610374 l 9.556179 41.611220 l 9.550376 41.580513 l 9.548320 41.485492 9.563674 41.482591 9.707656 41.487184 c 9.992719 41.481017 l 10.072386 41.481863 l 10.152054 41.482709 10.154955 41.498062 10.159912 41.608437 c 10.254933 41.606381 l 10.251304 41.082315 l 10.156284 41.084371 l 10.159185 41.099724 l 10.161241 41.194745 10.148789 41.213000 10.084474 41.209252 c 9.989453 41.211308 l 9.450034 41.217838 l s +n 8.995240 41.335589 m 8.997295 41.430610 9.073216 41.495770 9.152883 41.496616 c 9.232551 41.497462 9.315966 41.433993 9.316812 41.354326 c 9.314757 41.259305 9.226384 41.212399 9.146717 41.211553 c 9.067049 41.210707 8.996086 41.255921 8.995240 41.335589 c 8.995240 41.335589 l s +n 9.442233 40.293046 m 9.438486 40.357361 l 9.483699 40.428324 l 9.430991 40.485990 9.427243 40.550304 9.426397 40.629972 c 9.415155 40.822915 9.551643 40.956137 9.710978 40.957829 c 9.772391 40.946223 9.818451 40.937519 9.855806 40.882755 c 9.911416 40.840442 9.933418 40.788580 9.962069 40.687756 c 9.987819 40.571579 l 10.016470 40.470755 10.026020 40.437147 10.090334 40.440894 c 10.154649 40.444642 10.181608 40.503153 10.180762 40.582821 c 10.188621 40.708548 10.111008 40.802723 9.950827 40.880699 c 9.949981 40.960367 l 10.250397 40.951299 l 10.254145 40.886984 l 10.211832 40.831374 l 10.246286 40.761257 10.274937 40.660433 10.275783 40.580765 c 10.287025 40.387822 10.150538 40.254600 9.975849 40.255809 c 9.911535 40.252062 9.850122 40.263668 9.812766 40.318432 c 9.787863 40.354942 9.778312 40.388549 9.740111 40.522981 c 9.686557 40.660315 l 9.670358 40.742884 9.660807 40.776492 9.611846 40.769843 c 9.565786 40.778547 9.520573 40.707584 9.521418 40.627916 c 9.519363 40.532895 9.584523 40.456975 9.711097 40.369449 c 9.711942 40.289781 l 9.442233 40.293046 l s +n 9.462298 39.557782 m 9.453957 39.766079 l 9.119933 39.765597 l 9.124890 39.875971 l 9.333187 39.884312 9.448518 39.989729 9.465081 40.161516 c 9.544749 40.162362 l 9.552243 40.033733 l 9.947680 40.022609 l 10.091662 40.027203 10.137722 40.018498 10.180880 39.994441 c 10.236491 39.952128 10.286298 39.879109 10.284242 39.784088 c 10.282187 39.689068 10.252326 39.615203 10.182209 39.580749 c 10.127444 39.543394 10.041974 39.511841 9.962306 39.510995 c 9.962306 39.510995 9.944051 39.498543 9.928698 39.501445 c 9.930754 39.596466 l 10.059383 39.603961 10.129500 39.638414 10.128654 39.718082 c 10.119104 39.751690 10.088397 39.757493 9.978023 39.762450 c 9.548978 39.764023 l 9.557319 39.555727 l 9.462298 39.557782 l s +n 9.853681 38.557552 m 9.838328 38.560454 l 9.599325 38.557916 9.422944 38.718461 9.420406 38.957464 c 9.412912 39.086093 9.466830 39.203116 9.563906 39.296082 c 9.642728 39.376595 9.761807 39.417698 9.856828 39.415642 c 9.985457 39.423137 10.084225 39.356767 10.164738 39.277945 c 10.229899 39.202025 10.271002 39.082946 10.278496 38.954317 c 10.274385 38.764276 10.183958 38.622349 9.997663 38.562146 c 9.966111 38.647616 l 10.103444 38.701171 10.151559 38.787487 10.156516 38.897862 c 10.155670 38.977529 10.118315 39.032293 10.062705 39.074606 c 10.004193 39.101565 9.961035 39.125622 9.847760 39.115226 c 9.853681 38.557552 l s +n 9.768092 39.114380 m 9.608756 39.112689 9.517483 39.050430 9.515427 38.955409 c 9.516273 38.875741 9.587237 38.830527 9.731219 38.835120 c 9.777278 38.826416 9.777278 38.826416 9.770630 38.875377 c 9.768092 39.114380 l s +n 9.455643 38.092121 m 9.463865 38.472204 l 9.543533 38.473050 l 9.553083 38.439442 l 9.551027 38.344421 9.566381 38.341520 9.725716 38.343212 c 9.995426 38.339947 l 10.075093 38.340793 l 10.154761 38.341638 10.157662 38.356992 10.147266 38.470267 c 10.242287 38.468212 l 10.248209 37.910538 l 10.153188 37.912594 l 10.158991 37.943300 l 10.148594 38.056576 10.148594 38.056576 10.068927 38.055730 c 9.989259 38.054884 l 9.958552 38.060687 l 9.845277 38.050291 9.719549 38.058149 9.646531 38.008342 c 9.576413 37.973888 9.567709 37.927828 9.525396 37.872218 c 9.561906 37.897122 9.595514 37.906672 9.610867 37.903771 c 9.690535 37.904617 9.743243 37.846951 9.744089 37.767283 c 9.744935 37.687616 9.669015 37.622455 9.589347 37.621609 c 9.494326 37.623665 9.413813 37.702487 9.418770 37.812861 c 9.426628 37.938588 9.508351 38.034455 9.661038 38.085108 c 9.455643 38.092121 l s +n 9.029823 35.986127 m 9.020882 37.116828 l 9.115903 37.114772 l 9.125453 37.081165 l 9.123397 36.986144 9.117594 36.955437 9.130046 36.937182 c 9.157851 36.916026 9.173205 36.913125 9.283579 36.908168 c 10.000588 36.915781 l 10.110962 36.910824 10.129217 36.923276 10.132118 36.938629 c 10.150373 36.951081 10.156176 36.981787 10.158231 37.076808 c 10.148681 37.110416 l 10.243702 37.108361 l 10.257118 36.422058 l 10.162097 36.424113 l 10.155448 36.473075 l 10.157504 36.568096 10.147954 36.601703 10.135502 36.619958 c 10.123050 36.638213 10.104795 36.625761 9.994421 36.630718 c 9.675750 36.627334 l 9.669948 36.596628 l 9.677442 36.467999 9.755054 36.373824 9.896135 36.363064 c 9.894080 36.268043 l 9.321052 36.265023 l 9.323108 36.360044 l 9.485345 36.377089 9.582421 36.470055 9.574927 36.598684 c 9.580730 36.629390 l 9.262059 36.626006 l 9.200646 36.637612 9.182391 36.625160 9.148783 36.615610 c 9.130528 36.603158 9.121824 36.557099 9.125571 36.492784 c 9.119768 36.462078 l 9.127263 36.333449 9.168366 36.214370 9.251781 36.150902 c 9.294939 36.126844 9.368804 36.096983 9.473375 36.061320 c 9.471320 35.966299 l 9.029823 35.986127 l s +n 9.451915 35.484968 m 9.478392 35.877504 l 9.558059 35.878350 l 9.552256 35.847643 l 9.550201 35.752623 9.565554 35.749721 9.709536 35.754314 c 9.994599 35.748148 l 10.074267 35.748994 l 10.153934 35.749839 10.156836 35.765193 10.161793 35.875567 c 10.256814 35.873511 l 10.253185 35.349445 l 10.158164 35.351501 l 10.161065 35.366854 l 10.163121 35.461875 10.150669 35.480130 10.086355 35.476383 c 9.991334 35.478438 l 9.451915 35.484968 l s +n 8.997120 35.602719 m 8.999176 35.697740 9.075096 35.762900 9.154764 35.763746 c 9.234431 35.764592 9.317847 35.701124 9.318692 35.621456 c 9.316637 35.526435 9.228265 35.479529 9.148597 35.478683 c 9.068929 35.477837 8.997966 35.523051 8.997120 35.602719 c 8.997120 35.602719 l s +n 8.990529 34.852616 m 9.022808 35.275858 l 9.117829 35.273802 l 9.127380 35.240194 l 9.125324 35.145173 9.122423 35.129820 9.278856 35.116159 c 9.995866 35.123772 l 10.090887 35.121716 l 10.155201 35.125464 10.158103 35.140817 10.150608 35.269446 c 10.245629 35.267390 l 10.257353 34.740423 l 10.162332 34.742478 l 10.149880 34.760733 l 10.155683 34.791440 10.164388 34.837499 10.151936 34.855754 c 10.136583 34.858656 10.121229 34.861557 10.087621 34.852007 c 9.992601 34.854062 l 8.990529 34.852616 l s +n 9.855263 33.790759 m 9.839910 33.793661 l 9.600907 33.791123 9.424526 33.951668 9.421989 34.190671 c 9.414494 34.319300 9.468412 34.436323 9.565488 34.529288 c 9.644310 34.609802 9.763389 34.650905 9.858410 34.648849 c 9.987039 34.656344 10.085807 34.589974 10.166321 34.511152 c 10.231481 34.435232 10.272584 34.316153 10.280078 34.187524 c 10.275967 33.997482 10.185540 33.855556 9.999245 33.795352 c 9.967693 33.880823 l 10.105026 33.934378 10.153141 34.020694 10.158098 34.131068 c 10.157252 34.210736 10.119897 34.265500 10.064287 34.307812 c 10.005776 34.334772 9.962617 34.358829 9.849342 34.348433 c 9.855263 33.790759 l s +n 9.769674 34.347587 m 9.610339 34.345895 9.519065 34.283636 9.517009 34.188615 c 9.517855 34.108948 9.588819 34.063734 9.732801 34.068327 c 9.778861 34.059623 9.778861 34.059623 9.772212 34.108584 c 9.769674 34.347587 l s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +0.000000 0.000000 0.000000 srgb +n 7.000000 18.000000 m 7.500000 17.500000 l 9.500000 17.500000 l 6.500000 20.500000 l 8.000000 20.500000 l 7.500000 21.000000 l 5.500000 21.000000 l 8.500000 18.000000 l ef +n 7.000000 18.000000 m 7.500000 17.500000 l 9.500000 17.500000 l 6.500000 20.500000 l 8.000000 20.500000 l 7.500000 21.000000 l 5.500000 21.000000 l 8.500000 18.000000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 8.500000 19.500000 m 9.000000 19.500000 l 8.500000 20.000000 l 8.000000 20.000000 l ef +n 8.500000 19.500000 m 9.000000 19.500000 l 8.500000 20.000000 l 8.000000 20.000000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 8.500000 20.500000 m 10.000000 20.500000 l 10.500000 20.500000 l 11.000000 20.000000 l 9.000000 20.000000 l 9.500000 19.500000 l 11.500000 19.500000 l 11.500000 20.000000 l 10.500000 21.000000 l 8.500000 21.000000 l 7.000000 22.500000 l 6.500000 22.500000 l ef +n 8.500000 20.500000 m 10.000000 20.500000 l 10.500000 20.500000 l 11.000000 20.000000 l 9.000000 20.000000 l 9.500000 19.500000 l 11.500000 19.500000 l 11.500000 20.000000 l 10.500000 21.000000 l 8.500000 21.000000 l 7.000000 22.500000 l 6.500000 22.500000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 13.000000 20.500000 m 14.500000 19.000000 l 16.500000 19.000000 l 16.000000 19.500000 l 14.500000 19.500000 l 13.500000 20.500000 l 15.000000 20.500000 l 14.500000 21.000000 l 13.000000 21.000000 l ef +n 13.000000 20.500000 m 14.500000 19.000000 l 16.500000 19.000000 l 16.000000 19.500000 l 14.500000 19.500000 l 13.500000 20.500000 l 15.000000 20.500000 l 14.500000 21.000000 l 13.000000 21.000000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 17.000000 19.000000 m 18.500000 19.000000 l 18.500000 19.500000 l 17.500000 20.500000 l 16.000000 20.500000 l 15.500000 21.000000 l 15.000000 21.000000 l 16.000000 20.000000 l 17.500000 20.000000 l 18.000000 19.500000 l 16.500000 19.500000 l ef +n 17.000000 19.000000 m 18.500000 19.000000 l 18.500000 19.500000 l 17.500000 20.500000 l 16.000000 20.500000 l 15.500000 21.000000 l 15.000000 21.000000 l 16.000000 20.000000 l 17.500000 20.000000 l 18.000000 19.500000 l 16.500000 19.500000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 19.500000 19.000000 m 20.000000 19.000000 l 18.500000 20.500000 l 19.500000 20.500000 l 21.000000 19.000000 l 21.500000 19.000000 l 19.500000 21.000000 l 18.000000 21.000000 l 18.000000 20.500000 l ef +n 19.500000 19.000000 m 20.000000 19.000000 l 18.500000 20.500000 l 19.500000 20.500000 l 21.000000 19.000000 l 21.500000 19.000000 l 19.500000 21.000000 l 18.000000 21.000000 l 18.000000 20.500000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 50.000000 48.000000 m 51.600000 48.000000 l 51.600000 48.600000 l 50.200000 48.600000 l 49.400000 49.400000 l 49.400000 51.400000 l 50.200000 52.200000 l 52.200000 52.200000 l 53.000000 51.400000 l 53.000000 51.000000 l 51.000000 51.000000 l 51.000000 50.400000 l 53.600000 50.400000 l 53.600000 52.800000 l 53.000000 52.800000 l 53.000000 52.200000 l 52.400000 52.800000 l 50.000000 52.800000 l 48.800000 51.600000 l 48.800000 49.200000 l ef +n 50.000000 48.000000 m 51.600000 48.000000 l 51.600000 48.600000 l 50.200000 48.600000 l 49.400000 49.400000 l 49.400000 51.400000 l 50.200000 52.200000 l 52.200000 52.200000 l 53.000000 51.400000 l 53.000000 51.000000 l 51.000000 51.000000 l 51.000000 50.400000 l 53.600000 50.400000 l 53.600000 52.800000 l 53.000000 52.800000 l 53.000000 52.200000 l 52.400000 52.800000 l 50.000000 52.800000 l 48.800000 51.600000 l 48.800000 49.200000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 52.400000 48.000000 m 55.000000 48.000000 l 55.000000 52.800000 l 54.400000 52.800000 l 54.400000 48.600000 l 52.400000 48.600000 l ef +n 52.400000 48.000000 m 55.000000 48.000000 l 55.000000 52.800000 l 54.400000 52.800000 l 54.400000 48.600000 l 52.400000 48.600000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 55.800000 48.000000 m 57.200000 48.000000 l 57.200000 48.600000 l 55.800000 48.600000 l ef +n 55.800000 48.000000 m 57.200000 48.000000 l 57.200000 48.600000 l 55.800000 48.600000 l cp s +0.400000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 40.000000 43.000000 m 40.000000 45.000000 l 32.000000 45.000000 l 32.000000 46.000000 l s +0.300000 slw +[] 0 sd +[] 0 sd +0 slj +1.000000 1.000000 1.000000 srgb +n 33.000000 37.000000 m 33.000000 41.000000 l 46.500000 41.000000 l 46.500000 37.000000 l f +0.117647 0.564706 1.000000 srgb +n 33.000000 37.000000 m 33.000000 41.000000 l 46.500000 41.000000 l 46.500000 37.000000 l cp s +0.000000 0.000000 0.000000 srgb +gsave 34.341250 39.468750 translate 0.035278 -0.035278 scale +start_ol +576 0 moveto +576 512 lineto +1536 512 lineto +1536 6976 lineto +512 6976 lineto +512 7488 lineto +2737 7488 lineto +5313 2148 lineto +7906 7488 lineto +9984 7488 lineto +9984 6976 lineto +8960 6976 lineto +8960 512 lineto +9920 512 lineto +9920 0 lineto +6976 0 lineto +6976 512 lineto +7936 512 lineto +7936 6340 lineto +5401 1083 lineto +4711 1083 lineto +2176 6340 lineto +2176 512 lineto +3136 512 lineto +3136 0 lineto +576 0 lineto +end_ol grestore +gsave 35.727452 39.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +gsave 36.529197 39.468750 translate 0.035278 -0.035278 scale +start_ol +5362 4239 moveto +5631 4834 6049 5137 conicto +6468 5440 7025 5440 conicto +7872 5440 8288 4919 conicto +8704 4398 8704 3345 conicto +8704 512 lineto +9536 512 lineto +9536 0 lineto +6976 0 lineto +6976 512 lineto +7808 512 lineto +7808 3240 lineto +7808 4050 7560 4393 conicto +7313 4736 6740 4736 conicto +6106 4736 5773 4274 conicto +5440 3812 5440 2927 conicto +5440 512 lineto +6272 512 lineto +6272 0 lineto +3712 0 lineto +3712 512 lineto +4544 512 lineto +4544 3275 lineto +4544 4065 4296 4400 conicto +4049 4736 3476 4736 conicto +2842 4736 2509 4274 conicto +2176 3812 2176 2927 conicto +2176 512 lineto +3008 512 lineto +3008 0 lineto +448 0 lineto +448 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 4329 lineto +2435 4869 2836 5154 conicto +3238 5440 3746 5440 conicto +4376 5440 4798 5127 conicto +5220 4814 5362 4239 conicto +end_ol grestore +gsave 37.812997 39.468750 translate 0.035278 -0.035278 scale +start_ol +3072 384 moveto +3801 384 4172 960 conicto +4544 1536 4544 2658 conicto +4544 3781 4172 4354 conicto +3801 4928 3072 4928 conicto +2343 4928 1971 4354 conicto +1600 3781 1600 2658 conicto +1600 1536 1974 960 conicto +2348 384 3072 384 conicto +3072 -128 moveto +1917 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3920 1212 4680 conicto +1912 5440 3072 5440 conicto +4232 5440 4932 4680 conicto +5632 3920 5632 2658 conicto +5632 1397 4932 634 conicto +4232 -128 3072 -128 conicto +end_ol grestore +gsave 38.627235 39.468750 translate 0.035278 -0.035278 scale +start_ol +4928 5312 moveto +4928 3968 lineto +4416 3968 lineto +4390 4354 4191 4545 conicto +3992 4736 3610 4736 conicto +2916 4736 2546 4271 conicto +2176 3806 2176 2936 conicto +2176 512 lineto +3264 512 lineto +3264 0 lineto +448 0 lineto +448 512 lineto +1280 512 lineto +1280 4800 lineto +384 4800 lineto +384 5312 lineto +2176 5312 lineto +2176 4335 lineto +2449 4898 2878 5169 conicto +3307 5440 3923 5440 conicto +4150 5440 4400 5407 conicto +4650 5374 4928 5312 conicto +end_ol grestore +gsave 39.274125 39.468750 translate 0.035278 -0.035278 scale +start_ol +2234 -1021 moveto +2582 -126 lineto +618 4800 lineto +0 4800 lineto +0 5312 lineto +2438 5312 lineto +2438 4800 lineto +1593 4800 lineto +3080 1130 lineto +4567 4800 lineto +3776 4800 lineto +3776 5312 lineto +5760 5312 lineto +5760 4800 lineto +5168 4800 lineto +2747 -1248 lineto +2500 -1857 2199 -2080 conicto +1898 -2304 1351 -2304 conicto +1119 -2304 875 -2271 conicto +631 -2238 384 -2176 conicto +384 -1152 lineto +832 -1152 lineto +862 -1495 1003 -1643 conicto +1145 -1792 1444 -1792 conicto +1717 -1792 1883 -1638 conicto +2050 -1485 2234 -1021 conicto +end_ol grestore +gsave 40.038407 39.468750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 40.468000 39.468750 translate 0.035278 -0.035278 scale +start_ol +2048 2688 moveto +4786 2688 lineto +3417 6268 lineto +2048 2688 lineto +-64 0 moveto +-64 512 lineto +594 512 lineto +3252 7488 lineto +4091 7488 lineto +6754 512 lineto +7488 512 lineto +7488 0 lineto +4776 0 lineto +4776 512 lineto +5605 512 lineto +4980 2176 lineto +1843 2176 lineto +1219 512 lineto +2038 512 lineto +2038 0 lineto +-64 0 lineto +end_ol grestore +gsave 41.444585 39.468750 translate 0.035278 -0.035278 scale +start_ol +5248 1600 moveto +5054 756 4501 314 conicto +3948 -128 3072 -128 conicto +1916 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3925 1211 4682 conicto +1911 5440 3062 5440 conicto +3563 5440 4059 5329 conicto +4555 5218 5056 4992 conicto +5056 3648 lineto +4544 3648 lineto +4440 4317 4090 4622 conicto +3741 4928 3092 4928 conicto +2353 4928 1976 4359 conicto +1600 3791 1600 2658 conicto +1600 1526 1970 955 conicto +2340 384 3074 384 conicto +3657 384 4005 687 conicto +4353 990 4480 1600 conicto +5248 1600 lineto +end_ol grestore +gsave 42.201376 39.468750 translate 0.035278 -0.035278 scale +start_ol +5248 1600 moveto +5054 756 4501 314 conicto +3948 -128 3072 -128 conicto +1916 -128 1214 634 conicto +512 1397 512 2658 conicto +512 3925 1211 4682 conicto +1911 5440 3062 5440 conicto +3563 5440 4059 5329 conicto +4555 5218 5056 4992 conicto +5056 3648 lineto +4544 3648 lineto +4440 4317 4090 4622 conicto +3741 4928 3092 4928 conicto +2353 4928 1976 4359 conicto +1600 3791 1600 2658 conicto +1600 1526 1970 955 conicto +2340 384 3074 384 conicto +3657 384 4005 687 conicto +4353 990 4480 1600 conicto +5248 1600 lineto +end_ol grestore +gsave 42.958167 39.468750 translate 0.035278 -0.035278 scale +start_ol +5568 2560 moveto +1600 2560 lineto +1600 2522 lineto +1600 1463 2005 923 conicto +2410 384 3201 384 conicto +3806 384 4193 706 conicto +4581 1029 4736 1664 conicto +5504 1664 lineto +5282 771 4684 321 conicto +4087 -128 3114 -128 conicto +1939 -128 1225 634 conicto +512 1397 512 2658 conicto +512 3910 1209 4675 conicto +1906 5440 3040 5440 conicto +4249 5440 4896 4702 conicto +5543 3965 5568 2560 conicto +4480 3072 moveto +4450 3993 4087 4460 conicto +3725 4928 3045 4928 conicto +2410 4928 2045 4458 conicto +1680 3988 1600 3072 conicto +4480 3072 lineto +end_ol grestore +gsave 43.759912 39.468750 translate 0.035278 -0.035278 scale +start_ol +576 320 moveto +576 1536 lineto +1088 1536 lineto +1108 958 1469 671 conicto +1831 384 2538 384 conicto +3174 384 3507 611 conicto +3840 839 3840 1274 conicto +3840 1616 3599 1826 conicto +3358 2036 2582 2276 conicto +1908 2498 lineto +1206 2717 891 3046 conicto +576 3376 576 3884 conicto +576 4612 1117 5026 conicto +1658 5440 2614 5440 conicto +3039 5440 3509 5325 conicto +3979 5211 4480 4992 conicto +4480 3840 lineto +3968 3840 lineto +3948 4352 3603 4640 conicto +3258 4928 2664 4928 conicto +2076 4928 1774 4725 conicto +1472 4522 1472 4115 conicto +1472 3783 1700 3582 conicto +1928 3382 2613 3174 conicto +3353 2952 lineto +4088 2720 4412 2371 conicto +4736 2023 4736 1474 conicto +4736 727 4158 299 conicto +3580 -128 2563 -128 conicto +2048 -128 1557 -16 conicto +1067 96 576 320 conicto +end_ol grestore +gsave 44.454262 39.468750 translate 0.035278 -0.035278 scale +start_ol +576 320 moveto +576 1536 lineto +1088 1536 lineto +1108 958 1469 671 conicto +1831 384 2538 384 conicto +3174 384 3507 611 conicto +3840 839 3840 1274 conicto +3840 1616 3599 1826 conicto +3358 2036 2582 2276 conicto +1908 2498 lineto +1206 2717 891 3046 conicto +576 3376 576 3884 conicto +576 4612 1117 5026 conicto +1658 5440 2614 5440 conicto +3039 5440 3509 5325 conicto +3979 5211 4480 4992 conicto +4480 3840 lineto +3968 3840 lineto +3948 4352 3603 4640 conicto +3258 4928 2664 4928 conicto +2076 4928 1774 4725 conicto +1472 4522 1472 4115 conicto +1472 3783 1700 3582 conicto +1928 3382 2613 3174 conicto +3353 2952 lineto +4088 2720 4412 2371 conicto +4736 2023 4736 1474 conicto +4736 727 4158 299 conicto +3580 -128 2563 -128 conicto +2048 -128 1557 -16 conicto +1067 96 576 320 conicto +end_ol grestore +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 4.000000 8.000000 m 4.500000 7.500000 l 6.500000 7.500000 l 3.500000 10.500000 l 5.000000 10.500000 l 4.500000 11.000000 l 2.500000 11.000000 l 5.500000 8.000000 l ef +n 4.000000 8.000000 m 4.500000 7.500000 l 6.500000 7.500000 l 3.500000 10.500000 l 5.000000 10.500000 l 4.500000 11.000000 l 2.500000 11.000000 l 5.500000 8.000000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 5.500000 9.500000 m 6.000000 9.500000 l 5.500000 10.000000 l 5.000000 10.000000 l ef +n 5.500000 9.500000 m 6.000000 9.500000 l 5.500000 10.000000 l 5.000000 10.000000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 5.500000 10.500000 m 7.000000 10.500000 l 7.500000 10.500000 l 8.000000 10.000000 l 6.000000 10.000000 l 6.500000 9.500000 l 8.500000 9.500000 l 8.500000 10.000000 l 7.500000 11.000000 l 5.500000 11.000000 l 4.000000 12.500000 l 3.500000 12.500000 l ef +n 5.500000 10.500000 m 7.000000 10.500000 l 7.500000 10.500000 l 8.000000 10.000000 l 6.000000 10.000000 l 6.500000 9.500000 l 8.500000 9.500000 l 8.500000 10.000000 l 7.500000 11.000000 l 5.500000 11.000000 l 4.000000 12.500000 l 3.500000 12.500000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 13.500000 7.500000 m 15.500000 7.500000 l 15.500000 8.000000 l 14.500000 9.000000 l 14.000000 9.000000 l 14.000000 9.500000 l 12.500000 11.000000 l 10.000000 11.000000 l 11.500000 9.500000 l 12.000000 9.500000 l 11.000000 10.500000 l 12.500000 10.500000 l 13.500000 9.500000 l 13.500000 9.000000 l 12.000000 9.000000 l 12.500000 8.500000 l 13.000000 8.500000 l 13.500000 8.500000 l 14.500000 8.500000 l 15.000000 8.000000 l 13.000000 8.000000 l ef +n 13.500000 7.500000 m 15.500000 7.500000 l 15.500000 8.000000 l 14.500000 9.000000 l 14.000000 9.000000 l 14.000000 9.500000 l 12.500000 11.000000 l 10.000000 11.000000 l 11.500000 9.500000 l 12.000000 9.500000 l 11.000000 10.500000 l 12.500000 10.500000 l 13.500000 9.500000 l 13.500000 9.000000 l 12.000000 9.000000 l 12.500000 8.500000 l 13.000000 8.500000 l 13.500000 8.500000 l 14.500000 8.500000 l 15.000000 8.000000 l 13.000000 8.000000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 25.000000 8.500000 m 24.500000 9.000000 l 23.000000 9.000000 l 22.500000 9.500000 l 23.500000 9.500000 l 23.500000 10.000000 l 22.500000 11.000000 l 20.500000 11.000000 l 21.000000 10.500000 l 22.500000 10.500000 l 23.000000 10.000000 l 22.000000 10.000000 l 22.000000 9.500000 l 23.000000 8.500000 l ef +n 25.000000 8.500000 m 24.500000 9.000000 l 23.000000 9.000000 l 22.500000 9.500000 l 23.500000 9.500000 l 23.500000 10.000000 l 22.500000 11.000000 l 20.500000 11.000000 l 21.000000 10.500000 l 22.500000 10.500000 l 23.000000 10.000000 l 22.000000 10.000000 l 22.000000 9.500000 l 23.000000 8.500000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 20.500000 8.500000 m 22.500000 8.500000 l 22.000000 9.000000 l 20.500000 9.000000 l 20.000000 9.500000 l 21.500000 9.500000 l 21.000000 10.000000 l 19.500000 10.000000 l 19.000000 10.500000 l 20.500000 10.500000 l 20.000000 11.000000 l 18.000000 11.000000 l ef +n 20.500000 8.500000 m 22.500000 8.500000 l 22.000000 9.000000 l 20.500000 9.000000 l 20.000000 9.500000 l 21.500000 9.500000 l 21.000000 10.000000 l 19.500000 10.000000 l 19.000000 10.500000 l 20.500000 10.500000 l 20.000000 11.000000 l 18.000000 11.000000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 16.000000 8.500000 m 17.000000 8.500000 l 17.000000 9.000000 l 15.500000 10.500000 l 14.500000 11.000000 l 13.500000 11.000000 l 13.500000 10.500000 l 14.500000 9.500000 l 15.000000 9.500000 l 14.000000 10.500000 l 15.000000 10.500000 l 16.500000 9.000000 l 15.000000 9.000000 l ef +n 16.000000 8.500000 m 17.000000 8.500000 l 17.000000 9.000000 l 15.500000 10.500000 l 14.500000 11.000000 l 13.500000 11.000000 l 13.500000 10.500000 l 14.500000 9.500000 l 15.000000 9.500000 l 14.000000 10.500000 l 15.000000 10.500000 l 16.500000 9.000000 l 15.000000 9.000000 l cp s +0.100000 slw +[] 0 sd +[] 0 sd +0 slj +0 slc +n 18.000000 8.500000 m 18.500000 8.500000 l 17.500000 10.500000 l 19.500000 8.500000 l 20.000000 8.500000 l 17.500000 11.000000 l 17.000000 11.000000 l 17.500000 9.500000 l 16.000000 11.000000 l 15.500000 11.000000 l ef +n 18.000000 8.500000 m 18.500000 8.500000 l 17.500000 10.500000 l 19.500000 8.500000 l 20.000000 8.500000 l 17.500000 11.000000 l 17.000000 11.000000 l 17.500000 9.500000 l 16.000000 11.000000 l 15.500000 11.000000 l cp s +0.300000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 36.500000 58.000000 m 36.500000 66.000000 l 58.500000 66.000000 l 58.500000 58.000000 l f +n 36.500000 59.500000 m 36.500000 59.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 58.500000 59.500000 m 58.500000 59.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 35.000000 59.500000 m 35.000000 64.500000 l 60.000000 64.500000 l 60.000000 59.500000 l f +n 36.500000 64.500000 m 36.500000 64.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 58.500000 64.500000 m 58.500000 64.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 36.500000 58.000000 m 58.500000 58.000000 l s +n 36.500000 66.000000 m 58.500000 66.000000 l s +n 36.500000 59.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 58.500000 59.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 35.000000 59.500000 m 35.000000 64.500000 l s +n 60.000000 59.500000 m 60.000000 64.500000 l s +n 36.500000 64.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 58.500000 64.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 35.810000 62.937500 translate 0.035278 -0.035278 scale +start_ol +1984 14912 moveto +5011 14912 lineto +8795 4776 lineto +12599 14912 lineto +15616 14912 lineto +15616 0 lineto +13632 0 lineto +13632 13096 lineto +9808 2880 lineto +7792 2880 lineto +3968 13096 lineto +3968 0 lineto +1984 0 lineto +1984 14912 lineto +end_ol grestore +gsave 38.145312 62.937500 translate 0.035278 -0.035278 scale +start_ol +7008 5568 moveto +4786 5568 3929 5061 conicto +3072 4555 3072 3332 conicto +3072 2359 3715 1787 conicto +4358 1216 5464 1216 conicto +6988 1216 7910 2294 conicto +8832 3372 8832 5161 conicto +8832 5568 lineto +7008 5568 lineto +10688 6345 moveto +10688 0 lineto +8832 0 lineto +8832 1664 lineto +8202 647 7262 163 conicto +6323 -320 4964 -320 conicto +3245 -320 2230 645 conicto +1216 1610 1216 3230 conicto +1216 5120 2482 6080 conicto +3749 7040 6261 7040 conicto +8832 7040 lineto +8832 7226 lineto +8832 8512 7999 9216 conicto +7166 9920 5659 9920 conicto +4702 9920 3794 9696 conicto +2886 9472 2048 9024 conicto +2048 10752 lineto +3058 11104 4008 11280 conicto +4958 11456 5858 11456 conicto +8288 11456 9488 10188 conicto +10688 8921 10688 6345 conicto +end_ol grestore +gsave 39.803761 62.937500 translate 0.035278 -0.035278 scale +start_ol +9152 10816 moveto +9152 9088 lineto +8366 9504 7520 9712 conicto +6674 9920 5768 9920 conicto +4388 9920 3698 9498 conicto +3008 9076 3008 8231 conicto +3008 7588 3497 7221 conicto +3986 6854 5463 6522 conicto +6092 6382 lineto +8066 5962 8897 5196 conicto +9728 4431 9728 3061 conicto +9728 1501 8490 590 conicto +7253 -320 5089 -320 conicto +4188 -320 3211 -144 conicto +2234 32 1152 384 conicto +1152 2304 lineto +2170 1760 3158 1488 conicto +4146 1216 5114 1216 conicto +6411 1216 7109 1662 conicto +7808 2109 7808 2923 conicto +7808 3675 7304 4077 conicto +6800 4479 5094 4850 conicto +4455 5000 lineto +2740 5360 1978 6106 conicto +1216 6852 1216 8153 conicto +1216 9734 2344 10595 conicto +3472 11456 5547 11456 conicto +6574 11456 7480 11296 conicto +8387 11136 9152 10816 conicto +end_ol grestore +gsave 41.214941 62.937500 translate 0.035278 -0.035278 scale +start_ol +3776 14400 moveto +3776 11200 lineto +7552 11200 lineto +7552 9792 lineto +3776 9792 lineto +3776 3693 lineto +3776 2319 4149 1927 conicto +4523 1536 5669 1536 conicto +7552 1536 lineto +7552 0 lineto +5669 0 lineto +3540 0 2730 795 conicto +1920 1591 1920 3693 conicto +1920 9792 lineto +576 9792 lineto +576 11200 lineto +1920 11200 lineto +1920 14400 lineto +3776 14400 lineto +end_ol grestore +gsave 42.276450 62.937500 translate 0.035278 -0.035278 scale +start_ol +11520 6040 moveto +11520 5120 lineto +3072 5120 lineto +3192 3213 4213 2214 conicto +5234 1216 7057 1216 conicto +8113 1216 9104 1472 conicto +10096 1728 11072 2240 conicto +11072 512 lineto +10085 106 9048 -107 conicto +8011 -320 6944 -320 conicto +4273 -320 2712 1242 conicto +1152 2804 1152 5468 conicto +1152 8222 2635 9839 conicto +4119 11456 6636 11456 conicto +8893 11456 10206 9999 conicto +11520 8543 11520 6040 conicto +9664 6592 moveto +9644 8110 8822 9015 conicto +8001 9920 6647 9920 conicto +5114 9920 4192 9045 conicto +3271 8171 3132 6582 conicto +9664 6592 lineto +end_ol grestore +gsave 43.942390 62.937500 translate 0.035278 -0.035278 scale +start_ol +8448 9408 moveto +8136 9605 7769 9698 conicto +7402 9792 6960 9792 conicto +5391 9792 4551 8788 conicto +3712 7785 3712 5907 conicto +3712 0 lineto +1856 0 lineto +1856 11200 lineto +3712 11200 lineto +3712 9472 lineto +4295 10479 5230 10967 conicto +6165 11456 7503 11456 conicto +7694 11456 7925 11424 conicto +8156 11392 8438 11328 conicto +8448 9408 lineto +end_ol grestore +gsave 45.056344 62.937500 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 45.915537 62.937500 translate 0.035278 -0.035278 scale +start_ol +704 14912 moveto +2742 14912 lineto +5879 2307 lineto +9005 14912 lineto +11273 14912 lineto +14409 2307 lineto +17536 14912 lineto +19584 14912 lineto +15838 0 lineto +13301 0 lineto +10154 12944 lineto +6977 0 lineto +4440 0 lineto +704 14912 lineto +end_ol grestore +gsave 48.533085 62.937500 translate 0.035278 -0.035278 scale +start_ol +1920 11200 moveto +3776 11200 lineto +3776 0 lineto +1920 0 lineto +1920 11200 lineto +1920 15552 moveto +3776 15552 lineto +3776 13248 lineto +1920 13248 lineto +1920 15552 lineto +end_ol grestore +gsave 49.284882 62.937500 translate 0.035278 -0.035278 scale +start_ol +9152 10816 moveto +9152 9088 lineto +8366 9504 7520 9712 conicto +6674 9920 5768 9920 conicto +4388 9920 3698 9498 conicto +3008 9076 3008 8231 conicto +3008 7588 3497 7221 conicto +3986 6854 5463 6522 conicto +6092 6382 lineto +8066 5962 8897 5196 conicto +9728 4431 9728 3061 conicto +9728 1501 8490 590 conicto +7253 -320 5089 -320 conicto +4188 -320 3211 -144 conicto +2234 32 1152 384 conicto +1152 2304 lineto +2170 1760 3158 1488 conicto +4146 1216 5114 1216 conicto +6411 1216 7109 1662 conicto +7808 2109 7808 2923 conicto +7808 3675 7304 4077 conicto +6800 4479 5094 4850 conicto +4455 5000 lineto +2740 5360 1978 6106 conicto +1216 6852 1216 8153 conicto +1216 9734 2344 10595 conicto +3472 11456 5547 11456 conicto +6574 11456 7480 11296 conicto +8387 11136 9152 10816 conicto +end_ol grestore +gsave 50.696062 62.937500 translate 0.035278 -0.035278 scale +start_ol +11264 6769 moveto +11264 0 lineto +9408 0 lineto +9408 6708 lineto +9408 8287 8787 9071 conicto +8167 9856 6925 9856 conicto +5434 9856 4573 8912 conicto +3712 7968 3712 6338 conicto +3712 0 lineto +1856 0 lineto +1856 15552 lineto +3712 15552 lineto +3712 9472 lineto +4374 10469 5271 10962 conicto +6169 11456 7343 11456 conicto +9278 11456 10271 10267 conicto +11264 9078 11264 6769 conicto +end_ol grestore +gsave 52.411951 62.937500 translate 0.035278 -0.035278 scale +start_ol +9984 5568 moveto +9984 7604 9147 8762 conicto +8311 9920 6848 9920 conicto +5385 9920 4548 8762 conicto +3712 7604 3712 5568 conicto +3712 3532 4548 2374 conicto +5385 1216 6848 1216 conicto +8311 1216 9147 2374 conicto +9984 3532 9984 5568 conicto +3712 9472 moveto +4294 10479 5181 10967 conicto +6068 11456 7302 11456 conicto +9347 11456 10625 9834 conicto +11904 8212 11904 5568 conicto +11904 2924 10625 1302 conicto +9347 -320 7302 -320 conicto +6068 -320 5181 168 conicto +4294 657 3712 1664 conicto +3712 0 lineto +1856 0 lineto +1856 15552 lineto +3712 15552 lineto +3712 9472 lineto +end_ol grestore +gsave 54.130345 62.937500 translate 0.035278 -0.035278 scale +start_ol +6309 9920 moveto +4812 9920 3942 8756 conicto +3072 7593 3072 5568 conicto +3072 3543 3937 2379 conicto +4802 1216 6309 1216 conicto +7796 1216 8666 2384 conicto +9536 3553 9536 5568 conicto +9536 7573 8666 8746 conicto +7796 9920 6309 9920 conicto +6304 11456 moveto +8710 11456 10083 9894 conicto +11456 8332 11456 5568 conicto +11456 2814 10083 1247 conicto +8710 -320 6304 -320 conicto +3888 -320 2520 1247 conicto +1152 2814 1152 5568 conicto +1152 8332 2520 9894 conicto +3888 11456 6304 11456 conicto +end_ol grestore +gsave 55.786298 62.937500 translate 0.035278 -0.035278 scale +start_ol +11264 6769 moveto +11264 0 lineto +9408 0 lineto +9408 6708 lineto +9408 8287 8787 9071 conicto +8167 9856 6925 9856 conicto +5434 9856 4573 8912 conicto +3712 7968 3712 6338 conicto +3712 0 lineto +1856 0 lineto +1856 11200 lineto +3712 11200 lineto +3712 9472 lineto +4374 10469 5271 10962 conicto +6169 11456 7343 11456 conicto +9278 11456 10271 10267 conicto +11264 9078 11264 6769 conicto +end_ol grestore +gsave 57.502187 62.937500 translate 0.035278 -0.035278 scale +start_ol +11520 6040 moveto +11520 5120 lineto +3072 5120 lineto +3192 3213 4213 2214 conicto +5234 1216 7057 1216 conicto +8113 1216 9104 1472 conicto +10096 1728 11072 2240 conicto +11072 512 lineto +10085 106 9048 -107 conicto +8011 -320 6944 -320 conicto +4273 -320 2712 1242 conicto +1152 2804 1152 5468 conicto +1152 8222 2635 9839 conicto +4119 11456 6636 11456 conicto +8893 11456 10206 9999 conicto +11520 8543 11520 6040 conicto +9664 6592 moveto +9644 8110 8822 9015 conicto +8001 9920 6647 9920 conicto +5114 9920 4192 9045 conicto +3271 8171 3132 6582 conicto +9664 6592 lineto +end_ol grestore +0.300000 slw +[0.200000] 0 sd +[0.800000] 0 sd +1 slj +0.749020 0.749020 0.749020 srgb +n 5.500000 58.000000 m 5.500000 66.000000 l 27.500000 66.000000 l 27.500000 58.000000 l f +n 5.500000 59.500000 m 5.500000 59.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 27.500000 59.500000 m 27.500000 59.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 4.000000 59.500000 m 4.000000 64.500000 l 29.000000 64.500000 l 29.000000 59.500000 l f +n 5.500000 64.500000 m 5.500000 64.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 27.500000 64.500000 m 27.500000 64.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 5.500000 58.000000 m 27.500000 58.000000 l s +n 5.500000 66.000000 m 27.500000 66.000000 l s +n 5.500000 59.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 27.500000 59.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 4.000000 59.500000 m 4.000000 64.500000 l s +n 29.000000 59.500000 m 29.000000 64.500000 l s +n 5.500000 64.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 27.500000 64.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 7.886250 61.244167 translate 0.035278 -0.035278 scale +start_ol +10944 14464 moveto +10944 12480 lineto +9798 13029 8782 13298 conicto +7766 13568 6819 13568 conicto +5175 13568 4283 12928 conicto +3392 12289 3392 11110 conicto +3392 10120 3984 9615 conicto +4576 9111 6227 8801 conicto +7440 8551 lineto +9695 8119 10767 7029 conicto +11840 5939 11840 4110 conicto +11840 1930 10387 805 conicto +8935 -320 6130 -320 conicto +5072 -320 3879 -77 conicto +2686 165 1408 640 conicto +1408 2752 lineto +2635 2053 3812 1698 conicto +4989 1344 6127 1344 conicto +7853 1344 8790 2026 conicto +9728 2708 9728 3972 conicto +9728 5076 9056 5698 conicto +8385 6320 6853 6631 conicto +5630 6871 lineto +3367 7322 2355 8284 conicto +1344 9247 1344 10961 conicto +1344 12946 2737 14089 conicto +4131 15232 6579 15232 conicto +7627 15232 8716 15040 conicto +9805 14848 10944 14464 conicto +end_ol grestore +gsave 9.604644 61.244167 translate 0.035278 -0.035278 scale +start_ol +1920 15552 moveto +3776 15552 lineto +3776 0 lineto +1920 0 lineto +1920 15552 lineto +end_ol grestore +gsave 10.356441 61.244167 translate 0.035278 -0.035278 scale +start_ol +7008 5568 moveto +4786 5568 3929 5061 conicto +3072 4555 3072 3332 conicto +3072 2359 3715 1787 conicto +4358 1216 5464 1216 conicto +6988 1216 7910 2294 conicto +8832 3372 8832 5161 conicto +8832 5568 lineto +7008 5568 lineto +10688 6345 moveto +10688 0 lineto +8832 0 lineto +8832 1664 lineto +8202 647 7262 163 conicto +6323 -320 4964 -320 conicto +3245 -320 2230 645 conicto +1216 1610 1216 3230 conicto +1216 5120 2482 6080 conicto +3749 7040 6261 7040 conicto +8832 7040 lineto +8832 7226 lineto +8832 8512 7999 9216 conicto +7166 9920 5659 9920 conicto +4702 9920 3794 9696 conicto +2886 9472 2048 9024 conicto +2048 10752 lineto +3058 11104 4008 11280 conicto +4958 11456 5858 11456 conicto +8288 11456 9488 10188 conicto +10688 8921 10688 6345 conicto +end_ol grestore +gsave 12.014891 61.244167 translate 0.035278 -0.035278 scale +start_ol +640 11200 moveto +2586 11200 lineto +6080 1800 lineto +9574 11200 lineto +11520 11200 lineto +7328 0 lineto +4832 0 lineto +640 11200 lineto +end_ol grestore +gsave 13.615893 61.244167 translate 0.035278 -0.035278 scale +start_ol +11520 6040 moveto +11520 5120 lineto +3072 5120 lineto +3192 3213 4213 2214 conicto +5234 1216 7057 1216 conicto +8113 1216 9104 1472 conicto +10096 1728 11072 2240 conicto +11072 512 lineto +10085 106 9048 -107 conicto +8011 -320 6944 -320 conicto +4273 -320 2712 1242 conicto +1152 2804 1152 5468 conicto +1152 8222 2635 9839 conicto +4119 11456 6636 11456 conicto +8893 11456 10206 9999 conicto +11520 8543 11520 6040 conicto +9664 6592 moveto +9644 8110 8822 9015 conicto +8001 9920 6647 9920 conicto +5114 9920 4192 9045 conicto +3271 8171 3132 6582 conicto +9664 6592 lineto +end_ol grestore +gsave 15.281834 61.244167 translate 0.035278 -0.035278 scale +start_ol +5209 14912 moveto +6912 14912 lineto +1703 -1920 lineto +0 -1920 lineto +5209 14912 lineto +end_ol grestore +gsave 16.193480 61.244167 translate 0.035278 -0.035278 scale +start_ol +4032 13248 moveto +4032 1664 lineto +6467 1664 lineto +9551 1664 10983 3061 conicto +12416 4458 12416 7471 conicto +12416 10464 10983 11856 conicto +9551 13248 6467 13248 conicto +4032 13248 lineto +1984 14912 moveto +6158 14912 lineto +10482 14912 12505 13109 conicto +14528 11306 14528 7471 conicto +14528 3616 12495 1808 conicto +10463 0 6158 0 conicto +1984 0 lineto +1984 14912 lineto +end_ol grestore +gsave 18.279025 61.244167 translate 0.035278 -0.035278 scale +start_ol +11520 6040 moveto +11520 5120 lineto +3072 5120 lineto +3192 3213 4213 2214 conicto +5234 1216 7057 1216 conicto +8113 1216 9104 1472 conicto +10096 1728 11072 2240 conicto +11072 512 lineto +10085 106 9048 -107 conicto +8011 -320 6944 -320 conicto +4273 -320 2712 1242 conicto +1152 2804 1152 5468 conicto +1152 8222 2635 9839 conicto +4119 11456 6636 11456 conicto +8893 11456 10206 9999 conicto +11520 8543 11520 6040 conicto +9664 6592 moveto +9644 8110 8822 9015 conicto +8001 9920 6647 9920 conicto +5114 9920 4192 9045 conicto +3271 8171 3132 6582 conicto +9664 6592 lineto +end_ol grestore +gsave 19.944966 61.244167 translate 0.035278 -0.035278 scale +start_ol +9984 5568 moveto +9984 7604 9147 8762 conicto +8311 9920 6848 9920 conicto +5385 9920 4548 8762 conicto +3712 7604 3712 5568 conicto +3712 3532 4548 2374 conicto +5385 1216 6848 1216 conicto +8311 1216 9147 2374 conicto +9984 3532 9984 5568 conicto +3712 9472 moveto +4294 10479 5181 10967 conicto +6068 11456 7302 11456 conicto +9347 11456 10625 9834 conicto +11904 8212 11904 5568 conicto +11904 2924 10625 1302 conicto +9347 -320 7302 -320 conicto +6068 -320 5181 168 conicto +4294 657 3712 1664 conicto +3712 0 lineto +1856 0 lineto +1856 15552 lineto +3712 15552 lineto +3712 9472 lineto +end_ol grestore +gsave 21.663360 61.244167 translate 0.035278 -0.035278 scale +start_ol +1728 4380 moveto +1728 11200 lineto +3584 11200 lineto +3584 4450 lineto +3584 2865 4203 2072 conicto +4823 1280 6062 1280 conicto +7551 1280 8415 2228 conicto +9280 3176 9280 4812 conicto +9280 11200 lineto +11136 11200 lineto +11136 0 lineto +9280 0 lineto +9280 1664 lineto +8609 657 7722 168 conicto +6836 -320 5664 -320 conicto +3731 -320 2729 877 conicto +1728 2075 1728 4380 conicto +6372 11456 moveto +6372 11456 lineto +end_ol grestore +gsave 23.379250 61.244167 translate 0.035278 -0.035278 scale +start_ol +9280 5723 moveto +9280 7722 8463 8821 conicto +7646 9920 6171 9920 conicto +4706 9920 3889 8821 conicto +3072 7722 3072 5723 conicto +3072 3734 3889 2635 conicto +4706 1536 6171 1536 conicto +7646 1536 8463 2635 conicto +9280 3734 9280 5723 conicto +11136 1386 moveto +11136 -1486 9869 -2887 conicto +8602 -4288 5988 -4288 conicto +5020 -4288 4162 -4146 conicto +3304 -4005 2496 -3712 conicto +2496 -1920 lineto +3302 -2346 4087 -2549 conicto +4873 -2752 5689 -2752 conicto +7490 -2752 8385 -1805 conicto +9280 -858 9280 1057 conicto +9280 1984 lineto +8713 987 7827 493 conicto +6942 0 5708 0 conicto +3659 0 2405 1568 conicto +1152 3136 1152 5723 conicto +1152 8320 2405 9888 conicto +3659 11456 5708 11456 conicto +6942 11456 7827 10962 conicto +8713 10469 9280 9472 conicto +9280 11200 lineto +11136 11200 lineto +11136 1386 lineto +end_ol grestore +gsave 9.867500 64.630833 translate 0.035278 -0.035278 scale +start_ol +704 14912 moveto +2742 14912 lineto +5879 2307 lineto +9005 14912 lineto +11273 14912 lineto +14409 2307 lineto +17536 14912 lineto +19584 14912 lineto +15838 0 lineto +13301 0 lineto +10154 12944 lineto +6977 0 lineto +4440 0 lineto +704 14912 lineto +end_ol grestore +gsave 12.485048 64.630833 translate 0.035278 -0.035278 scale +start_ol +1920 11200 moveto +3776 11200 lineto +3776 0 lineto +1920 0 lineto +1920 11200 lineto +1920 15552 moveto +3776 15552 lineto +3776 13248 lineto +1920 13248 lineto +1920 15552 lineto +end_ol grestore +gsave 13.236845 64.630833 translate 0.035278 -0.035278 scale +start_ol +9152 10816 moveto +9152 9088 lineto +8366 9504 7520 9712 conicto +6674 9920 5768 9920 conicto +4388 9920 3698 9498 conicto +3008 9076 3008 8231 conicto +3008 7588 3497 7221 conicto +3986 6854 5463 6522 conicto +6092 6382 lineto +8066 5962 8897 5196 conicto +9728 4431 9728 3061 conicto +9728 1501 8490 590 conicto +7253 -320 5089 -320 conicto +4188 -320 3211 -144 conicto +2234 32 1152 384 conicto +1152 2304 lineto +2170 1760 3158 1488 conicto +4146 1216 5114 1216 conicto +6411 1216 7109 1662 conicto +7808 2109 7808 2923 conicto +7808 3675 7304 4077 conicto +6800 4479 5094 4850 conicto +4455 5000 lineto +2740 5360 1978 6106 conicto +1216 6852 1216 8153 conicto +1216 9734 2344 10595 conicto +3472 11456 5547 11456 conicto +6574 11456 7480 11296 conicto +8387 11136 9152 10816 conicto +end_ol grestore +gsave 14.648025 64.630833 translate 0.035278 -0.035278 scale +start_ol +11264 6769 moveto +11264 0 lineto +9408 0 lineto +9408 6708 lineto +9408 8287 8787 9071 conicto +8167 9856 6925 9856 conicto +5434 9856 4573 8912 conicto +3712 7968 3712 6338 conicto +3712 0 lineto +1856 0 lineto +1856 15552 lineto +3712 15552 lineto +3712 9472 lineto +4374 10469 5271 10962 conicto +6169 11456 7343 11456 conicto +9278 11456 10271 10267 conicto +11264 9078 11264 6769 conicto +end_ol grestore +gsave 16.363914 64.630833 translate 0.035278 -0.035278 scale +start_ol +9984 5568 moveto +9984 7604 9147 8762 conicto +8311 9920 6848 9920 conicto +5385 9920 4548 8762 conicto +3712 7604 3712 5568 conicto +3712 3532 4548 2374 conicto +5385 1216 6848 1216 conicto +8311 1216 9147 2374 conicto +9984 3532 9984 5568 conicto +3712 9472 moveto +4294 10479 5181 10967 conicto +6068 11456 7302 11456 conicto +9347 11456 10625 9834 conicto +11904 8212 11904 5568 conicto +11904 2924 10625 1302 conicto +9347 -320 7302 -320 conicto +6068 -320 5181 168 conicto +4294 657 3712 1664 conicto +3712 0 lineto +1856 0 lineto +1856 15552 lineto +3712 15552 lineto +3712 9472 lineto +end_ol grestore +gsave 18.082308 64.630833 translate 0.035278 -0.035278 scale +start_ol +6309 9920 moveto +4812 9920 3942 8756 conicto +3072 7593 3072 5568 conicto +3072 3543 3937 2379 conicto +4802 1216 6309 1216 conicto +7796 1216 8666 2384 conicto +9536 3553 9536 5568 conicto +9536 7573 8666 8746 conicto +7796 9920 6309 9920 conicto +6304 11456 moveto +8710 11456 10083 9894 conicto +11456 8332 11456 5568 conicto +11456 2814 10083 1247 conicto +8710 -320 6304 -320 conicto +3888 -320 2520 1247 conicto +1152 2814 1152 5568 conicto +1152 8332 2520 9894 conicto +3888 11456 6304 11456 conicto +end_ol grestore +gsave 19.738261 64.630833 translate 0.035278 -0.035278 scale +start_ol +11264 6769 moveto +11264 0 lineto +9408 0 lineto +9408 6708 lineto +9408 8287 8787 9071 conicto +8167 9856 6925 9856 conicto +5434 9856 4573 8912 conicto +3712 7968 3712 6338 conicto +3712 0 lineto +1856 0 lineto +1856 11200 lineto +3712 11200 lineto +3712 9472 lineto +4374 10469 5271 10962 conicto +6169 11456 7343 11456 conicto +9278 11456 10271 10267 conicto +11264 9078 11264 6769 conicto +end_ol grestore +gsave 21.454150 64.630833 translate 0.035278 -0.035278 scale +start_ol +11520 6040 moveto +11520 5120 lineto +3072 5120 lineto +3192 3213 4213 2214 conicto +5234 1216 7057 1216 conicto +8113 1216 9104 1472 conicto +10096 1728 11072 2240 conicto +11072 512 lineto +10085 106 9048 -107 conicto +8011 -320 6944 -320 conicto +4273 -320 2712 1242 conicto +1152 2804 1152 5468 conicto +1152 8222 2635 9839 conicto +4119 11456 6636 11456 conicto +8893 11456 10206 9999 conicto +11520 8543 11520 6040 conicto +9664 6592 moveto +9644 8110 8822 9015 conicto +8001 9920 6647 9920 conicto +5114 9920 4192 9045 conicto +3271 8171 3132 6582 conicto +9664 6592 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +0.690196 1.000000 0.690196 srgb +n 68.000000 6.000000 m 68.000000 68.000000 l 126.000000 68.000000 l 126.000000 6.000000 l f +n 68.000000 10.000000 m 68.000000 10.000000 4.000000 4.000000 180.000000 270.000000 ellipse f +n 126.000000 10.000000 m 126.000000 10.000000 4.000000 4.000000 270.000000 360.000000 ellipse f +n 64.000000 10.000000 m 64.000000 64.000000 l 130.000000 64.000000 l 130.000000 10.000000 l f +n 68.000000 64.000000 m 68.000000 64.000000 4.000000 4.000000 90.000000 180.000000 ellipse f +n 126.000000 64.000000 m 126.000000 64.000000 4.000000 4.000000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 68.000000 6.000000 m 126.000000 6.000000 l s +n 68.000000 68.000000 m 126.000000 68.000000 l s +n 68.000000 10.000000 4.000000 4.000000 180.000000 270.000000 ellipse s +n 126.000000 10.000000 4.000000 4.000000 270.000000 360.000000 ellipse s +n 64.000000 10.000000 m 64.000000 64.000000 l s +n 130.000000 10.000000 m 130.000000 64.000000 l s +n 68.000000 64.000000 4.000000 4.000000 90.000000 180.000000 ellipse s +n 126.000000 64.000000 4.000000 4.000000 0.000000 90.000000 ellipse s +gsave 103.061250 10.244167 translate 0.035278 -0.035278 scale +start_ol +1920 704 moveto +1920 4096 lineto +3072 4086 lineto +3122 2392 4058 1580 conicto +4994 768 6906 768 conicto +8688 768 9624 1472 conicto +10560 2176 10560 3525 conicto +10560 4604 9991 5183 conicto +9422 5763 7588 6312 conicto +5603 6904 lineto +3471 7558 2599 8533 conicto +1728 9509 1728 11209 conicto +1728 13120 3076 14176 conicto +4425 15232 6862 15232 conicto +7901 15232 9139 15003 conicto +10378 14774 11776 14336 conicto +11776 11136 lineto +10624 11136 lineto +10454 12726 9569 13435 conicto +8685 14144 6876 14144 conicto +5297 14144 4472 13496 conicto +3648 12848 3648 11612 conicto +3648 10537 4273 9924 conicto +4898 9312 6923 8709 conicto +8787 8158 lineto +10815 7547 11679 6600 conicto +12544 5653 12544 4059 conicto +12544 1885 11155 782 conicto +9766 -320 7017 -320 conicto +5788 -320 4513 -66 conicto +3239 187 1920 704 conicto +end_ol grestore +gsave 104.914516 10.244167 translate 0.035278 -0.035278 scale +start_ol +6688 640 moveto +8089 640 8844 1680 conicto +9600 2721 9600 4672 conicto +9600 6623 8844 7663 conicto +8089 8704 6688 8704 conicto +5267 8704 4521 7698 conicto +3776 6693 3776 4793 conicto +3776 2792 4531 1716 conicto +5287 640 6688 640 conicto +3392 8201 moveto +4074 8937 4936 9300 conicto +5798 9664 6880 9664 conicto +9116 9664 10414 8326 conicto +11712 6988 11712 4672 conicto +11712 2406 10317 1043 conicto +8923 -320 6593 -320 conicto +4063 -320 2703 1572 conicto +1344 3465 1344 6979 conicto +1344 10915 2955 13073 conicto +4567 15232 7500 15232 conicto +8291 15232 9162 15088 conicto +10033 14944 10944 14656 conicto +10944 12224 lineto +9792 12224 lineto +9672 13223 9021 13747 conicto +8370 14272 7268 14272 conicto +5325 14272 4373 12782 conicto +3422 11292 3392 8201 conicto +end_ol grestore +gsave 106.637904 10.244167 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 107.497097 10.244167 translate 0.035278 -0.035278 scale +start_ol +15619 0 moveto +13981 0 lineto +10565 12108 lineto +7149 0 lineto +5511 0 lineto +1606 13824 lineto +128 13824 lineto +128 14912 lineto +5571 14912 lineto +5571 13824 lineto +3714 13824 lineto +6820 2823 lineto +10215 14912 lineto +11833 14912 lineto +15289 2693 lineto +18415 13824 lineto +16697 13824 lineto +16697 14912 lineto +20992 14912 lineto +20992 13824 lineto +19524 13824 lineto +15619 0 lineto +end_ol grestore +gsave 110.232037 10.244167 translate 0.035278 -0.035278 scale +start_ol +2048 13915 moveto +2048 14369 2378 14704 conicto +2709 15040 3173 15040 conicto +3627 15040 3957 14704 conicto +4288 14369 4288 13915 conicto +4288 13451 3962 13125 conicto +3637 12800 3173 12800 conicto +2709 12800 2378 13125 conicto +2048 13451 2048 13915 conicto +4352 1088 moveto +6080 1088 lineto +6080 0 lineto +704 0 lineto +704 1088 lineto +2496 1088 lineto +2496 9536 lineto +704 9536 lineto +704 10624 lineto +4352 10624 lineto +4352 1088 lineto +end_ol grestore +gsave 111.098721 10.244167 translate 0.035278 -0.035278 scale +start_ol +1152 576 moveto +1152 3072 lineto +2240 3072 lineto +2280 1851 2986 1245 conicto +3692 640 5073 640 conicto +6315 640 6965 1115 conicto +7616 1590 7616 2499 conicto +7616 3214 7134 3653 conicto +6653 4092 5101 4593 conicto +3752 5050 lineto +2382 5490 1767 6149 conicto +1152 6808 1152 7827 conicto +1152 9286 2225 10115 conicto +3299 10944 5195 10944 conicto +6037 10944 6970 10731 conicto +7903 10518 8896 10112 conicto +8896 7808 lineto +7808 7808 lineto +7768 8831 7087 9407 conicto +6406 9984 5234 9984 conicto +4072 9984 3476 9573 conicto +2880 9163 2880 8342 conicto +2880 7671 3336 7265 conicto +3793 6860 5162 6439 conicto +6642 5983 lineto +8147 5507 8809 4794 conicto +9472 4081 9472 2958 conicto +9472 1430 8315 555 conicto +7159 -320 5127 -320 conicto +4096 -320 3114 -96 conicto +2133 128 1152 576 conicto +end_ol grestore +gsave 112.487420 10.244167 translate 0.035278 -0.035278 scale +start_ol +832 0 moveto +832 1088 lineto +2496 1088 lineto +2496 14464 lineto +704 14464 lineto +704 15552 lineto +4352 15552 lineto +4352 8779 lineto +4863 9852 5680 10398 conicto +6497 10944 7580 10944 conicto +9344 10944 10176 9937 conicto +11008 8930 11008 6796 conicto +11008 1088 lineto +12672 1088 lineto +12672 0 lineto +7552 0 lineto +7552 1088 lineto +9152 1088 lineto +9152 6215 lineto +9152 8168 8676 8884 conicto +8200 9600 6977 9600 conicto +5695 9600 5023 8668 conicto +4352 7737 4352 5955 conicto +4352 1088 lineto +5952 1088 lineto +5952 0 lineto +832 0 lineto +end_ol grestore +gsave 114.230784 10.244167 translate 0.035278 -0.035278 scale +start_ol +2368 1088 moveto +2368 14464 lineto +576 14464 lineto +576 15552 lineto +4224 15552 lineto +4224 8993 lineto +4763 9994 5597 10469 conicto +6432 10944 7661 10944 conicto +9619 10944 10857 9386 conicto +12096 7829 12096 5317 conicto +12096 2805 10857 1242 conicto +9619 -320 7661 -320 conicto +6432 -320 5597 169 conicto +4763 658 4224 1688 conicto +4224 0 lineto +576 0 lineto +576 1088 lineto +2368 1088 lineto +4224 4815 moveto +4224 2869 4954 1850 conicto +5685 832 7077 832 conicto +8479 832 9199 1968 conicto +9920 3105 9920 5317 conicto +9920 7539 9199 8665 conicto +8479 9792 7077 9792 conicto +5685 9792 4954 8783 conicto +4224 7774 4224 5865 conicto +4224 4815 lineto +end_ol grestore +gsave 115.964161 10.244167 translate 0.035278 -0.035278 scale +start_ol +6176 640 moveto +7649 640 8400 1824 conicto +9152 3009 9152 5317 conicto +9152 7625 8400 8804 conicto +7649 9984 6176 9984 conicto +4703 9984 3951 8804 conicto +3200 7625 3200 5317 conicto +3200 3009 3956 1824 conicto +4713 640 6176 640 conicto +6176 -320 moveto +3851 -320 2437 1222 conicto +1024 2765 1024 5317 conicto +1024 7869 2432 9406 conicto +3841 10944 6176 10944 conicto +8511 10944 9919 9406 conicto +11328 7869 11328 5317 conicto +11328 2765 9919 1222 conicto +8511 -320 6176 -320 conicto +end_ol grestore +gsave 117.592638 10.244167 translate 0.035278 -0.035278 scale +start_ol +832 0 moveto +832 1088 lineto +2496 1088 lineto +2496 9536 lineto +704 9536 lineto +704 10624 lineto +4352 10624 lineto +4352 8721 lineto +4863 9822 5680 10383 conicto +6497 10944 7580 10944 conicto +9344 10944 10176 9926 conicto +11008 8909 11008 6753 conicto +11008 1088 lineto +12672 1088 lineto +12672 0 lineto +7552 0 lineto +7552 1088 lineto +9152 1088 lineto +9152 6177 lineto +9152 8140 8671 8870 conicto +8190 9600 6977 9600 conicto +5695 9600 5023 8659 conicto +4352 7719 4352 5918 conicto +4352 1088 lineto +5952 1088 lineto +5952 0 lineto +832 0 lineto +end_ol grestore +gsave 119.336002 10.244167 translate 0.035278 -0.035278 scale +start_ol +11136 5120 moveto +3200 5120 lineto +3200 5036 lineto +3200 2858 4010 1749 conicto +4821 640 6401 640 conicto +7611 640 8386 1269 conicto +9162 1898 9472 3136 conicto +10944 3136 lineto +10503 1413 9315 546 conicto +8128 -320 6194 -320 conicto +3860 -320 2442 1222 conicto +1024 2765 1024 5317 conicto +1024 7849 2418 9396 conicto +3813 10944 6080 10944 conicto +8498 10944 9792 9453 conicto +11086 7962 11136 5120 conicto +8960 6208 moveto +8900 8081 8175 9032 conicto +7450 9984 6090 9984 conicto +4820 9984 4090 9027 conicto +3360 8071 3200 6208 conicto +8960 6208 lineto +end_ol grestore +gsave 120.937004 10.244167 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 121.796197 10.244167 translate 0.035278 -0.035278 scale +start_ol +5056 1088 moveto +8016 1088 lineto +9806 1088 10631 1863 conicto +11456 2639 11456 4330 conicto +11456 6011 10636 6781 conicto +9816 7552 8016 7552 conicto +5056 7552 lineto +5056 1088 lineto +5056 8640 moveto +7570 8640 lineto +9193 8640 9940 9263 conicto +10688 9887 10688 11232 conicto +10688 12587 9940 13205 conicto +9193 13824 7570 13824 conicto +5056 13824 lineto +5056 8640 lineto +1088 0 moveto +1088 1088 lineto +3008 1088 lineto +3008 13824 lineto +1088 13824 lineto +1088 14912 lineto +8461 14912 lineto +10712 14912 11852 13990 conicto +12992 13069 12992 11236 conicto +12992 9911 12198 9124 conicto +11404 8337 9876 8158 conicto +11783 7918 12771 6942 conicto +13760 5966 13760 4324 conicto +13760 2102 12361 1051 conicto +10963 0 7996 0 conicto +1088 0 lineto +end_ol grestore +gsave 123.784334 10.244167 translate 0.035278 -0.035278 scale +start_ol +7296 10624 moveto +10752 10624 lineto +10752 1024 lineto +12480 1024 lineto +12480 0 lineto +8896 0 lineto +8896 1845 lineto +8385 787 7573 233 conicto +6761 -320 5688 -320 conicto +3914 -320 3077 684 conicto +2240 1689 2240 3838 conicto +2240 9536 lineto +576 9536 lineto +576 10624 lineto +4096 10624 lineto +4096 4409 lineto +4096 2466 4572 1745 conicto +5048 1024 6271 1024 conicto +7553 1024 8224 1965 conicto +8896 2907 8896 4699 conicto +8896 9536 lineto +7296 9536 lineto +7296 10624 lineto +end_ol grestore +gsave 125.527699 10.244167 translate 0.035278 -0.035278 scale +start_ol +1152 576 moveto +1152 3072 lineto +2240 3072 lineto +2280 1851 2986 1245 conicto +3692 640 5073 640 conicto +6315 640 6965 1115 conicto +7616 1590 7616 2499 conicto +7616 3214 7134 3653 conicto +6653 4092 5101 4593 conicto +3752 5050 lineto +2382 5490 1767 6149 conicto +1152 6808 1152 7827 conicto +1152 9286 2225 10115 conicto +3299 10944 5195 10944 conicto +6037 10944 6970 10731 conicto +7903 10518 8896 10112 conicto +8896 7808 lineto +7808 7808 lineto +7768 8831 7087 9407 conicto +6406 9984 5234 9984 conicto +4072 9984 3476 9573 conicto +2880 9163 2880 8342 conicto +2880 7671 3336 7265 conicto +3793 6860 5162 6439 conicto +6642 5983 lineto +8147 5507 8809 4794 conicto +9472 4081 9472 2958 conicto +9472 1430 8315 555 conicto +7159 -320 5127 -320 conicto +4096 -320 3114 -96 conicto +2133 128 1152 576 conicto +end_ol grestore +gsave 107.142500 13.630833 translate 0.035278 -0.035278 scale +start_ol +5056 7616 moveto +7691 7616 lineto +9186 7616 9969 8414 conicto +10752 9213 10752 10720 conicto +10752 12237 9969 13030 conicto +9186 13824 7691 13824 conicto +5056 13824 lineto +5056 7616 lineto +1088 0 moveto +1088 1088 lineto +3008 1088 lineto +3008 13824 lineto +1088 13824 lineto +1088 14912 lineto +8183 14912 lineto +10429 14912 11742 13779 conicto +13056 12646 13056 10720 conicto +13056 8804 11742 7666 conicto +10429 6528 8183 6528 conicto +5056 6528 lineto +5056 1088 lineto +7360 1088 lineto +7360 0 lineto +1088 0 lineto +end_ol grestore +gsave 108.840910 13.630833 translate 0.035278 -0.035278 scale +start_ol +11136 5120 moveto +3200 5120 lineto +3200 5036 lineto +3200 2858 4010 1749 conicto +4821 640 6401 640 conicto +7611 640 8386 1269 conicto +9162 1898 9472 3136 conicto +10944 3136 lineto +10503 1413 9315 546 conicto +8128 -320 6194 -320 conicto +3860 -320 2442 1222 conicto +1024 2765 1024 5317 conicto +1024 7849 2418 9396 conicto +3813 10944 6080 10944 conicto +8498 10944 9792 9453 conicto +11086 7962 11136 5120 conicto +8960 6208 moveto +8900 8081 8175 9032 conicto +7450 9984 6090 9984 conicto +4820 9984 4090 9027 conicto +3360 8071 3200 6208 conicto +8960 6208 lineto +end_ol grestore +gsave 110.441912 13.630833 translate 0.035278 -0.035278 scale +start_ol +9792 10688 moveto +9792 8000 lineto +8704 8000 lineto +8654 8805 8267 9202 conicto +7881 9600 7138 9600 conicto +5789 9600 5070 8653 conicto +4352 7707 4352 5935 conicto +4352 1088 lineto +6464 1088 lineto +6464 0 lineto +832 0 lineto +832 1088 lineto +2496 1088 lineto +2496 9600 lineto +704 9600 lineto +704 10624 lineto +4352 10624 lineto +4352 8764 lineto +4891 9874 5739 10409 conicto +6588 10944 7806 10944 conicto +8255 10944 8749 10877 conicto +9243 10811 9792 10688 conicto +end_ol grestore +gsave 111.735700 13.630833 translate 0.035278 -0.035278 scale +start_ol +2048 13915 moveto +2048 14369 2378 14704 conicto +2709 15040 3173 15040 conicto +3627 15040 3957 14704 conicto +4288 14369 4288 13915 conicto +4288 13451 3962 13125 conicto +3637 12800 3173 12800 conicto +2709 12800 2378 13125 conicto +2048 13451 2048 13915 conicto +4352 1088 moveto +6080 1088 lineto +6080 0 lineto +704 0 lineto +704 1088 lineto +2496 1088 lineto +2496 9536 lineto +704 9536 lineto +704 10624 lineto +4352 10624 lineto +4352 1088 lineto +end_ol grestore +gsave 112.602384 13.630833 translate 0.035278 -0.035278 scale +start_ol +4224 5814 moveto +4224 4764 lineto +4224 2843 4954 1837 conicto +5685 832 7077 832 conicto +8479 832 9199 1968 conicto +9920 3105 9920 5317 conicto +9920 7539 9199 8665 conicto +8479 9792 7077 9792 conicto +5685 9792 4954 8769 conicto +4224 7747 4224 5814 conicto +2368 9536 moveto +576 9536 lineto +576 10624 lineto +4224 10624 lineto +4224 8946 lineto +4763 9971 5597 10457 conicto +6432 10944 7661 10944 conicto +9619 10944 10857 9386 conicto +12096 7829 12096 5317 conicto +12096 2805 10857 1242 conicto +9619 -320 7661 -320 conicto +6432 -320 5597 155 conicto +4763 631 4224 1632 conicto +4224 -3200 lineto +5952 -3200 lineto +5952 -4288 lineto +576 -4288 lineto +576 -3200 lineto +2368 -3200 lineto +2368 9536 lineto +end_ol grestore +gsave 114.335760 13.630833 translate 0.035278 -0.035278 scale +start_ol +832 0 moveto +832 1088 lineto +2496 1088 lineto +2496 14464 lineto +704 14464 lineto +704 15552 lineto +4352 15552 lineto +4352 8779 lineto +4863 9852 5680 10398 conicto +6497 10944 7580 10944 conicto +9344 10944 10176 9937 conicto +11008 8930 11008 6796 conicto +11008 1088 lineto +12672 1088 lineto +12672 0 lineto +7552 0 lineto +7552 1088 lineto +9152 1088 lineto +9152 6215 lineto +9152 8168 8676 8884 conicto +8200 9600 6977 9600 conicto +5695 9600 5023 8668 conicto +4352 7737 4352 5955 conicto +4352 1088 lineto +5952 1088 lineto +5952 0 lineto +832 0 lineto +end_ol grestore +gsave 116.079125 13.630833 translate 0.035278 -0.035278 scale +start_ol +11136 5120 moveto +3200 5120 lineto +3200 5036 lineto +3200 2858 4010 1749 conicto +4821 640 6401 640 conicto +7611 640 8386 1269 conicto +9162 1898 9472 3136 conicto +10944 3136 lineto +10503 1413 9315 546 conicto +8128 -320 6194 -320 conicto +3860 -320 2442 1222 conicto +1024 2765 1024 5317 conicto +1024 7849 2418 9396 conicto +3813 10944 6080 10944 conicto +8498 10944 9792 9453 conicto +11086 7962 11136 5120 conicto +8960 6208 moveto +8900 8081 8175 9032 conicto +7450 9984 6090 9984 conicto +4820 9984 4090 9027 conicto +3360 8071 3200 6208 conicto +8960 6208 lineto +end_ol grestore +gsave 117.680127 13.630833 translate 0.035278 -0.035278 scale +start_ol +9792 10688 moveto +9792 8000 lineto +8704 8000 lineto +8654 8805 8267 9202 conicto +7881 9600 7138 9600 conicto +5789 9600 5070 8653 conicto +4352 7707 4352 5935 conicto +4352 1088 lineto +6464 1088 lineto +6464 0 lineto +832 0 lineto +832 1088 lineto +2496 1088 lineto +2496 9600 lineto +704 9600 lineto +704 10624 lineto +4352 10624 lineto +4352 8764 lineto +4891 9874 5739 10409 conicto +6588 10944 7806 10944 conicto +8255 10944 8749 10877 conicto +9243 10811 9792 10688 conicto +end_ol grestore +gsave 118.973914 13.630833 translate 0.035278 -0.035278 scale +start_ol +8128 3343 moveto +8128 5568 lineto +5769 5568 lineto +4406 5568 3739 4979 conicto +3072 4390 3072 3173 conicto +3072 2065 3749 1416 conicto +4426 768 5580 768 conicto +6725 768 7426 1482 conicto +8128 2196 8128 3343 conicto +9984 6636 moveto +9984 1088 lineto +11648 1088 lineto +11648 0 lineto +8128 0 lineto +8128 1177 lineto +7520 408 6723 44 conicto +5926 -320 4860 -320 conicto +3096 -320 2060 623 conicto +1024 1567 1024 3173 conicto +1024 4829 2210 5742 conicto +3397 6656 5560 6656 conicto +8128 6656 lineto +8128 7380 lineto +8128 8620 7399 9302 conicto +6671 9984 5353 9984 conicto +4263 9984 3619 9467 conicto +2975 8950 2816 7936 conicto +1856 7936 lineto +1856 10112 lineto +2813 10528 3716 10736 conicto +4619 10944 5476 10944 conicto +7680 10944 8832 9842 conicto +9984 8740 9984 6636 conicto +end_ol grestore +gsave 120.587401 13.630833 translate 0.035278 -0.035278 scale +start_ol +4224 1088 moveto +5952 1088 lineto +5952 0 lineto +576 0 lineto +576 1088 lineto +2368 1088 lineto +2368 14464 lineto +576 14464 lineto +576 15552 lineto +4224 15552 lineto +4224 1088 lineto +end_ol grestore +gsave 121.454085 13.630833 translate 0.035278 -0.035278 scale +start_ol +1152 576 moveto +1152 3072 lineto +2240 3072 lineto +2280 1851 2986 1245 conicto +3692 640 5073 640 conicto +6315 640 6965 1115 conicto +7616 1590 7616 2499 conicto +7616 3214 7134 3653 conicto +6653 4092 5101 4593 conicto +3752 5050 lineto +2382 5490 1767 6149 conicto +1152 6808 1152 7827 conicto +1152 9286 2225 10115 conicto +3299 10944 5195 10944 conicto +6037 10944 6970 10731 conicto +7903 10518 8896 10112 conicto +8896 7808 lineto +7808 7808 lineto +7768 8831 7087 9407 conicto +6406 9984 5234 9984 conicto +4072 9984 3476 9573 conicto +2880 9163 2880 8342 conicto +2880 7671 3336 7265 conicto +3793 6860 5162 6439 conicto +6642 5983 lineto +8147 5507 8809 4794 conicto +9472 4081 9472 2958 conicto +9472 1430 8315 555 conicto +7159 -320 5127 -320 conicto +4096 -320 3114 -96 conicto +2133 128 1152 576 conicto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 16.000000 m 69.500000 22.000000 l 88.500000 22.000000 l 88.500000 16.000000 l f +n 69.500000 17.500000 m 69.500000 17.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 88.500000 17.500000 m 88.500000 17.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 17.500000 m 68.000000 20.500000 l 90.000000 20.500000 l 90.000000 17.500000 l f +n 69.500000 20.500000 m 69.500000 20.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 88.500000 20.500000 m 88.500000 20.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 16.000000 m 88.500000 16.000000 l s +n 69.500000 22.000000 m 88.500000 22.000000 l s +n 69.500000 17.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 88.500000 17.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 17.500000 m 68.000000 20.500000 l s +n 90.000000 17.500000 m 90.000000 20.500000 l s +n 69.500000 20.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 88.500000 20.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 74.150000 19.703750 translate 0.035278 -0.035278 scale +start_ol +896 11200 moveto +9664 11200 lineto +9664 10045 lineto +2608 1280 lineto +9856 1280 lineto +9856 0 lineto +704 0 lineto +704 1155 lineto +7780 9920 lineto +896 9920 lineto +896 11200 lineto +end_ol grestore +gsave 75.541196 19.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 76.105668 19.703750 translate 0.035278 -0.035278 scale +start_ol +2816 1280 moveto +2816 -3200 lineto +1408 -3200 lineto +1408 8384 lineto +2816 8384 lineto +2816 7104 lineto +3248 7851 3906 8213 conicto +4565 8576 5480 8576 conicto +6998 8576 7947 7368 conicto +8896 6160 8896 4192 conicto +8896 2224 7947 1016 conicto +6998 -192 5480 -192 conicto +4565 -192 3906 170 conicto +3248 533 2816 1280 conicto +7424 4192 moveto +7424 5704 6809 6564 conicto +6195 7424 5120 7424 conicto +4045 7424 3430 6564 conicto +2816 5704 2816 4192 conicto +2816 2680 3430 1820 conicto +4045 960 5120 960 conicto +6195 960 6809 1820 conicto +7424 2680 7424 4192 conicto +end_ol grestore +gsave 77.394461 19.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 78.038854 19.703750 translate 0.035278 -0.035278 scale +start_ol +-64 11200 moveto +9408 11200 lineto +9408 9920 lineto +5440 9920 lineto +5440 0 lineto +3904 0 lineto +3904 9920 lineto +-64 9920 lineto +-64 11200 lineto +end_ol grestore +gsave 79.215249 19.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 79.779721 19.703750 translate 0.035278 -0.035278 scale +start_ol +8020 6735 moveto +8538 7678 9259 8127 conicto +9980 8576 10956 8576 conicto +12269 8576 12982 7654 conicto +13696 6732 13696 5031 conicto +13696 0 lineto +12288 0 lineto +12288 4986 lineto +12288 6192 11862 6776 conicto +11437 7360 10563 7360 conicto +9495 7360 8875 6649 conicto +8256 5938 8256 4711 conicto +8256 0 lineto +6848 0 lineto +6848 4986 lineto +6848 6199 6422 6779 conicto +5997 7360 5108 7360 conicto +4055 7360 3435 6645 conicto +2816 5931 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3288 7827 3948 8201 conicto +4608 8576 5515 8576 conicto +6430 8576 7071 8104 conicto +7713 7633 8020 6735 conicto +end_ol grestore +gsave 81.757871 19.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 83.006704 19.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 71.500000 18.000000 m 71.500000 24.000000 l 90.500000 24.000000 l 90.500000 18.000000 l f +n 71.500000 19.500000 m 71.500000 19.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 90.500000 19.500000 m 90.500000 19.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 70.000000 19.500000 m 70.000000 22.500000 l 92.000000 22.500000 l 92.000000 19.500000 l f +n 71.500000 22.500000 m 71.500000 22.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 90.500000 22.500000 m 90.500000 22.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 71.500000 18.000000 m 90.500000 18.000000 l s +n 71.500000 24.000000 m 90.500000 24.000000 l s +n 71.500000 19.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 90.500000 19.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 70.000000 19.500000 m 70.000000 22.500000 l s +n 92.000000 19.500000 m 92.000000 22.500000 l s +n 71.500000 22.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 90.500000 22.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 76.150000 21.703750 translate 0.035278 -0.035278 scale +start_ol +896 11200 moveto +9664 11200 lineto +9664 10045 lineto +2608 1280 lineto +9856 1280 lineto +9856 0 lineto +704 0 lineto +704 1155 lineto +7780 9920 lineto +896 9920 lineto +896 11200 lineto +end_ol grestore +gsave 77.541196 21.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 78.105668 21.703750 translate 0.035278 -0.035278 scale +start_ol +2816 1280 moveto +2816 -3200 lineto +1408 -3200 lineto +1408 8384 lineto +2816 8384 lineto +2816 7104 lineto +3248 7851 3906 8213 conicto +4565 8576 5480 8576 conicto +6998 8576 7947 7368 conicto +8896 6160 8896 4192 conicto +8896 2224 7947 1016 conicto +6998 -192 5480 -192 conicto +4565 -192 3906 170 conicto +3248 533 2816 1280 conicto +7424 4192 moveto +7424 5704 6809 6564 conicto +6195 7424 5120 7424 conicto +4045 7424 3430 6564 conicto +2816 5704 2816 4192 conicto +2816 2680 3430 1820 conicto +4045 960 5120 960 conicto +6195 960 6809 1820 conicto +7424 2680 7424 4192 conicto +end_ol grestore +gsave 79.394461 21.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 80.038854 21.703750 translate 0.035278 -0.035278 scale +start_ol +-64 11200 moveto +9408 11200 lineto +9408 9920 lineto +5440 9920 lineto +5440 0 lineto +3904 0 lineto +3904 9920 lineto +-64 9920 lineto +-64 11200 lineto +end_ol grestore +gsave 81.215249 21.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 81.779721 21.703750 translate 0.035278 -0.035278 scale +start_ol +8020 6735 moveto +8538 7678 9259 8127 conicto +9980 8576 10956 8576 conicto +12269 8576 12982 7654 conicto +13696 6732 13696 5031 conicto +13696 0 lineto +12288 0 lineto +12288 4986 lineto +12288 6192 11862 6776 conicto +11437 7360 10563 7360 conicto +9495 7360 8875 6649 conicto +8256 5938 8256 4711 conicto +8256 0 lineto +6848 0 lineto +6848 4986 lineto +6848 6199 6422 6779 conicto +5997 7360 5108 7360 conicto +4055 7360 3435 6645 conicto +2816 5931 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3288 7827 3948 8201 conicto +4608 8576 5515 8576 conicto +6430 8576 7071 8104 conicto +7713 7633 8020 6735 conicto +end_ol grestore +gsave 83.757871 21.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 85.006704 21.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 26.000000 m 69.500000 32.000000 l 92.500000 32.000000 l 92.500000 26.000000 l f +n 69.500000 27.500000 m 69.500000 27.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 92.500000 27.500000 m 92.500000 27.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 27.500000 m 68.000000 30.500000 l 94.000000 30.500000 l 94.000000 27.500000 l f +n 69.500000 30.500000 m 69.500000 30.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 92.500000 30.500000 m 92.500000 30.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 26.000000 m 92.500000 26.000000 l s +n 69.500000 32.000000 m 92.500000 32.000000 l s +n 69.500000 27.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 92.500000 27.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 27.500000 m 68.000000 30.500000 l s +n 94.000000 27.500000 m 94.000000 30.500000 l s +n 69.500000 30.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 92.500000 30.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 71.207500 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 71.806938 29.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 73.093235 29.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 73.889986 29.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 75.138820 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 75.938068 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 76.772283 29.703750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 78.058579 29.703750 translate 0.035278 -0.035278 scale +start_ol +2816 1280 moveto +2816 -3200 lineto +1408 -3200 lineto +1408 8384 lineto +2816 8384 lineto +2816 7104 lineto +3248 7851 3906 8213 conicto +4565 8576 5480 8576 conicto +6998 8576 7947 7368 conicto +8896 6160 8896 4192 conicto +8896 2224 7947 1016 conicto +6998 -192 5480 -192 conicto +4565 -192 3906 170 conicto +3248 533 2816 1280 conicto +7424 4192 moveto +7424 5704 6809 6564 conicto +6195 7424 5120 7424 conicto +4045 7424 3430 6564 conicto +2816 5704 2816 4192 conicto +2816 2680 3430 1820 conicto +4045 960 5120 960 conicto +6195 960 6809 1820 conicto +7424 2680 7424 4192 conicto +end_ol grestore +gsave 79.347373 29.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 80.144125 29.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 80.788517 29.703750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 82.207188 29.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 83.448522 29.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 84.734819 29.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 85.531570 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 86.320831 29.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 87.562165 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 88.126637 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 88.691109 29.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 89.939942 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 103.500000 26.000000 m 103.500000 32.000000 l 126.500000 32.000000 l 126.500000 26.000000 l f +n 103.500000 27.500000 m 103.500000 27.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 27.500000 m 126.500000 27.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 102.000000 27.500000 m 102.000000 30.500000 l 128.000000 30.500000 l 128.000000 27.500000 l f +n 103.500000 30.500000 m 103.500000 30.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 30.500000 m 126.500000 30.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 103.500000 26.000000 m 126.500000 26.000000 l s +n 103.500000 32.000000 m 126.500000 32.000000 l s +n 103.500000 27.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 27.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 102.000000 27.500000 m 102.000000 30.500000 l s +n 128.000000 27.500000 m 128.000000 30.500000 l s +n 103.500000 30.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 30.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 106.792500 29.703750 translate 0.035278 -0.035278 scale +start_ol +5248 9713 moveto +3197 4160 lineto +7306 4160 lineto +5248 9713 lineto +4395 11200 moveto +6109 11200 lineto +10368 0 lineto +8796 0 lineto +7778 2880 lineto +2740 2880 lineto +1722 0 lineto +128 0 lineto +4395 11200 lineto +end_ol grestore +gsave 108.181199 29.703750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 109.467495 29.703750 translate 0.035278 -0.035278 scale +start_ol +6912 7104 moveto +6912 11648 lineto +8320 11648 lineto +8320 0 lineto +6912 0 lineto +6912 1280 lineto +6480 533 5821 170 conicto +5163 -192 4240 -192 conicto +2730 -192 1781 1016 conicto +832 2224 832 4192 conicto +832 6160 1781 7368 conicto +2730 8576 4240 8576 conicto +5163 8576 5821 8213 conicto +6480 7851 6912 7104 conicto +2304 4192 moveto +2304 2680 2917 1820 conicto +3531 960 4604 960 conicto +5677 960 6294 1820 conicto +6912 2680 6912 4192 conicto +6912 5704 6294 6564 conicto +5677 7424 4604 7424 conicto +3531 7424 2917 6564 conicto +2304 5704 2304 4192 conicto +end_ol grestore +gsave 110.756289 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 111.320761 29.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 112.562095 29.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 113.206488 29.703750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 114.625159 29.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 115.866493 29.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 117.152790 29.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 117.949541 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 118.738801 29.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 119.980135 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 120.544607 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 121.109079 29.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 122.357913 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 103.500000 34.000000 m 103.500000 40.000000 l 126.500000 40.000000 l 126.500000 34.000000 l f +n 103.500000 35.500000 m 103.500000 35.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 35.500000 m 126.500000 35.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 102.000000 35.500000 m 102.000000 38.500000 l 128.000000 38.500000 l 128.000000 35.500000 l f +n 103.500000 38.500000 m 103.500000 38.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 38.500000 m 126.500000 38.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 103.500000 34.000000 m 126.500000 34.000000 l s +n 103.500000 40.000000 m 126.500000 40.000000 l s +n 103.500000 35.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 35.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 102.000000 35.500000 m 102.000000 38.500000 l s +n 128.000000 35.500000 m 128.000000 38.500000 l s +n 103.500000 38.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 38.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 104.636250 37.703750 translate 0.035278 -0.035278 scale +start_ol +9088 1595 moveto +9088 4608 lineto +6592 4608 lineto +6592 5824 lineto +10624 5824 lineto +10624 1039 lineto +9745 431 8686 119 conicto +7628 -192 6426 -192 conicto +3798 -192 2315 1337 conicto +832 2867 832 5596 conicto +832 8333 2311 9862 conicto +3790 11392 6410 11392 conicto +7504 11392 8488 11116 conicto +9473 10840 10304 10304 conicto +10304 8704 lineto +9466 9436 8523 9806 conicto +7580 10176 6540 10176 conicto +4490 10176 3461 9025 conicto +2432 7875 2432 5596 conicto +2432 3325 3459 2174 conicto +4486 1024 6533 1024 conicto +7332 1024 7959 1163 conicto +8587 1302 9088 1595 conicto +end_ol grestore +gsave 106.209777 37.703750 translate 0.035278 -0.035278 scale +start_ol +3008 9984 moveto +3008 5696 lineto +4903 5696 lineto +5955 5696 6529 6254 conicto +7104 6812 7104 7844 conicto +7104 8868 6529 9426 conicto +5955 9984 4903 9984 conicto +3008 9984 lineto +1472 11200 moveto +4903 11200 lineto +6781 11200 7742 10346 conicto +8704 9492 8704 7844 conicto +8704 6181 7742 5330 conicto +6781 4480 4903 4480 conicto +3008 4480 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 107.433632 37.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 108.033070 37.703750 translate 0.035278 -0.035278 scale +start_ol +6023 10176 moveto +4374 10176 3403 8946 conicto +2432 7717 2432 5596 conicto +2432 3483 3403 2253 conicto +4374 1024 6023 1024 conicto +7673 1024 8636 2253 conicto +9600 3483 9600 5596 conicto +9600 7717 8636 8946 conicto +7673 10176 6023 10176 conicto +6023 11392 moveto +8379 11392 9789 9818 conicto +11200 8244 11200 5596 conicto +11200 2956 9789 1382 conicto +8379 -192 6023 -192 conicto +3660 -192 2246 1378 conicto +832 2949 832 5596 conicto +832 8244 2246 9818 conicto +3660 11392 6023 11392 conicto +end_ol grestore +gsave 109.631575 37.703750 translate 0.035278 -0.035278 scale +start_ol +1792 1920 moveto +3392 1920 lineto +3392 622 lineto +2112 -1792 lineto +1152 -1792 lineto +1792 622 lineto +1792 1920 lineto +end_ol grestore +gsave 110.275968 37.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 110.920360 37.703750 translate 0.035278 -0.035278 scale +start_ol +1856 1280 moveto +4352 1280 lineto +4352 9792 lineto +1664 9280 lineto +1664 10688 lineto +4362 11200 lineto +5888 11200 lineto +5888 1280 lineto +8384 1280 lineto +8384 0 lineto +1856 0 lineto +1856 1280 lineto +end_ol grestore +gsave 112.211651 37.703750 translate 0.035278 -0.035278 scale +start_ol +5024 6144 moveto +4006 6144 3411 5460 conicto +2816 4776 2816 3584 conicto +2816 2400 3411 1712 conicto +4006 1024 5024 1024 conicto +6042 1024 6637 1712 conicto +7232 2400 7232 3584 conicto +7232 4776 6637 5460 conicto +6042 6144 5024 6144 conicto +8064 10944 moveto +8064 9536 lineto +7493 9816 6911 9964 conicto +6329 10112 5759 10112 conicto +4257 10112 3465 9089 conicto +2673 8066 2560 5997 conicto +3001 6656 3667 7008 conicto +4333 7360 5133 7360 conicto +6816 7360 7792 6345 conicto +8768 5331 8768 3584 conicto +8768 1874 7746 841 conicto +6725 -192 5027 -192 conicto +3082 -192 2053 1292 conicto +1024 2777 1024 5596 conicto +1024 8244 2290 9818 conicto +3557 11392 5690 11392 conicto +6263 11392 6847 11280 conicto +7431 11168 8064 10944 conicto +end_ol grestore +gsave 113.502942 37.703750 translate 0.035278 -0.035278 scale +start_ol +8448 8384 moveto +5397 4304 lineto +8576 0 lineto +6953 0 lineto +4516 3294 lineto +2074 0 lineto +448 0 lineto +3702 4387 lineto +704 8384 lineto +2339 8384 lineto +4576 5397 lineto +6813 8384 lineto +8448 8384 lineto +end_ol grestore +gsave 114.704316 37.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 115.303754 37.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 116.590051 37.703750 translate 0.035278 -0.035278 scale +start_ol +1792 1920 moveto +3392 1920 lineto +3392 622 lineto +2112 -1792 lineto +1152 -1792 lineto +1792 622 lineto +1792 1920 lineto +end_ol grestore +gsave 117.234443 37.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 117.878836 37.703750 translate 0.035278 -0.035278 scale +start_ol +1856 1280 moveto +4352 1280 lineto +4352 9792 lineto +1664 9280 lineto +1664 10688 lineto +4362 11200 lineto +5888 11200 lineto +5888 1280 lineto +8384 1280 lineto +8384 0 lineto +1856 0 lineto +1856 1280 lineto +end_ol grestore +gsave 119.170127 37.703750 translate 0.035278 -0.035278 scale +start_ol +5024 6144 moveto +4006 6144 3411 5460 conicto +2816 4776 2816 3584 conicto +2816 2400 3411 1712 conicto +4006 1024 5024 1024 conicto +6042 1024 6637 1712 conicto +7232 2400 7232 3584 conicto +7232 4776 6637 5460 conicto +6042 6144 5024 6144 conicto +8064 10944 moveto +8064 9536 lineto +7493 9816 6911 9964 conicto +6329 10112 5759 10112 conicto +4257 10112 3465 9089 conicto +2673 8066 2560 5997 conicto +3001 6656 3667 7008 conicto +4333 7360 5133 7360 conicto +6816 7360 7792 6345 conicto +8768 5331 8768 3584 conicto +8768 1874 7746 841 conicto +6725 -192 5027 -192 conicto +3082 -192 2053 1292 conicto +1024 2777 1024 5596 conicto +1024 8244 2290 9818 conicto +3557 11392 5690 11392 conicto +6263 11392 6847 11280 conicto +7431 11168 8064 10944 conicto +end_ol grestore +gsave 120.461417 37.703750 translate 0.035278 -0.035278 scale +start_ol +8448 8384 moveto +5397 4304 lineto +8576 0 lineto +6953 0 lineto +4516 3294 lineto +2074 0 lineto +448 0 lineto +3702 4387 lineto +704 8384 lineto +2339 8384 lineto +4576 5397 lineto +6813 8384 lineto +8448 8384 lineto +end_ol grestore +gsave 121.662791 37.703750 translate 0.035278 -0.035278 scale +start_ol +6023 10176 moveto +4374 10176 3403 8946 conicto +2432 7717 2432 5596 conicto +2432 3483 3403 2253 conicto +4374 1024 6023 1024 conicto +7673 1024 8636 2253 conicto +9600 3483 9600 5596 conicto +9600 7717 8636 8946 conicto +7673 10176 6023 10176 conicto +6023 11392 moveto +8379 11392 9789 9818 conicto +11200 8244 11200 5596 conicto +11200 2956 9789 1382 conicto +8379 -192 6023 -192 conicto +3660 -192 2246 1378 conicto +832 2949 832 5596 conicto +832 8244 2246 9818 conicto +3660 11392 6023 11392 conicto +end_ol grestore +gsave 123.261296 37.703750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 124.547593 37.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 103.500000 18.000000 m 103.500000 24.000000 l 126.500000 24.000000 l 126.500000 18.000000 l f +n 103.500000 19.500000 m 103.500000 19.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 19.500000 m 126.500000 19.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 102.000000 19.500000 m 102.000000 22.500000 l 128.000000 22.500000 l 128.000000 19.500000 l f +n 103.500000 22.500000 m 103.500000 22.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 22.500000 m 126.500000 22.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 103.500000 18.000000 m 126.500000 18.000000 l s +n 103.500000 24.000000 m 126.500000 24.000000 l s +n 103.500000 19.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 19.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 102.000000 19.500000 m 102.000000 22.500000 l s +n 128.000000 19.500000 m 128.000000 22.500000 l s +n 103.500000 22.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 22.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 104.235000 20.433750 translate 0.035278 -0.035278 scale +start_ol +1856 1280 moveto +4352 1280 lineto +4352 9792 lineto +1664 9280 lineto +1664 10688 lineto +4362 11200 lineto +5888 11200 lineto +5888 1280 lineto +8384 1280 lineto +8384 0 lineto +1856 0 lineto +1856 1280 lineto +end_ol grestore +gsave 105.526291 20.433750 translate 0.035278 -0.035278 scale +start_ol +5024 6144 moveto +4006 6144 3411 5460 conicto +2816 4776 2816 3584 conicto +2816 2400 3411 1712 conicto +4006 1024 5024 1024 conicto +6042 1024 6637 1712 conicto +7232 2400 7232 3584 conicto +7232 4776 6637 5460 conicto +6042 6144 5024 6144 conicto +8064 10944 moveto +8064 9536 lineto +7493 9816 6911 9964 conicto +6329 10112 5759 10112 conicto +4257 10112 3465 9089 conicto +2673 8066 2560 5997 conicto +3001 6656 3667 7008 conicto +4333 7360 5133 7360 conicto +6816 7360 7792 6345 conicto +8768 5331 8768 3584 conicto +8768 1874 7746 841 conicto +6725 -192 5027 -192 conicto +3082 -192 2053 1292 conicto +1024 2777 1024 5596 conicto +1024 8244 2290 9818 conicto +3557 11392 5690 11392 conicto +6263 11392 6847 11280 conicto +7431 11168 8064 10944 conicto +end_ol grestore +gsave 106.817581 20.433750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 107.461974 20.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3782 11200 lineto +6588 3598 lineto +9410 11200 lineto +11712 11200 lineto +11712 0 lineto +10176 0 lineto +10176 9838 lineto +7340 2176 lineto +5844 2176 lineto +3008 9838 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 109.212838 20.433750 translate 0.035278 -0.035278 scale +start_ol +3008 5376 moveto +3008 1216 lineto +5425 1216 lineto +6639 1216 7223 1729 conicto +7808 2243 7808 3300 conicto +7808 4365 7223 4870 conicto +6639 5376 5425 5376 conicto +3008 5376 lineto +3008 9984 moveto +3008 6592 lineto +5238 6592 lineto +6342 6592 6883 7010 conicto +7424 7429 7424 8288 conicto +7424 9140 6883 9562 conicto +6342 9984 5238 9984 conicto +3008 9984 lineto +1472 11200 moveto +5350 11200 lineto +7084 11200 8022 10482 conicto +8960 9765 8960 8442 conicto +8960 7418 8477 6812 conicto +7994 6207 7058 6058 conicto +8173 5817 8790 5053 conicto +9408 4290 9408 3146 conicto +9408 1641 8391 820 conicto +7375 0 5499 0 conicto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 110.606530 20.433750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 111.250923 20.433750 translate 0.035278 -0.035278 scale +start_ol +6023 10176 moveto +4374 10176 3403 8946 conicto +2432 7717 2432 5596 conicto +2432 3483 3403 2253 conicto +4374 1024 6023 1024 conicto +7673 1024 8636 2253 conicto +9600 3483 9600 5596 conicto +9600 7717 8636 8946 conicto +7673 10176 6023 10176 conicto +8145 223 moveto +10141 -1984 lineto +8311 -1984 lineto +6653 -166 lineto +6405 -179 6274 -185 conicto +6143 -192 6023 -192 conicto +3660 -192 2246 1382 conicto +832 2956 832 5596 conicto +832 8244 2246 9818 conicto +3660 11392 6023 11392 conicto +8379 11392 9789 9817 conicto +11200 8243 11200 5594 conicto +11200 3649 10415 2265 conicto +9631 881 8145 223 conicto +end_ol grestore +gsave 112.849428 20.433750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 114.135725 20.433750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 115.379556 20.433750 translate 0.035278 -0.035278 scale +start_ol +6912 7104 moveto +6912 11648 lineto +8320 11648 lineto +8320 0 lineto +6912 0 lineto +6912 1280 lineto +6480 533 5821 170 conicto +5163 -192 4240 -192 conicto +2730 -192 1781 1016 conicto +832 2224 832 4192 conicto +832 6160 1781 7368 conicto +2730 8576 4240 8576 conicto +5163 8576 5821 8213 conicto +6480 7851 6912 7104 conicto +2304 4192 moveto +2304 2680 2917 1820 conicto +3531 960 4604 960 conicto +5677 960 6294 1820 conicto +6912 2680 6912 4192 conicto +6912 5704 6294 6564 conicto +5677 7424 4604 7424 conicto +3531 7424 2917 6564 conicto +2304 5704 2304 4192 conicto +end_ol grestore +gsave 116.668350 20.433750 translate 0.035278 -0.035278 scale +start_ol +8192 10816 moveto +8192 9344 lineto +7347 9764 6598 9970 conicto +5849 10176 5151 10176 conicto +3939 10176 3281 9694 conicto +2624 9212 2624 8324 conicto +2624 7579 3060 7198 conicto +3497 6818 4714 6585 conicto +5609 6397 lineto +7293 6076 8094 5266 conicto +8896 4457 8896 3099 conicto +8896 1480 7809 644 conicto +6722 -192 4622 -192 conicto +3830 -192 2937 -14 conicto +2044 164 1088 512 conicto +1088 2048 lineto +2004 1540 2882 1282 conicto +3760 1024 4609 1024 conicto +5897 1024 6596 1531 conicto +7296 2039 7296 2979 conicto +7296 3800 6801 4263 conicto +6306 4726 5176 4957 conicto +4274 5137 lineto +2558 5475 1791 6195 conicto +1024 6915 1024 8197 conicto +1024 9682 2064 10537 conicto +3105 11392 4932 11392 conicto +5716 11392 6529 11248 conicto +7342 11104 8192 10816 conicto +end_ol grestore +gsave 117.957143 20.433750 translate 0.035278 -0.035278 scale +start_ol +3008 9984 moveto +3008 5696 lineto +4903 5696 lineto +5955 5696 6529 6254 conicto +7104 6812 7104 7844 conicto +7104 8868 6529 9426 conicto +5955 9984 4903 9984 conicto +3008 9984 lineto +1472 11200 moveto +4903 11200 lineto +6781 11200 7742 10346 conicto +8704 9492 8704 7844 conicto +8704 6181 7742 5330 conicto +6781 4480 4903 4480 conicto +3008 4480 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 119.180998 20.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 119.780437 20.433750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 120.424829 20.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +7936 11200 lineto +7936 9920 lineto +3008 9920 lineto +3008 6592 lineto +7424 6592 lineto +7424 5312 lineto +3008 5312 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 121.593734 20.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 122.158206 20.433750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 123.402037 20.433750 translate 0.035278 -0.035278 scale +start_ol +6784 8128 moveto +6784 6848 lineto +6211 7136 5594 7280 conicto +4977 7424 4316 7424 conicto +3310 7424 2807 7111 conicto +2304 6799 2304 6175 conicto +2304 5699 2662 5427 conicto +3021 5156 4104 4911 conicto +4565 4806 lineto +6013 4493 6622 3922 conicto +7232 3351 7232 2329 conicto +7232 1166 6308 487 conicto +5385 -192 3770 -192 conicto +3097 -192 2368 -64 conicto +1639 64 832 320 conicto +832 1728 lineto +1595 1344 2336 1152 conicto +3077 960 3803 960 conicto +4776 960 5300 1290 conicto +5824 1621 5824 2223 conicto +5824 2781 5454 3078 conicto +5085 3375 3833 3650 conicto +3365 3762 lineto +2058 4031 1477 4587 conicto +896 5143 896 6113 conicto +896 7292 1733 7934 conicto +2570 8576 4109 8576 conicto +4871 8576 5543 8464 conicto +6216 8352 6784 8128 conicto +end_ol grestore +gsave 124.458543 20.433750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 11648 lineto +2816 11648 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 110.002500 22.973750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 111.421171 22.973750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 112.662505 22.973750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 113.948802 22.973750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 114.745553 22.973750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 115.534813 22.973750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 116.776148 22.973750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 117.340620 22.973750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 117.905092 22.973750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 119.153925 22.973750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 103.500000 42.000000 m 103.500000 48.000000 l 126.500000 48.000000 l 126.500000 42.000000 l f +n 103.500000 43.500000 m 103.500000 43.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 43.500000 m 126.500000 43.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 102.000000 43.500000 m 102.000000 46.500000 l 128.000000 46.500000 l 128.000000 43.500000 l f +n 103.500000 46.500000 m 103.500000 46.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 46.500000 m 126.500000 46.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 103.500000 42.000000 m 126.500000 42.000000 l s +n 103.500000 48.000000 m 126.500000 48.000000 l s +n 103.500000 43.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 43.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 102.000000 43.500000 m 102.000000 46.500000 l s +n 128.000000 43.500000 m 128.000000 46.500000 l s +n 103.500000 46.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 46.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 105.165000 45.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 6466 lineto +8034 11200 lineto +9984 11200 lineto +4426 5979 lineto +10368 0 lineto +8376 0 lineto +3008 5394 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 106.396346 45.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 107.645179 45.703750 translate 0.035278 -0.035278 scale +start_ol +4947 -789 moveto +4361 -2287 3805 -2743 conicto +3250 -3200 2319 -3200 conicto +1216 -3200 lineto +1216 -2048 lineto +2027 -2048 lineto +2598 -2048 2913 -1777 conicto +3228 -1506 3611 -497 conicto +3858 132 lineto +448 8384 lineto +1922 8384 lineto +4549 1827 lineto +7176 8384 lineto +8640 8384 lineto +4947 -789 lineto +end_ol grestore +gsave 108.846553 45.703750 translate 0.035278 -0.035278 scale +start_ol +2816 1280 moveto +2816 -3200 lineto +1408 -3200 lineto +1408 8384 lineto +2816 8384 lineto +2816 7104 lineto +3248 7851 3906 8213 conicto +4565 8576 5480 8576 conicto +6998 8576 7947 7368 conicto +8896 6160 8896 4192 conicto +8896 2224 7947 1016 conicto +6998 -192 5480 -192 conicto +4565 -192 3906 170 conicto +3248 533 2816 1280 conicto +7424 4192 moveto +7424 5704 6809 6564 conicto +6195 7424 5120 7424 conicto +4045 7424 3430 6564 conicto +2816 5704 2816 4192 conicto +2816 2680 3430 1820 conicto +4045 960 5120 960 conicto +6195 960 6809 1820 conicto +7424 2680 7424 4192 conicto +end_ol grestore +gsave 110.135347 45.703750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 111.379178 45.703750 translate 0.035278 -0.035278 scale +start_ol +6912 7104 moveto +6912 11648 lineto +8320 11648 lineto +8320 0 lineto +6912 0 lineto +6912 1280 lineto +6480 533 5821 170 conicto +5163 -192 4240 -192 conicto +2730 -192 1781 1016 conicto +832 2224 832 4192 conicto +832 6160 1781 7368 conicto +2730 8576 4240 8576 conicto +5163 8576 5821 8213 conicto +6480 7851 6912 7104 conicto +2304 4192 moveto +2304 2680 2917 1820 conicto +3531 960 4604 960 conicto +5677 960 6294 1820 conicto +6912 2680 6912 4192 conicto +6912 5704 6294 6564 conicto +5677 7424 4604 7424 conicto +3531 7424 2917 6564 conicto +2304 5704 2304 4192 conicto +end_ol grestore +gsave 112.667971 45.703750 translate 0.035278 -0.035278 scale +start_ol +3907 11200 moveto +5184 11200 lineto +1277 -1408 lineto +0 -1408 lineto +3907 11200 lineto +end_ol grestore +gsave 113.352325 45.703750 translate 0.035278 -0.035278 scale +start_ol +3008 5376 moveto +3008 1216 lineto +5425 1216 lineto +6639 1216 7223 1729 conicto +7808 2243 7808 3300 conicto +7808 4365 7223 4870 conicto +6639 5376 5425 5376 conicto +3008 5376 lineto +3008 9984 moveto +3008 6592 lineto +5238 6592 lineto +6342 6592 6883 7010 conicto +7424 7429 7424 8288 conicto +7424 9140 6883 9562 conicto +6342 9984 5238 9984 conicto +3008 9984 lineto +1472 11200 moveto +5350 11200 lineto +7084 11200 8022 10482 conicto +8960 9765 8960 8442 conicto +8960 7418 8477 6812 conicto +7994 6207 7058 6058 conicto +8173 5817 8790 5053 conicto +9408 4290 9408 3146 conicto +9408 1641 8391 820 conicto +7375 0 5499 0 conicto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 114.746017 45.703750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 116.032314 45.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 116.829065 45.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 117.625817 45.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 118.867151 45.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 120.153447 45.703750 translate 0.035278 -0.035278 scale +start_ol +3907 11200 moveto +5184 11200 lineto +1277 -1408 lineto +0 -1408 lineto +3907 11200 lineto +end_ol grestore +gsave 120.837800 45.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 1280 lineto +8448 1280 lineto +8448 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 121.969241 45.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +8576 11200 lineto +8576 9920 lineto +3008 9920 lineto +3008 6592 lineto +8320 6592 lineto +8320 5312 lineto +3008 5312 lineto +3008 1280 lineto +8704 1280 lineto +8704 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 123.253041 45.703750 translate 0.035278 -0.035278 scale +start_ol +3008 9984 moveto +3008 1216 lineto +4830 1216 lineto +7137 1216 8208 2273 conicto +9280 3331 9280 5611 conicto +9280 7877 8208 8930 conicto +7137 9984 4830 9984 conicto +3008 9984 lineto +1472 11200 moveto +4598 11200 lineto +7844 11200 9362 9846 conicto +10880 8492 10880 5611 conicto +10880 2716 9354 1358 conicto +7829 0 4598 0 conicto +1472 0 lineto +1472 11200 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 103.500000 50.000000 m 103.500000 56.000000 l 126.500000 56.000000 l 126.500000 50.000000 l f +n 103.500000 51.500000 m 103.500000 51.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 51.500000 m 126.500000 51.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 102.000000 51.500000 m 102.000000 54.500000 l 128.000000 54.500000 l 128.000000 51.500000 l f +n 103.500000 54.500000 m 103.500000 54.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 54.500000 m 126.500000 54.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 103.500000 50.000000 m 126.500000 50.000000 l s +n 103.500000 56.000000 m 126.500000 56.000000 l s +n 103.500000 51.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 51.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 102.000000 51.500000 m 102.000000 54.500000 l s +n 128.000000 51.500000 m 128.000000 54.500000 l s +n 103.500000 54.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 54.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 106.988750 53.703750 translate 0.035278 -0.035278 scale +start_ol +1344 11200 moveto +2880 11200 lineto +2880 4404 lineto +2880 2605 3522 1814 conicto +4164 1024 5604 1024 conicto +7036 1024 7678 1814 conicto +8320 2605 8320 4404 conicto +8320 11200 lineto +9856 11200 lineto +9856 4216 lineto +9856 2034 8780 921 conicto +7704 -192 5604 -192 conicto +3496 -192 2420 921 conicto +1344 2034 1344 4216 conicto +1344 11200 lineto +end_ol grestore +gsave 108.474857 53.703750 translate 0.035278 -0.035278 scale +start_ol +5248 9713 moveto +3197 4160 lineto +7306 4160 lineto +5248 9713 lineto +4395 11200 moveto +6109 11200 lineto +10368 0 lineto +8796 0 lineto +7778 2880 lineto +2740 2880 lineto +1722 0 lineto +128 0 lineto +4395 11200 lineto +end_ol grestore +gsave 109.863555 53.703750 translate 0.035278 -0.035278 scale +start_ol +6783 5241 moveto +7271 5077 7732 4539 conicto +8194 4002 8659 3062 conicto +10176 0 lineto +8569 0 lineto +7134 2875 lineto +6582 4001 6063 4368 conicto +5544 4736 4649 4736 conicto +3008 4736 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +4903 11200 lineto +6818 11200 7761 10396 conicto +8704 9592 8704 7969 conicto +8704 6909 8212 6210 conicto +7721 5512 6783 5241 conicto +3008 9984 moveto +3008 5952 lineto +4903 5952 lineto +5992 5952 6548 6465 conicto +7104 6979 7104 7976 conicto +7104 8972 6548 9478 conicto +5992 9984 4903 9984 conicto +3008 9984 lineto +end_ol grestore +gsave 111.127371 53.703750 translate 0.035278 -0.035278 scale +start_ol +-64 11200 moveto +9408 11200 lineto +9408 9920 lineto +5440 9920 lineto +5440 0 lineto +3904 0 lineto +3904 9920 lineto +-64 9920 lineto +-64 11200 lineto +end_ol grestore +gsave 112.366208 53.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 113.010601 53.703750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 114.429272 53.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 115.670606 53.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 116.956902 53.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 117.753654 53.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 118.542914 53.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 119.784248 53.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 120.348720 53.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 120.913192 53.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 122.162025 53.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 34.000000 m 69.500000 40.000000 l 92.500000 40.000000 l 92.500000 34.000000 l f +n 69.500000 35.500000 m 69.500000 35.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 92.500000 35.500000 m 92.500000 35.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 35.500000 m 68.000000 38.500000 l 94.000000 38.500000 l 94.000000 35.500000 l f +n 69.500000 38.500000 m 69.500000 38.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 92.500000 38.500000 m 92.500000 38.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 34.000000 m 92.500000 34.000000 l s +n 69.500000 40.000000 m 92.500000 40.000000 l s +n 69.500000 35.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 92.500000 35.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 35.500000 m 68.000000 38.500000 l s +n 94.000000 35.500000 m 94.000000 38.500000 l s +n 69.500000 38.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 92.500000 38.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 42.000000 m 69.500000 48.000000 l 92.500000 48.000000 l 92.500000 42.000000 l f +n 69.500000 43.500000 m 69.500000 43.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 92.500000 43.500000 m 92.500000 43.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 43.500000 m 68.000000 46.500000 l 94.000000 46.500000 l 94.000000 43.500000 l f +n 69.500000 46.500000 m 69.500000 46.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 92.500000 46.500000 m 92.500000 46.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 42.000000 m 92.500000 42.000000 l s +n 69.500000 48.000000 m 92.500000 48.000000 l s +n 69.500000 43.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 92.500000 43.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 43.500000 m 68.000000 46.500000 l s +n 94.000000 43.500000 m 94.000000 46.500000 l s +n 69.500000 46.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 92.500000 46.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 50.000000 m 69.500000 56.000000 l 92.500000 56.000000 l 92.500000 50.000000 l f +n 69.500000 51.500000 m 69.500000 51.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 92.500000 51.500000 m 92.500000 51.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 51.500000 m 68.000000 54.500000 l 94.000000 54.500000 l 94.000000 51.500000 l f +n 69.500000 54.500000 m 69.500000 54.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 92.500000 54.500000 m 92.500000 54.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 50.000000 m 92.500000 50.000000 l s +n 69.500000 56.000000 m 92.500000 56.000000 l s +n 69.500000 51.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 92.500000 51.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 51.500000 m 68.000000 54.500000 l s +n 94.000000 51.500000 m 94.000000 54.500000 l s +n 69.500000 54.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 92.500000 54.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 72.468750 53.703750 translate 0.035278 -0.035278 scale +start_ol +1856 1280 moveto +4352 1280 lineto +4352 9792 lineto +1664 9280 lineto +1664 10688 lineto +4362 11200 lineto +5888 11200 lineto +5888 1280 lineto +8384 1280 lineto +8384 0 lineto +1856 0 lineto +1856 1280 lineto +end_ol grestore +gsave 73.760041 53.703750 translate 0.035278 -0.035278 scale +start_ol +5024 6144 moveto +4006 6144 3411 5460 conicto +2816 4776 2816 3584 conicto +2816 2400 3411 1712 conicto +4006 1024 5024 1024 conicto +6042 1024 6637 1712 conicto +7232 2400 7232 3584 conicto +7232 4776 6637 5460 conicto +6042 6144 5024 6144 conicto +8064 10944 moveto +8064 9536 lineto +7493 9816 6911 9964 conicto +6329 10112 5759 10112 conicto +4257 10112 3465 9089 conicto +2673 8066 2560 5997 conicto +3001 6656 3667 7008 conicto +4333 7360 5133 7360 conicto +6816 7360 7792 6345 conicto +8768 5331 8768 3584 conicto +8768 1874 7746 841 conicto +6725 -192 5027 -192 conicto +3082 -192 2053 1292 conicto +1024 2777 1024 5596 conicto +1024 8244 2290 9818 conicto +3557 11392 5690 11392 conicto +6263 11392 6847 11280 conicto +7431 11168 8064 10944 conicto +end_ol grestore +gsave 75.051331 53.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 75.695724 53.703750 translate 0.035278 -0.035278 scale +start_ol +1408 11648 moveto +2816 11648 lineto +2816 4768 lineto +6894 8384 lineto +8640 8384 lineto +4227 4461 lineto +8832 0 lineto +7047 0 lineto +2816 4095 lineto +2816 0 lineto +1408 0 lineto +1408 11648 lineto +end_ol grestore +gsave 76.872119 53.703750 translate 0.035278 -0.035278 scale +start_ol +3008 5376 moveto +3008 1216 lineto +5425 1216 lineto +6639 1216 7223 1729 conicto +7808 2243 7808 3300 conicto +7808 4365 7223 4870 conicto +6639 5376 5425 5376 conicto +3008 5376 lineto +3008 9984 moveto +3008 6592 lineto +5238 6592 lineto +6342 6592 6883 7010 conicto +7424 7429 7424 8288 conicto +7424 9140 6883 9562 conicto +6342 9984 5238 9984 conicto +3008 9984 lineto +1472 11200 moveto +5350 11200 lineto +7084 11200 8022 10482 conicto +8960 9765 8960 8442 conicto +8960 7418 8477 6812 conicto +7994 6207 7058 6058 conicto +8173 5817 8790 5053 conicto +9408 4290 9408 3146 conicto +9408 1641 8391 820 conicto +7375 0 5499 0 conicto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 78.265812 53.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 78.910205 53.703750 translate 0.035278 -0.035278 scale +start_ol +3008 5376 moveto +3008 1216 lineto +5425 1216 lineto +6639 1216 7223 1729 conicto +7808 2243 7808 3300 conicto +7808 4365 7223 4870 conicto +6639 5376 5425 5376 conicto +3008 5376 lineto +3008 9984 moveto +3008 6592 lineto +5238 6592 lineto +6342 6592 6883 7010 conicto +7424 7429 7424 8288 conicto +7424 9140 6883 9562 conicto +6342 9984 5238 9984 conicto +3008 9984 lineto +1472 11200 moveto +5350 11200 lineto +7084 11200 8022 10482 conicto +8960 9765 8960 8442 conicto +8960 7418 8477 6812 conicto +7994 6207 7058 6058 conicto +8173 5817 8790 5053 conicto +9408 4290 9408 3146 conicto +9408 1641 8391 820 conicto +7375 0 5499 0 conicto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 80.303898 53.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 80.868370 53.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 82.109704 53.703750 translate 0.035278 -0.035278 scale +start_ol +7488 8064 moveto +7488 6784 lineto +6904 7104 6316 7264 conicto +5728 7424 5128 7424 conicto +3787 7424 3045 6575 conicto +2304 5726 2304 4192 conicto +2304 2658 3045 1809 conicto +3787 960 5128 960 conicto +5728 960 6316 1120 conicto +6904 1280 7488 1600 conicto +7488 320 lineto +6910 64 6290 -64 conicto +5670 -192 4971 -192 conicto +3071 -192 1951 993 conicto +832 2179 832 4192 conicto +832 6235 1962 7405 conicto +3093 8576 5061 8576 conicto +5700 8576 6308 8448 conicto +6917 8320 7488 8064 conicto +end_ol grestore +gsave 83.226154 53.703750 translate 0.035278 -0.035278 scale +start_ol +1408 11648 moveto +2816 11648 lineto +2816 4768 lineto +6894 8384 lineto +8640 8384 lineto +4227 4461 lineto +8832 0 lineto +7047 0 lineto +2816 4095 lineto +2816 0 lineto +1408 0 lineto +1408 11648 lineto +end_ol grestore +gsave 84.402550 53.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 85.046942 53.703750 translate 0.035278 -0.035278 scale +start_ol +6783 5241 moveto +7271 5077 7732 4539 conicto +8194 4002 8659 3062 conicto +10176 0 lineto +8569 0 lineto +7134 2875 lineto +6582 4001 6063 4368 conicto +5544 4736 4649 4736 conicto +3008 4736 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +4903 11200 lineto +6818 11200 7761 10396 conicto +8704 9592 8704 7969 conicto +8704 6909 8212 6210 conicto +7721 5512 6783 5241 conicto +3008 9984 moveto +3008 5952 lineto +4903 5952 lineto +5992 5952 6548 6465 conicto +7104 6979 7104 7976 conicto +7104 8972 6548 9478 conicto +5992 9984 4903 9984 conicto +3008 9984 lineto +end_ol grestore +gsave 86.375696 53.703750 translate 0.035278 -0.035278 scale +start_ol +5248 9713 moveto +3197 4160 lineto +7306 4160 lineto +5248 9713 lineto +4395 11200 moveto +6109 11200 lineto +10368 0 lineto +8796 0 lineto +7778 2880 lineto +2740 2880 lineto +1722 0 lineto +128 0 lineto +4395 11200 lineto +end_ol grestore +gsave 87.764395 53.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3782 11200 lineto +6588 3598 lineto +9410 11200 lineto +11712 11200 lineto +11712 0 lineto +10176 0 lineto +10176 9838 lineto +7340 2176 lineto +5844 2176 lineto +3008 9838 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 73.666250 45.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 74.265688 45.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 75.551985 45.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 76.348736 45.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 77.597570 45.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 78.396818 45.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 79.683115 45.703750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 80.926946 45.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 81.491418 45.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 82.135811 45.703750 translate 0.035278 -0.035278 scale +start_ol +8192 10816 moveto +8192 9344 lineto +7347 9764 6598 9970 conicto +5849 10176 5151 10176 conicto +3939 10176 3281 9694 conicto +2624 9212 2624 8324 conicto +2624 7579 3060 7198 conicto +3497 6818 4714 6585 conicto +5609 6397 lineto +7293 6076 8094 5266 conicto +8896 4457 8896 3099 conicto +8896 1480 7809 644 conicto +6722 -192 4622 -192 conicto +3830 -192 2937 -14 conicto +2044 164 1088 512 conicto +1088 2048 lineto +2004 1540 2882 1282 conicto +3760 1024 4609 1024 conicto +5897 1024 6596 1531 conicto +7296 2039 7296 2979 conicto +7296 3800 6801 4263 conicto +6306 4726 5176 4957 conicto +4274 5137 lineto +2558 5475 1791 6195 conicto +1024 6915 1024 8197 conicto +1024 9682 2064 10537 conicto +3105 11392 4932 11392 conicto +5716 11392 6529 11248 conicto +7342 11104 8192 10816 conicto +end_ol grestore +gsave 83.424604 45.703750 translate 0.035278 -0.035278 scale +start_ol +7488 8064 moveto +7488 6784 lineto +6904 7104 6316 7264 conicto +5728 7424 5128 7424 conicto +3787 7424 3045 6575 conicto +2304 5726 2304 4192 conicto +2304 2658 3045 1809 conicto +3787 960 5128 960 conicto +5728 960 6316 1120 conicto +6904 1280 7488 1600 conicto +7488 320 lineto +6910 64 6290 -64 conicto +5670 -192 4971 -192 conicto +3071 -192 1951 993 conicto +832 2179 832 4192 conicto +832 6235 1962 7405 conicto +3093 8576 5061 8576 conicto +5700 8576 6308 8448 conicto +6917 8320 7488 8064 conicto +end_ol grestore +gsave 84.541055 45.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 85.782389 45.703750 translate 0.035278 -0.035278 scale +start_ol +2816 1280 moveto +2816 -3200 lineto +1408 -3200 lineto +1408 8384 lineto +2816 8384 lineto +2816 7104 lineto +3248 7851 3906 8213 conicto +4565 8576 5480 8576 conicto +6998 8576 7947 7368 conicto +8896 6160 8896 4192 conicto +8896 2224 7947 1016 conicto +6998 -192 5480 -192 conicto +4565 -192 3906 170 conicto +3248 533 2816 1280 conicto +7424 4192 moveto +7424 5704 6809 6564 conicto +6195 7424 5120 7424 conicto +4045 7424 3430 6564 conicto +2816 5704 2816 4192 conicto +2816 2680 3430 1820 conicto +4045 960 5120 960 conicto +6195 960 6809 1820 conicto +7424 2680 7424 4192 conicto +end_ol grestore +gsave 87.071183 45.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 69.871250 36.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 70.470688 36.433750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 71.756985 36.433750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 72.553736 36.433750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 73.802570 36.433750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 74.601818 36.433750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 75.888115 36.433750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 77.131946 36.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 77.696418 36.433750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 78.340811 36.433750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 79.759482 36.433750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 81.000816 36.433750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 82.287112 36.433750 translate 0.035278 -0.035278 scale +start_ol +8256 8384 moveto +8256 0 lineto +6848 0 lineto +6848 7296 lineto +3072 7296 lineto +3072 0 lineto +1664 0 lineto +1664 7296 lineto +320 7296 lineto +320 8384 lineto +1664 8384 lineto +1664 8968 lineto +1664 10338 2313 10993 conicto +2963 11648 4307 11648 conicto +5696 11648 lineto +5696 10496 lineto +4384 10496 lineto +3646 10496 3359 10197 conicto +3072 9899 3072 9123 conicto +3072 8384 lineto +8256 8384 lineto +6848 11648 moveto +8256 11648 lineto +8256 9920 lineto +6848 9920 lineto +6848 11648 lineto +end_ol grestore +gsave 83.565910 36.433750 translate 0.035278 -0.035278 scale +start_ol +6912 4284 moveto +6912 5779 6305 6601 conicto +5699 7424 4604 7424 conicto +3517 7424 2910 6601 conicto +2304 5779 2304 4284 conicto +2304 2797 2910 1974 conicto +3517 1152 4604 1152 conicto +5699 1152 6305 1974 conicto +6912 2797 6912 4284 conicto +8320 1040 moveto +8320 -1106 7372 -2153 conicto +6424 -3200 4468 -3200 conicto +3744 -3200 3102 -3090 conicto +2461 -2980 1856 -2752 conicto +1856 -1408 lineto +2456 -1735 3042 -1891 conicto +3628 -2048 4236 -2048 conicto +5578 -2048 6245 -1341 conicto +6912 -635 6912 793 conicto +6912 1472 lineto +6488 732 5825 366 conicto +5163 0 4240 0 conicto +2707 0 1769 1174 conicto +832 2348 832 4284 conicto +832 6228 1769 7402 conicto +2707 8576 4240 8576 conicto +5163 8576 5825 8210 conicto +6488 7844 6912 7104 conicto +6912 8384 lineto +8320 8384 lineto +8320 1040 lineto +end_ol grestore +gsave 84.854703 36.433750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 86.141000 36.433750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 86.975215 36.433750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 88.219046 36.433750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 89.015797 36.433750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 89.580269 36.433750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 90.821603 36.433750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 75.186250 38.973750 translate 0.035278 -0.035278 scale +start_ol +5248 9713 moveto +3197 4160 lineto +7306 4160 lineto +5248 9713 lineto +4395 11200 moveto +6109 11200 lineto +10368 0 lineto +8796 0 lineto +7778 2880 lineto +2740 2880 lineto +1722 0 lineto +128 0 lineto +4395 11200 lineto +end_ol grestore +gsave 76.539982 38.973750 translate 0.035278 -0.035278 scale +start_ol +7488 8064 moveto +7488 6784 lineto +6904 7104 6316 7264 conicto +5728 7424 5128 7424 conicto +3787 7424 3045 6575 conicto +2304 5726 2304 4192 conicto +2304 2658 3045 1809 conicto +3787 960 5128 960 conicto +5728 960 6316 1120 conicto +6904 1280 7488 1600 conicto +7488 320 lineto +6910 64 6290 -64 conicto +5670 -192 4971 -192 conicto +3071 -192 1951 993 conicto +832 2179 832 4192 conicto +832 6235 1962 7405 conicto +3093 8576 5061 8576 conicto +5700 8576 6308 8448 conicto +6917 8320 7488 8064 conicto +end_ol grestore +gsave 77.656433 38.973750 translate 0.035278 -0.035278 scale +start_ol +7488 8064 moveto +7488 6784 lineto +6904 7104 6316 7264 conicto +5728 7424 5128 7424 conicto +3787 7424 3045 6575 conicto +2304 5726 2304 4192 conicto +2304 2658 3045 1809 conicto +3787 960 5128 960 conicto +5728 960 6316 1120 conicto +6904 1280 7488 1600 conicto +7488 320 lineto +6910 64 6290 -64 conicto +5670 -192 4971 -192 conicto +3071 -192 1951 993 conicto +832 2179 832 4192 conicto +832 6235 1962 7405 conicto +3093 8576 5061 8576 conicto +5700 8576 6308 8448 conicto +6917 8320 7488 8064 conicto +end_ol grestore +gsave 78.772884 38.973750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 80.021717 38.973750 translate 0.035278 -0.035278 scale +start_ol +6784 8128 moveto +6784 6848 lineto +6211 7136 5594 7280 conicto +4977 7424 4316 7424 conicto +3310 7424 2807 7111 conicto +2304 6799 2304 6175 conicto +2304 5699 2662 5427 conicto +3021 5156 4104 4911 conicto +4565 4806 lineto +6013 4493 6622 3922 conicto +7232 3351 7232 2329 conicto +7232 1166 6308 487 conicto +5385 -192 3770 -192 conicto +3097 -192 2368 -64 conicto +1639 64 832 320 conicto +832 1728 lineto +1595 1344 2336 1152 conicto +3077 960 3803 960 conicto +4776 960 5300 1290 conicto +5824 1621 5824 2223 conicto +5824 2781 5454 3078 conicto +5085 3375 3833 3650 conicto +3365 3762 lineto +2058 4031 1477 4587 conicto +896 5143 896 6113 conicto +896 7292 1733 7934 conicto +2570 8576 4109 8576 conicto +4871 8576 5543 8464 conicto +6216 8352 6784 8128 conicto +end_ol grestore +gsave 81.078223 38.973750 translate 0.035278 -0.035278 scale +start_ol +6784 8128 moveto +6784 6848 lineto +6211 7136 5594 7280 conicto +4977 7424 4316 7424 conicto +3310 7424 2807 7111 conicto +2304 6799 2304 6175 conicto +2304 5699 2662 5427 conicto +3021 5156 4104 4911 conicto +4565 4806 lineto +6013 4493 6622 3922 conicto +7232 3351 7232 2329 conicto +7232 1166 6308 487 conicto +5385 -192 3770 -192 conicto +3097 -192 2368 -64 conicto +1639 64 832 320 conicto +832 1728 lineto +1595 1344 2336 1152 conicto +3077 960 3803 960 conicto +4776 960 5300 1290 conicto +5824 1621 5824 2223 conicto +5824 2781 5454 3078 conicto +5085 3375 3833 3650 conicto +3365 3762 lineto +2058 4031 1477 4587 conicto +896 5143 896 6113 conicto +896 7292 1733 7934 conicto +2570 8576 4109 8576 conicto +4871 8576 5543 8464 conicto +6216 8352 6784 8128 conicto +end_ol grestore +gsave 82.134729 38.973750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 82.779122 38.973750 translate 0.035278 -0.035278 scale +start_ol +3008 9984 moveto +3008 5696 lineto +4903 5696 lineto +5955 5696 6529 6254 conicto +7104 6812 7104 7844 conicto +7104 8868 6529 9426 conicto +5955 9984 4903 9984 conicto +3008 9984 lineto +1472 11200 moveto +4903 11200 lineto +6781 11200 7742 10346 conicto +8704 9492 8704 7844 conicto +8704 6181 7742 5330 conicto +6781 4480 4903 4480 conicto +3008 4480 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 83.930539 38.973750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 85.171873 38.973750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 86.006088 38.973750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +0.800000 slw +[] 0 sd +[] 0 sd +0 slc +n 98.000000 8.000000 m 98.000000 62.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 92.000000 21.000000 m 98.000000 21.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 94.000000 29.000000 m 98.000000 29.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 94.000000 37.000000 m 98.000000 37.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 94.000000 45.000000 m 98.000000 45.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 94.000000 53.000000 m 98.000000 53.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 102.000000 21.000000 m 98.000000 21.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 102.000000 29.000000 m 98.000000 29.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 102.000000 37.000000 m 98.000000 37.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 102.000000 45.000000 m 98.000000 45.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 102.000000 53.000000 m 98.000000 53.000000 l s +0.800000 slw +[] 0 sd +[] 0 sd +0 slc +n 73.000000 62.000000 m 98.000000 62.000000 l s +0.400000 slw +[] 0 sd +[] 0 sd +0 slc +0 slj +0.400000 slw +0 slc +0 slj +[] 0 sd +1.000000 1.000000 1.000000 srgb +n 60.000000 60.661702 m 67.566047 60.661702 l 67.566047 59.000000 l 75.132094 62.323404 l 67.566047 65.646808 l 67.566047 63.985106 l 60.000000 63.985106 l ef +0.000000 0.000000 0.000000 srgb +n 60.000000 60.661702 m 67.566047 60.661702 l 67.566047 59.000000 l 75.132094 62.323404 l 67.566047 65.646808 l 67.566047 63.985106 l 60.000000 63.985106 l cp s +0 slc +0 slj +[] 0 sd +n 60.000000 60.661702 m 67.566047 60.661702 l 67.566047 59.000000 l 75.132094 62.323404 l 67.566047 65.646808 l 67.566047 63.985106 l 60.000000 63.985106 l cp s +gsave 39.417500 4.000000 translate 0.035278 -0.035278 scale +start_ol +17536 18304 moveto +17536 15488 lineto +16179 16743 14642 17363 conicto +13106 17984 11376 17984 conicto +7971 17984 6161 15910 conicto +4352 13836 4352 9913 conicto +4352 6004 6161 3930 conicto +7971 1856 11376 1856 conicto +13106 1856 14642 2476 conicto +16179 3097 17536 4352 conicto +17536 1536 lineto +16127 576 14552 96 conicto +12978 -384 11224 -384 conicto +6719 -384 4127 2376 conicto +1536 5137 1536 9913 conicto +1536 14703 4127 17463 conicto +6719 20224 11224 20224 conicto +13004 20224 14579 19744 conicto +16154 19264 17536 18304 conicto +end_ol grestore +gsave 41.937640 4.000000 translate 0.035278 -0.035278 scale +start_ol +14184 12062 moveto +15103 13719 16382 14507 conicto +17661 15296 19393 15296 conicto +21725 15296 22990 13661 conicto +24256 12026 24256 9010 conicto +24256 0 lineto +21824 0 lineto +21824 8930 lineto +21824 11091 21060 12137 conicto +20297 13184 18730 13184 conicto +16815 13184 15703 11910 conicto +14592 10636 14592 8436 conicto +14592 0 lineto +12160 0 lineto +12160 8930 lineto +12160 11104 11396 12144 conicto +10633 13184 9040 13184 conicto +7151 13184 6039 11903 conicto +4928 10622 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5768 13985 6942 14640 conicto +8116 15296 9729 15296 conicto +11357 15296 12497 14467 conicto +13637 13639 14184 12062 conicto +end_ol grestore +gsave 45.454349 4.000000 translate 0.035278 -0.035278 scale +start_ol +8359 13248 moveto +6387 13248 5241 11699 conicto +4096 10151 4096 7456 conicto +4096 4761 5235 3212 conicto +6374 1664 8359 1664 conicto +10317 1664 11462 3219 conicto +12608 4775 12608 7456 conicto +12608 10124 11462 11686 conicto +10317 13248 8359 13248 conicto +8384 15296 moveto +11582 15296 13407 13216 conicto +15232 11136 15232 7456 conicto +15232 3789 13407 1702 conicto +11582 -384 8384 -384 conicto +5173 -384 3354 1702 conicto +1536 3789 1536 7456 conicto +1536 11136 3354 13216 conicto +5173 15296 8384 15296 conicto +end_ol grestore +gsave 47.662280 4.000000 translate 0.035278 -0.035278 scale +start_ol +12416 12672 moveto +12416 20736 lineto +14848 20736 lineto +14848 0 lineto +12416 0 lineto +12416 2240 lineto +11644 908 10465 262 conicto +9287 -384 7635 -384 conicto +4932 -384 3234 1776 conicto +1536 3936 1536 7456 conicto +1536 10976 3234 13136 conicto +4932 15296 7635 15296 conicto +9287 15296 10465 14650 conicto +11644 14004 12416 12672 conicto +4096 7456 moveto +4096 4747 5204 3205 conicto +6312 1664 8249 1664 conicto +10187 1664 11301 3205 conicto +12416 4747 12416 7456 conicto +12416 10165 11301 11706 conicto +10187 13248 8249 13248 conicto +6312 13248 5204 11706 conicto +4096 10165 4096 7456 conicto +end_ol grestore +gsave 49.952638 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 51.099061 4.000000 translate 0.035278 -0.035278 scale +start_ol +14592 19200 moveto +14592 16576 lineto +13058 17319 11697 17683 conicto +10336 18048 9069 18048 conicto +6868 18048 5674 17194 conicto +4480 16341 4480 14767 conicto +4480 13447 5275 12773 conicto +6070 12099 8288 11686 conicto +9919 11354 lineto +12937 10782 14372 9340 conicto +15808 7898 15808 5478 conicto +15808 2594 13865 1105 conicto +11923 -384 8171 -384 conicto +6756 -384 5160 -60 conicto +3565 263 1856 896 conicto +1856 3648 lineto +3498 2727 5074 2259 conicto +6650 1792 8172 1792 conicto +10482 1792 11737 2696 conicto +12992 3601 12992 5278 conicto +12992 6741 12090 7566 conicto +11188 8391 9130 8803 conicto +7487 9122 lineto +4480 9721 3136 10999 conicto +1792 12277 1792 14553 conicto +1792 17189 3650 18706 conicto +5508 20224 8771 20224 conicto +10170 20224 11622 19968 conicto +13074 19712 14592 19200 conicto +end_ol grestore +gsave 53.389418 4.000000 translate 0.035278 -0.035278 scale +start_ol +9024 11008 moveto +7224 11008 6172 9768 conicto +5120 8528 5120 6368 conicto +5120 4221 6172 2974 conicto +7224 1728 9024 1728 conicto +10824 1728 11876 2974 conicto +12928 4221 12928 6368 conicto +12928 8528 11876 9768 conicto +10824 11008 9024 11008 conicto +14336 19456 moveto +14336 17024 lineto +13327 17491 12298 17737 conicto +11270 17984 10262 17984 conicto +7607 17984 6207 16183 conicto +4807 14383 4608 10741 conicto +5390 11891 6570 12505 conicto +7751 13120 9170 13120 conicto +12154 13120 13885 11305 conicto +15616 9491 15616 6368 conicto +15616 3311 13809 1463 conicto +12003 -384 9000 -384 conicto +5560 -384 3740 2257 conicto +1920 4898 1920 9913 conicto +1920 14623 4153 17423 conicto +6387 20224 10149 20224 conicto +11159 20224 12189 20032 conicto +13219 19840 14336 19456 conicto +end_ol grestore +gsave 55.684770 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 56.831193 4.000000 translate 0.035278 -0.035278 scale +start_ol +14592 19200 moveto +14592 16576 lineto +13058 17319 11697 17683 conicto +10336 18048 9069 18048 conicto +6868 18048 5674 17194 conicto +4480 16341 4480 14767 conicto +4480 13447 5275 12773 conicto +6070 12099 8288 11686 conicto +9919 11354 lineto +12937 10782 14372 9340 conicto +15808 7898 15808 5478 conicto +15808 2594 13865 1105 conicto +11923 -384 8171 -384 conicto +6756 -384 5160 -60 conicto +3565 263 1856 896 conicto +1856 3648 lineto +3498 2727 5074 2259 conicto +6650 1792 8172 1792 conicto +10482 1792 11737 2696 conicto +12992 3601 12992 5278 conicto +12992 6741 12090 7566 conicto +11188 8391 9130 8803 conicto +7487 9122 lineto +4480 9721 3136 10999 conicto +1792 12277 1792 14553 conicto +1792 17189 3650 18706 conicto +5508 20224 8771 20224 conicto +10170 20224 11622 19968 conicto +13074 19712 14592 19200 conicto +end_ol grestore +gsave 59.121550 4.000000 translate 0.035278 -0.035278 scale +start_ol +8801 -1408 moveto +7761 -4071 6775 -4883 conicto +5789 -5696 4136 -5696 conicto +2176 -5696 lineto +2176 -3648 lineto +3616 -3648 lineto +4629 -3648 5188 -3165 conicto +5748 -2682 6428 -885 conicto +6868 234 lineto +832 14912 lineto +3429 14912 lineto +8095 3249 lineto +12761 14912 lineto +15360 14912 lineto +8801 -1408 lineto +end_ol grestore +gsave 61.257052 4.000000 translate 0.035278 -0.035278 scale +start_ol +12096 14464 moveto +12096 12160 lineto +11057 12704 9937 12976 conicto +8818 13248 7619 13248 conicto +5793 13248 4880 12685 conicto +3968 12123 3968 10998 conicto +3968 10141 4620 9652 conicto +5272 9164 7241 8722 conicto +8079 8535 lineto +10677 7976 11770 6957 conicto +12864 5939 12864 4115 conicto +12864 2039 11220 827 conicto +9577 -384 6702 -384 conicto +5504 -384 4206 -160 conicto +2909 64 1472 512 conicto +1472 3072 lineto +2832 2368 4152 2016 conicto +5473 1664 6767 1664 conicto +8501 1664 9434 2256 conicto +10368 2849 10368 3927 conicto +10368 4925 9696 5457 conicto +9024 5990 6749 6483 conicto +5897 6683 lineto +3622 7164 2611 8158 conicto +1600 9153 1600 10889 conicto +1600 12999 3092 14147 conicto +4584 15296 7328 15296 conicto +8686 15296 9885 15088 conicto +11084 14880 12096 14464 conicto +end_ol grestore +gsave 63.137793 4.000000 translate 0.035278 -0.035278 scale +start_ol +4992 19136 moveto +4992 14912 lineto +10048 14912 lineto +10048 12992 lineto +4992 12992 lineto +4992 4907 lineto +4992 3085 5492 2566 conicto +5993 2048 7527 2048 conicto +10048 2048 lineto +10048 0 lineto +7527 0 lineto +4706 0 3633 1057 conicto +2560 2114 2560 4907 conicto +2560 12992 lineto +768 12992 lineto +768 14912 lineto +2560 14912 lineto +2560 19136 lineto +4992 19136 lineto +end_ol grestore +gsave 64.553967 4.000000 translate 0.035278 -0.035278 scale +start_ol +15360 8112 moveto +15360 6912 lineto +4096 6912 lineto +4256 4349 5620 3006 conicto +6984 1664 9420 1664 conicto +10831 1664 12155 2016 conicto +13480 2368 14784 3072 conicto +14784 768 lineto +13466 205 12081 -89 conicto +10696 -384 9272 -384 conicto +5703 -384 3619 1696 conicto +1536 3776 1536 7323 conicto +1536 10989 3513 13142 conicto +5491 15296 8848 15296 conicto +11857 15296 13608 13364 conicto +15360 11432 15360 8112 conicto +12928 8832 moveto +12901 10847 11800 12047 conicto +10700 13248 8886 13248 conicto +6831 13248 5597 12087 conicto +4363 10927 4176 8819 conicto +12928 8832 lineto +end_ol grestore +gsave 66.774391 4.000000 translate 0.035278 -0.035278 scale +start_ol +14184 12062 moveto +15103 13719 16382 14507 conicto +17661 15296 19393 15296 conicto +21725 15296 22990 13661 conicto +24256 12026 24256 9010 conicto +24256 0 lineto +21824 0 lineto +21824 8930 lineto +21824 11091 21060 12137 conicto +20297 13184 18730 13184 conicto +16815 13184 15703 11910 conicto +14592 10636 14592 8436 conicto +14592 0 lineto +12160 0 lineto +12160 8930 lineto +12160 11104 11396 12144 conicto +10633 13184 9040 13184 conicto +7151 13184 6039 11903 conicto +4928 10622 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5768 13985 6942 14640 conicto +8116 15296 9729 15296 conicto +11357 15296 12497 14467 conicto +13637 13639 14184 12062 conicto +end_ol grestore +gsave 70.291101 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 71.437524 4.000000 translate 0.035278 -0.035278 scale +start_ol +8359 13248 moveto +6387 13248 5241 11699 conicto +4096 10151 4096 7456 conicto +4096 4761 5235 3212 conicto +6374 1664 8359 1664 conicto +10317 1664 11462 3219 conicto +12608 4775 12608 7456 conicto +12608 10124 11462 11686 conicto +10317 13248 8359 13248 conicto +8384 15296 moveto +11582 15296 13407 13216 conicto +15232 11136 15232 7456 conicto +15232 3789 13407 1702 conicto +11582 -384 8384 -384 conicto +5173 -384 3354 1702 conicto +1536 3789 1536 7456 conicto +1536 11136 3354 13216 conicto +5173 15296 8384 15296 conicto +end_ol grestore +gsave 73.645455 4.000000 translate 0.035278 -0.035278 scale +start_ol +14976 9010 moveto +14976 0 lineto +12544 0 lineto +12544 8930 lineto +12544 11064 11714 12124 conicto +10884 13184 9225 13184 conicto +7230 13184 6079 11910 conicto +4928 10636 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5809 13959 7003 14627 conicto +8197 15296 9759 15296 conicto +12334 15296 13655 13701 conicto +14976 12106 14976 9010 conicto +end_ol grestore +gsave 75.933316 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 77.079739 4.000000 translate 0.035278 -0.035278 scale +start_ol +9388 7488 moveto +6400 7488 5248 6810 conicto +4096 6132 4096 4496 conicto +4096 3193 4960 2428 conicto +5824 1664 7312 1664 conicto +9361 1664 10600 3106 conicto +11840 4548 11840 6940 conicto +11840 7488 lineto +9388 7488 lineto +14272 8501 moveto +14272 0 lineto +11840 0 lineto +11840 2240 lineto +10999 895 9743 255 conicto +8488 -384 6672 -384 conicto +4375 -384 3019 900 conicto +1664 2184 1664 4339 conicto +1664 6854 3350 8131 conicto +5037 9408 8383 9408 conicto +11840 9408 lineto +11840 9654 lineto +11840 11370 10724 12309 conicto +9608 13248 7590 13248 conicto +6307 13248 5091 12944 conicto +3875 12640 2752 12032 conicto +2752 14272 lineto +4099 14784 5365 15040 conicto +6632 15296 7832 15296 conicto +11072 15296 12672 13610 conicto +14272 11925 14272 8501 conicto +end_ol grestore +gsave 79.290167 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 80.436590 4.000000 translate 0.035278 -0.035278 scale +start_ol +17536 18304 moveto +17536 15488 lineto +16179 16743 14642 17363 conicto +13106 17984 11376 17984 conicto +7971 17984 6161 15910 conicto +4352 13836 4352 9913 conicto +4352 6004 6161 3930 conicto +7971 1856 11376 1856 conicto +13106 1856 14642 2476 conicto +16179 3097 17536 4352 conicto +17536 1536 lineto +16127 576 14552 96 conicto +12978 -384 11224 -384 conicto +6719 -384 4127 2376 conicto +1536 5137 1536 9913 conicto +1536 14703 4127 17463 conicto +6719 20224 11224 20224 conicto +13004 20224 14579 19744 conicto +16154 19264 17536 18304 conicto +end_ol grestore +gsave 82.956730 4.000000 translate 0.035278 -0.035278 scale +start_ol +14976 9010 moveto +14976 0 lineto +12544 0 lineto +12544 8930 lineto +12544 11064 11714 12124 conicto +10884 13184 9225 13184 conicto +7230 13184 6079 11910 conicto +4928 10636 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 20736 lineto +4928 20736 lineto +4928 12608 lineto +5809 13959 7003 14627 conicto +8197 15296 9759 15296 conicto +12334 15296 13655 13701 conicto +14976 12106 14976 9010 conicto +end_ol grestore +gsave 85.244590 4.000000 translate 0.035278 -0.035278 scale +start_ol +2560 14912 moveto +4992 14912 lineto +4992 0 lineto +2560 0 lineto +2560 14912 lineto +2560 20736 moveto +4992 20736 lineto +4992 17664 lineto +2560 17664 lineto +2560 20736 lineto +end_ol grestore +gsave 86.246154 4.000000 translate 0.035278 -0.035278 scale +start_ol +4928 2240 moveto +4928 -5696 lineto +2496 -5696 lineto +2496 14912 lineto +4928 14912 lineto +4928 12672 lineto +5700 14004 6878 14650 conicto +8057 15296 9695 15296 conicto +12412 15296 14110 13136 conicto +15808 10976 15808 7456 conicto +15808 3936 14110 1776 conicto +12412 -384 9695 -384 conicto +8057 -384 6878 262 conicto +5700 908 4928 2240 conicto +13248 7456 moveto +13248 10165 12138 11706 conicto +11028 13248 9088 13248 conicto +7148 13248 6038 11706 conicto +4928 10165 4928 7456 conicto +4928 4747 6038 3205 conicto +7148 1664 9088 1664 conicto +11028 1664 12138 3205 conicto +13248 4747 13248 7456 conicto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 8.000000 m 69.500000 14.000000 l 92.500000 14.000000 l 92.500000 8.000000 l f +n 69.500000 9.500000 m 69.500000 9.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 92.500000 9.500000 m 92.500000 9.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 9.500000 m 68.000000 12.500000 l 94.000000 12.500000 l 94.000000 9.500000 l f +n 69.500000 12.500000 m 69.500000 12.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 92.500000 12.500000 m 92.500000 12.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 8.000000 m 92.500000 8.000000 l s +n 69.500000 14.000000 m 92.500000 14.000000 l s +n 69.500000 9.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 92.500000 9.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 9.500000 m 68.000000 12.500000 l s +n 94.000000 9.500000 m 94.000000 12.500000 l s +n 69.500000 12.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 92.500000 12.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 72.967500 11.674156 translate 0.035278 -0.035278 scale +start_ol +6783 5241 moveto +7271 5077 7732 4539 conicto +8194 4002 8659 3062 conicto +10176 0 lineto +8569 0 lineto +7134 2875 lineto +6582 4001 6063 4368 conicto +5544 4736 4649 4736 conicto +3008 4736 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +4903 11200 lineto +6818 11200 7761 10396 conicto +8704 9592 8704 7969 conicto +8704 6909 8212 6210 conicto +7721 5512 6783 5241 conicto +3008 9984 moveto +3008 5952 lineto +4903 5952 lineto +5992 5952 6548 6465 conicto +7104 6979 7104 7976 conicto +7104 8972 6548 9478 conicto +5992 9984 4903 9984 conicto +3008 9984 lineto +end_ol grestore +gsave 74.286266 11.674156 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 75.535099 11.674156 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 76.778930 11.674156 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 77.343402 11.674156 translate 0.035278 -0.035278 scale +start_ol +768 4800 moveto +4800 4800 lineto +4800 3584 lineto +768 3584 lineto +768 4800 lineto +end_ol grestore +gsave 77.887890 11.674156 translate 0.035278 -0.035278 scale +start_ol +-64 11200 moveto +9408 11200 lineto +9408 9920 lineto +5440 9920 lineto +5440 0 lineto +3904 0 lineto +3904 9920 lineto +-64 9920 lineto +-64 11200 lineto +end_ol grestore +gsave 79.064285 11.674156 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 79.628757 11.674156 translate 0.035278 -0.035278 scale +start_ol +8020 6735 moveto +8538 7678 9259 8127 conicto +9980 8576 10956 8576 conicto +12269 8576 12982 7654 conicto +13696 6732 13696 5031 conicto +13696 0 lineto +12288 0 lineto +12288 4986 lineto +12288 6192 11862 6776 conicto +11437 7360 10563 7360 conicto +9495 7360 8875 6649 conicto +8256 5938 8256 4711 conicto +8256 0 lineto +6848 0 lineto +6848 4986 lineto +6848 6199 6422 6779 conicto +5997 7360 5108 7360 conicto +4055 7360 3435 6645 conicto +2816 5931 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3288 7827 3948 8201 conicto +4608 8576 5515 8576 conicto +6430 8576 7071 8104 conicto +7713 7633 8020 6735 conicto +end_ol grestore +gsave 81.606907 11.674156 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 82.855740 11.674156 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 83.500133 11.674156 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 84.918804 11.674156 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 85.483276 11.674156 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 86.724610 11.674156 translate 0.035278 -0.035278 scale +start_ol +7488 8064 moveto +7488 6784 lineto +6904 7104 6316 7264 conicto +5728 7424 5128 7424 conicto +3787 7424 3045 6575 conicto +2304 5726 2304 4192 conicto +2304 2658 3045 1809 conicto +3787 960 5128 960 conicto +5728 960 6316 1120 conicto +6904 1280 7488 1600 conicto +7488 320 lineto +6910 64 6290 -64 conicto +5670 -192 4971 -192 conicto +3071 -192 1951 993 conicto +832 2179 832 4192 conicto +832 6235 1962 7405 conicto +3093 8576 5061 8576 conicto +5700 8576 6308 8448 conicto +6917 8320 7488 8064 conicto +end_ol grestore +gsave 87.841060 11.674156 translate 0.035278 -0.035278 scale +start_ol +1408 11648 moveto +2816 11648 lineto +2816 4768 lineto +6894 8384 lineto +8640 8384 lineto +4227 4461 lineto +8832 0 lineto +7047 0 lineto +2816 4095 lineto +2816 0 lineto +1408 0 lineto +1408 11648 lineto +end_ol grestore +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 94.000000 11.000000 m 98.000000 11.000000 l s +showpage Index: doc/gfx/altbones.eps =================================================================== --- doc/gfx/altbones.eps (nonexistent) +++ doc/gfx/altbones.eps (revision 7) @@ -0,0 +1,6436 @@ +%!PS-Adobe-2.0 EPSF-2.0 +%%Title: /home/dan/jericho/work/rnd/opencores/s6soc/trunk/doc/gfx/altbones.dia +%%Creator: Dia v0.97.2 +%%CreationDate: Sat Apr 23 06:38:39 2016 +%%For: dan +%%Orientation: Portrait +%%Magnification: 1.0000 +%%BoundingBox: 0 0 648 341 +%%BeginSetup +%%EndSetup +%%EndComments +%%BeginProlog +[ /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /space /exclam /quotedbl /numbersign /dollar /percent /ampersand /quoteright +/parenleft /parenright /asterisk /plus /comma /hyphen /period /slash /zero /one +/two /three /four /five /six /seven /eight /nine /colon /semicolon +/less /equal /greater /question /at /A /B /C /D /E +/F /G /H /I /J /K /L /M /N /O +/P /Q /R /S /T /U /V /W /X /Y +/Z /bracketleft /backslash /bracketright /asciicircum /underscore /quoteleft /a /b /c +/d /e /f /g /h /i /j /k /l /m +/n /o /p /q /r /s /t /u /v /w +/x /y /z /braceleft /bar /braceright /asciitilde /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef /.notdef +/space /exclamdown /cent /sterling /currency /yen /brokenbar /section /dieresis /copyright +/ordfeminine /guillemotleft /logicalnot /hyphen /registered /macron /degree /plusminus /twosuperior /threesuperior +/acute /mu /paragraph /periodcentered /cedilla /onesuperior /ordmasculine /guillemotright /onequarter /onehalf +/threequarters /questiondown /Agrave /Aacute /Acircumflex /Atilde /Adieresis /Aring /AE /Ccedilla +/Egrave /Eacute /Ecircumflex /Edieresis /Igrave /Iacute /Icircumflex /Idieresis /Eth /Ntilde +/Ograve /Oacute /Ocircumflex /Otilde /Odieresis /multiply /Oslash /Ugrave /Uacute /Ucircumflex +/Udieresis /Yacute /Thorn /germandbls /agrave /aacute /acircumflex /atilde /adieresis /aring +/ae /ccedilla /egrave /eacute /ecircumflex /edieresis /igrave /iacute /icircumflex /idieresis +/eth /ntilde /ograve /oacute /ocircumflex /otilde /odieresis /divide /oslash /ugrave +/uacute /ucircumflex /udieresis /yacute /thorn /ydieresis] /isolatin1encoding exch def +/cp {closepath} bind def +/c {curveto} bind def +/f {fill} bind def +/a {arc} bind def +/ef {eofill} bind def +/ex {exch} bind def +/gr {grestore} bind def +/gs {gsave} bind def +/sa {save} bind def +/rs {restore} bind def +/l {lineto} bind def +/m {moveto} bind def +/rm {rmoveto} bind def +/n {newpath} bind def +/s {stroke} bind def +/sh {show} bind def +/slc {setlinecap} bind def +/slj {setlinejoin} bind def +/slw {setlinewidth} bind def +/srgb {setrgbcolor} bind def +/rot {rotate} bind def +/sc {scale} bind def +/sd {setdash} bind def +/ff {findfont} bind def +/sf {setfont} bind def +/scf {scalefont} bind def +/sw {stringwidth pop} bind def +/tr {translate} bind def + +/ellipsedict 8 dict def +ellipsedict /mtrx matrix put +/ellipse +{ ellipsedict begin + /endangle exch def + /startangle exch def + /yrad exch def + /xrad exch def + /y exch def + /x exch def /savematrix mtrx currentmatrix def + x y tr xrad yrad sc + 0 0 1 startangle endangle arc + savematrix setmatrix + end +} def + +/mergeprocs { +dup length +3 -1 roll +dup +length +dup +5 1 roll +3 -1 roll +add +array cvx +dup +3 -1 roll +0 exch +putinterval +dup +4 2 roll +putinterval +} bind def +/dpi_x 300 def +/dpi_y 300 def +/conicto { + /to_y exch def + /to_x exch def + /conic_cntrl_y exch def + /conic_cntrl_x exch def + currentpoint + /p0_y exch def + /p0_x exch def + /p1_x p0_x conic_cntrl_x p0_x sub 2 3 div mul add def + /p1_y p0_y conic_cntrl_y p0_y sub 2 3 div mul add def + /p2_x p1_x to_x p0_x sub 1 3 div mul add def + /p2_y p1_y to_y p0_y sub 1 3 div mul add def + p1_x p1_y p2_x p2_y to_x to_y curveto +} bind def +/start_ol { gsave 1.1 dpi_x div dup scale} bind def +/end_ol { closepath fill grestore } bind def +4.961635 -4.961635 scale +0.300000 -68.300000 translate +%%EndProlog + + +0.600000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 0.800000 1.000000 srgb +n 8.000000 0.000000 m 8.000000 68.000000 l 122.000000 68.000000 l 122.000000 0.000000 l f +n 8.000000 8.000000 m 8.000000 8.000000 8.000000 8.000000 180.000000 270.000000 ellipse f +n 122.000000 8.000000 m 122.000000 8.000000 8.000000 8.000000 270.000000 360.000000 ellipse f +n 0.000000 8.000000 m 0.000000 60.000000 l 130.000000 60.000000 l 130.000000 8.000000 l f +n 8.000000 60.000000 m 8.000000 60.000000 8.000000 8.000000 90.000000 180.000000 ellipse f +n 122.000000 60.000000 m 122.000000 60.000000 8.000000 8.000000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 8.000000 0.000000 m 122.000000 0.000000 l s +n 8.000000 68.000000 m 122.000000 68.000000 l s +n 8.000000 8.000000 8.000000 8.000000 180.000000 270.000000 ellipse s +n 122.000000 8.000000 8.000000 8.000000 270.000000 360.000000 ellipse s +n 0.000000 8.000000 m 0.000000 60.000000 l s +n 130.000000 8.000000 m 130.000000 60.000000 l s +n 8.000000 60.000000 8.000000 8.000000 90.000000 180.000000 ellipse s +n 122.000000 60.000000 8.000000 8.000000 0.000000 90.000000 ellipse s +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +0.847059 0.898039 0.898039 srgb +n 4.000000 6.000000 m 4.000000 68.000000 l 60.000000 68.000000 l 60.000000 6.000000 l f +n 4.000000 10.000000 m 4.000000 10.000000 4.000000 4.000000 180.000000 270.000000 ellipse f +n 60.000000 10.000000 m 60.000000 10.000000 4.000000 4.000000 270.000000 360.000000 ellipse f +n 0.000000 10.000000 m 0.000000 64.000000 l 64.000000 64.000000 l 64.000000 10.000000 l f +n 4.000000 64.000000 m 4.000000 64.000000 4.000000 4.000000 90.000000 180.000000 ellipse f +n 60.000000 64.000000 m 60.000000 64.000000 4.000000 4.000000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 4.000000 6.000000 m 60.000000 6.000000 l s +n 4.000000 68.000000 m 60.000000 68.000000 l s +n 4.000000 10.000000 4.000000 4.000000 180.000000 270.000000 ellipse s +n 60.000000 10.000000 4.000000 4.000000 270.000000 360.000000 ellipse s +n 0.000000 10.000000 m 0.000000 64.000000 l s +n 64.000000 10.000000 m 64.000000 64.000000 l s +n 4.000000 64.000000 4.000000 4.000000 90.000000 180.000000 ellipse s +n 60.000000 64.000000 4.000000 4.000000 0.000000 90.000000 ellipse s +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +0.690196 1.000000 0.690196 srgb +n 68.000000 6.000000 m 68.000000 68.000000 l 126.000000 68.000000 l 126.000000 6.000000 l f +n 68.000000 10.000000 m 68.000000 10.000000 4.000000 4.000000 180.000000 270.000000 ellipse f +n 126.000000 10.000000 m 126.000000 10.000000 4.000000 4.000000 270.000000 360.000000 ellipse f +n 64.000000 10.000000 m 64.000000 64.000000 l 130.000000 64.000000 l 130.000000 10.000000 l f +n 68.000000 64.000000 m 68.000000 64.000000 4.000000 4.000000 90.000000 180.000000 ellipse f +n 126.000000 64.000000 m 126.000000 64.000000 4.000000 4.000000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 68.000000 6.000000 m 126.000000 6.000000 l s +n 68.000000 68.000000 m 126.000000 68.000000 l s +n 68.000000 10.000000 4.000000 4.000000 180.000000 270.000000 ellipse s +n 126.000000 10.000000 4.000000 4.000000 270.000000 360.000000 ellipse s +n 64.000000 10.000000 m 64.000000 64.000000 l s +n 130.000000 10.000000 m 130.000000 64.000000 l s +n 68.000000 64.000000 4.000000 4.000000 90.000000 180.000000 ellipse s +n 126.000000 64.000000 4.000000 4.000000 0.000000 90.000000 ellipse s +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 16.000000 m 69.500000 22.000000 l 88.500000 22.000000 l 88.500000 16.000000 l f +n 69.500000 17.500000 m 69.500000 17.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 88.500000 17.500000 m 88.500000 17.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 17.500000 m 68.000000 20.500000 l 90.000000 20.500000 l 90.000000 17.500000 l f +n 69.500000 20.500000 m 69.500000 20.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 88.500000 20.500000 m 88.500000 20.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 16.000000 m 88.500000 16.000000 l s +n 69.500000 22.000000 m 88.500000 22.000000 l s +n 69.500000 17.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 88.500000 17.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 17.500000 m 68.000000 20.500000 l s +n 90.000000 17.500000 m 90.000000 20.500000 l s +n 69.500000 20.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 88.500000 20.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 74.150000 19.703750 translate 0.035278 -0.035278 scale +start_ol +896 11200 moveto +9664 11200 lineto +9664 10045 lineto +2608 1280 lineto +9856 1280 lineto +9856 0 lineto +704 0 lineto +704 1155 lineto +7780 9920 lineto +896 9920 lineto +896 11200 lineto +end_ol grestore +gsave 75.541196 19.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 76.105668 19.703750 translate 0.035278 -0.035278 scale +start_ol +2816 1280 moveto +2816 -3200 lineto +1408 -3200 lineto +1408 8384 lineto +2816 8384 lineto +2816 7104 lineto +3248 7851 3906 8213 conicto +4565 8576 5480 8576 conicto +6998 8576 7947 7368 conicto +8896 6160 8896 4192 conicto +8896 2224 7947 1016 conicto +6998 -192 5480 -192 conicto +4565 -192 3906 170 conicto +3248 533 2816 1280 conicto +7424 4192 moveto +7424 5704 6809 6564 conicto +6195 7424 5120 7424 conicto +4045 7424 3430 6564 conicto +2816 5704 2816 4192 conicto +2816 2680 3430 1820 conicto +4045 960 5120 960 conicto +6195 960 6809 1820 conicto +7424 2680 7424 4192 conicto +end_ol grestore +gsave 77.394461 19.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 78.038854 19.703750 translate 0.035278 -0.035278 scale +start_ol +-64 11200 moveto +9408 11200 lineto +9408 9920 lineto +5440 9920 lineto +5440 0 lineto +3904 0 lineto +3904 9920 lineto +-64 9920 lineto +-64 11200 lineto +end_ol grestore +gsave 79.215249 19.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 79.779721 19.703750 translate 0.035278 -0.035278 scale +start_ol +8020 6735 moveto +8538 7678 9259 8127 conicto +9980 8576 10956 8576 conicto +12269 8576 12982 7654 conicto +13696 6732 13696 5031 conicto +13696 0 lineto +12288 0 lineto +12288 4986 lineto +12288 6192 11862 6776 conicto +11437 7360 10563 7360 conicto +9495 7360 8875 6649 conicto +8256 5938 8256 4711 conicto +8256 0 lineto +6848 0 lineto +6848 4986 lineto +6848 6199 6422 6779 conicto +5997 7360 5108 7360 conicto +4055 7360 3435 6645 conicto +2816 5931 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3288 7827 3948 8201 conicto +4608 8576 5515 8576 conicto +6430 8576 7071 8104 conicto +7713 7633 8020 6735 conicto +end_ol grestore +gsave 81.757871 19.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 83.006704 19.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 71.500000 18.000000 m 71.500000 24.000000 l 90.500000 24.000000 l 90.500000 18.000000 l f +n 71.500000 19.500000 m 71.500000 19.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 90.500000 19.500000 m 90.500000 19.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 70.000000 19.500000 m 70.000000 22.500000 l 92.000000 22.500000 l 92.000000 19.500000 l f +n 71.500000 22.500000 m 71.500000 22.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 90.500000 22.500000 m 90.500000 22.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 71.500000 18.000000 m 90.500000 18.000000 l s +n 71.500000 24.000000 m 90.500000 24.000000 l s +n 71.500000 19.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 90.500000 19.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 70.000000 19.500000 m 70.000000 22.500000 l s +n 92.000000 19.500000 m 92.000000 22.500000 l s +n 71.500000 22.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 90.500000 22.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 76.150000 21.703750 translate 0.035278 -0.035278 scale +start_ol +896 11200 moveto +9664 11200 lineto +9664 10045 lineto +2608 1280 lineto +9856 1280 lineto +9856 0 lineto +704 0 lineto +704 1155 lineto +7780 9920 lineto +896 9920 lineto +896 11200 lineto +end_ol grestore +gsave 77.541196 21.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 78.105668 21.703750 translate 0.035278 -0.035278 scale +start_ol +2816 1280 moveto +2816 -3200 lineto +1408 -3200 lineto +1408 8384 lineto +2816 8384 lineto +2816 7104 lineto +3248 7851 3906 8213 conicto +4565 8576 5480 8576 conicto +6998 8576 7947 7368 conicto +8896 6160 8896 4192 conicto +8896 2224 7947 1016 conicto +6998 -192 5480 -192 conicto +4565 -192 3906 170 conicto +3248 533 2816 1280 conicto +7424 4192 moveto +7424 5704 6809 6564 conicto +6195 7424 5120 7424 conicto +4045 7424 3430 6564 conicto +2816 5704 2816 4192 conicto +2816 2680 3430 1820 conicto +4045 960 5120 960 conicto +6195 960 6809 1820 conicto +7424 2680 7424 4192 conicto +end_ol grestore +gsave 79.394461 21.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 80.038854 21.703750 translate 0.035278 -0.035278 scale +start_ol +-64 11200 moveto +9408 11200 lineto +9408 9920 lineto +5440 9920 lineto +5440 0 lineto +3904 0 lineto +3904 9920 lineto +-64 9920 lineto +-64 11200 lineto +end_ol grestore +gsave 81.215249 21.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 81.779721 21.703750 translate 0.035278 -0.035278 scale +start_ol +8020 6735 moveto +8538 7678 9259 8127 conicto +9980 8576 10956 8576 conicto +12269 8576 12982 7654 conicto +13696 6732 13696 5031 conicto +13696 0 lineto +12288 0 lineto +12288 4986 lineto +12288 6192 11862 6776 conicto +11437 7360 10563 7360 conicto +9495 7360 8875 6649 conicto +8256 5938 8256 4711 conicto +8256 0 lineto +6848 0 lineto +6848 4986 lineto +6848 6199 6422 6779 conicto +5997 7360 5108 7360 conicto +4055 7360 3435 6645 conicto +2816 5931 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3288 7827 3948 8201 conicto +4608 8576 5515 8576 conicto +6430 8576 7071 8104 conicto +7713 7633 8020 6735 conicto +end_ol grestore +gsave 83.757871 21.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 85.006704 21.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 26.000000 m 69.500000 32.000000 l 92.500000 32.000000 l 92.500000 26.000000 l f +n 69.500000 27.500000 m 69.500000 27.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 92.500000 27.500000 m 92.500000 27.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 27.500000 m 68.000000 30.500000 l 94.000000 30.500000 l 94.000000 27.500000 l f +n 69.500000 30.500000 m 69.500000 30.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 92.500000 30.500000 m 92.500000 30.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 26.000000 m 92.500000 26.000000 l s +n 69.500000 32.000000 m 92.500000 32.000000 l s +n 69.500000 27.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 92.500000 27.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 27.500000 m 68.000000 30.500000 l s +n 94.000000 27.500000 m 94.000000 30.500000 l s +n 69.500000 30.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 92.500000 30.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 71.207500 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 71.806938 29.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 73.093235 29.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 73.889986 29.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 75.138820 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 75.938068 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 76.772283 29.703750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 78.058579 29.703750 translate 0.035278 -0.035278 scale +start_ol +2816 1280 moveto +2816 -3200 lineto +1408 -3200 lineto +1408 8384 lineto +2816 8384 lineto +2816 7104 lineto +3248 7851 3906 8213 conicto +4565 8576 5480 8576 conicto +6998 8576 7947 7368 conicto +8896 6160 8896 4192 conicto +8896 2224 7947 1016 conicto +6998 -192 5480 -192 conicto +4565 -192 3906 170 conicto +3248 533 2816 1280 conicto +7424 4192 moveto +7424 5704 6809 6564 conicto +6195 7424 5120 7424 conicto +4045 7424 3430 6564 conicto +2816 5704 2816 4192 conicto +2816 2680 3430 1820 conicto +4045 960 5120 960 conicto +6195 960 6809 1820 conicto +7424 2680 7424 4192 conicto +end_ol grestore +gsave 79.347373 29.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 80.144125 29.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 80.788517 29.703750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 82.207188 29.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 83.448522 29.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 84.734819 29.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 85.531570 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 86.320831 29.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 87.562165 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 88.126637 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 88.691109 29.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 89.939942 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 103.500000 26.000000 m 103.500000 32.000000 l 126.500000 32.000000 l 126.500000 26.000000 l f +n 103.500000 27.500000 m 103.500000 27.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 27.500000 m 126.500000 27.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 102.000000 27.500000 m 102.000000 30.500000 l 128.000000 30.500000 l 128.000000 27.500000 l f +n 103.500000 30.500000 m 103.500000 30.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 30.500000 m 126.500000 30.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 103.500000 26.000000 m 126.500000 26.000000 l s +n 103.500000 32.000000 m 126.500000 32.000000 l s +n 103.500000 27.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 27.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 102.000000 27.500000 m 102.000000 30.500000 l s +n 128.000000 27.500000 m 128.000000 30.500000 l s +n 103.500000 30.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 30.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 106.792500 29.703750 translate 0.035278 -0.035278 scale +start_ol +5248 9713 moveto +3197 4160 lineto +7306 4160 lineto +5248 9713 lineto +4395 11200 moveto +6109 11200 lineto +10368 0 lineto +8796 0 lineto +7778 2880 lineto +2740 2880 lineto +1722 0 lineto +128 0 lineto +4395 11200 lineto +end_ol grestore +gsave 108.181199 29.703750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 109.467495 29.703750 translate 0.035278 -0.035278 scale +start_ol +6912 7104 moveto +6912 11648 lineto +8320 11648 lineto +8320 0 lineto +6912 0 lineto +6912 1280 lineto +6480 533 5821 170 conicto +5163 -192 4240 -192 conicto +2730 -192 1781 1016 conicto +832 2224 832 4192 conicto +832 6160 1781 7368 conicto +2730 8576 4240 8576 conicto +5163 8576 5821 8213 conicto +6480 7851 6912 7104 conicto +2304 4192 moveto +2304 2680 2917 1820 conicto +3531 960 4604 960 conicto +5677 960 6294 1820 conicto +6912 2680 6912 4192 conicto +6912 5704 6294 6564 conicto +5677 7424 4604 7424 conicto +3531 7424 2917 6564 conicto +2304 5704 2304 4192 conicto +end_ol grestore +gsave 110.756289 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 111.320761 29.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 112.562095 29.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 113.206488 29.703750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 114.625159 29.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 115.866493 29.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 117.152790 29.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 117.949541 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 118.738801 29.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 119.980135 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 120.544607 29.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 121.109079 29.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 122.357913 29.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 103.500000 34.000000 m 103.500000 40.000000 l 126.500000 40.000000 l 126.500000 34.000000 l f +n 103.500000 35.500000 m 103.500000 35.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 35.500000 m 126.500000 35.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 102.000000 35.500000 m 102.000000 38.500000 l 128.000000 38.500000 l 128.000000 35.500000 l f +n 103.500000 38.500000 m 103.500000 38.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 38.500000 m 126.500000 38.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 103.500000 34.000000 m 126.500000 34.000000 l s +n 103.500000 40.000000 m 126.500000 40.000000 l s +n 103.500000 35.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 35.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 102.000000 35.500000 m 102.000000 38.500000 l s +n 128.000000 35.500000 m 128.000000 38.500000 l s +n 103.500000 38.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 38.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 104.636250 37.703750 translate 0.035278 -0.035278 scale +start_ol +9088 1595 moveto +9088 4608 lineto +6592 4608 lineto +6592 5824 lineto +10624 5824 lineto +10624 1039 lineto +9745 431 8686 119 conicto +7628 -192 6426 -192 conicto +3798 -192 2315 1337 conicto +832 2867 832 5596 conicto +832 8333 2311 9862 conicto +3790 11392 6410 11392 conicto +7504 11392 8488 11116 conicto +9473 10840 10304 10304 conicto +10304 8704 lineto +9466 9436 8523 9806 conicto +7580 10176 6540 10176 conicto +4490 10176 3461 9025 conicto +2432 7875 2432 5596 conicto +2432 3325 3459 2174 conicto +4486 1024 6533 1024 conicto +7332 1024 7959 1163 conicto +8587 1302 9088 1595 conicto +end_ol grestore +gsave 106.209777 37.703750 translate 0.035278 -0.035278 scale +start_ol +3008 9984 moveto +3008 5696 lineto +4903 5696 lineto +5955 5696 6529 6254 conicto +7104 6812 7104 7844 conicto +7104 8868 6529 9426 conicto +5955 9984 4903 9984 conicto +3008 9984 lineto +1472 11200 moveto +4903 11200 lineto +6781 11200 7742 10346 conicto +8704 9492 8704 7844 conicto +8704 6181 7742 5330 conicto +6781 4480 4903 4480 conicto +3008 4480 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 107.433632 37.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 108.033070 37.703750 translate 0.035278 -0.035278 scale +start_ol +6023 10176 moveto +4374 10176 3403 8946 conicto +2432 7717 2432 5596 conicto +2432 3483 3403 2253 conicto +4374 1024 6023 1024 conicto +7673 1024 8636 2253 conicto +9600 3483 9600 5596 conicto +9600 7717 8636 8946 conicto +7673 10176 6023 10176 conicto +6023 11392 moveto +8379 11392 9789 9818 conicto +11200 8244 11200 5596 conicto +11200 2956 9789 1382 conicto +8379 -192 6023 -192 conicto +3660 -192 2246 1378 conicto +832 2949 832 5596 conicto +832 8244 2246 9818 conicto +3660 11392 6023 11392 conicto +end_ol grestore +gsave 109.631575 37.703750 translate 0.035278 -0.035278 scale +start_ol +1792 1920 moveto +3392 1920 lineto +3392 622 lineto +2112 -1792 lineto +1152 -1792 lineto +1792 622 lineto +1792 1920 lineto +end_ol grestore +gsave 110.275968 37.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 110.920360 37.703750 translate 0.035278 -0.035278 scale +start_ol +1856 1280 moveto +4352 1280 lineto +4352 9792 lineto +1664 9280 lineto +1664 10688 lineto +4362 11200 lineto +5888 11200 lineto +5888 1280 lineto +8384 1280 lineto +8384 0 lineto +1856 0 lineto +1856 1280 lineto +end_ol grestore +gsave 112.211651 37.703750 translate 0.035278 -0.035278 scale +start_ol +5024 6144 moveto +4006 6144 3411 5460 conicto +2816 4776 2816 3584 conicto +2816 2400 3411 1712 conicto +4006 1024 5024 1024 conicto +6042 1024 6637 1712 conicto +7232 2400 7232 3584 conicto +7232 4776 6637 5460 conicto +6042 6144 5024 6144 conicto +8064 10944 moveto +8064 9536 lineto +7493 9816 6911 9964 conicto +6329 10112 5759 10112 conicto +4257 10112 3465 9089 conicto +2673 8066 2560 5997 conicto +3001 6656 3667 7008 conicto +4333 7360 5133 7360 conicto +6816 7360 7792 6345 conicto +8768 5331 8768 3584 conicto +8768 1874 7746 841 conicto +6725 -192 5027 -192 conicto +3082 -192 2053 1292 conicto +1024 2777 1024 5596 conicto +1024 8244 2290 9818 conicto +3557 11392 5690 11392 conicto +6263 11392 6847 11280 conicto +7431 11168 8064 10944 conicto +end_ol grestore +gsave 113.502942 37.703750 translate 0.035278 -0.035278 scale +start_ol +8448 8384 moveto +5397 4304 lineto +8576 0 lineto +6953 0 lineto +4516 3294 lineto +2074 0 lineto +448 0 lineto +3702 4387 lineto +704 8384 lineto +2339 8384 lineto +4576 5397 lineto +6813 8384 lineto +8448 8384 lineto +end_ol grestore +gsave 114.704316 37.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 115.303754 37.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 116.590051 37.703750 translate 0.035278 -0.035278 scale +start_ol +1792 1920 moveto +3392 1920 lineto +3392 622 lineto +2112 -1792 lineto +1152 -1792 lineto +1792 622 lineto +1792 1920 lineto +end_ol grestore +gsave 117.234443 37.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 117.878836 37.703750 translate 0.035278 -0.035278 scale +start_ol +1856 1280 moveto +4352 1280 lineto +4352 9792 lineto +1664 9280 lineto +1664 10688 lineto +4362 11200 lineto +5888 11200 lineto +5888 1280 lineto +8384 1280 lineto +8384 0 lineto +1856 0 lineto +1856 1280 lineto +end_ol grestore +gsave 119.170127 37.703750 translate 0.035278 -0.035278 scale +start_ol +5024 6144 moveto +4006 6144 3411 5460 conicto +2816 4776 2816 3584 conicto +2816 2400 3411 1712 conicto +4006 1024 5024 1024 conicto +6042 1024 6637 1712 conicto +7232 2400 7232 3584 conicto +7232 4776 6637 5460 conicto +6042 6144 5024 6144 conicto +8064 10944 moveto +8064 9536 lineto +7493 9816 6911 9964 conicto +6329 10112 5759 10112 conicto +4257 10112 3465 9089 conicto +2673 8066 2560 5997 conicto +3001 6656 3667 7008 conicto +4333 7360 5133 7360 conicto +6816 7360 7792 6345 conicto +8768 5331 8768 3584 conicto +8768 1874 7746 841 conicto +6725 -192 5027 -192 conicto +3082 -192 2053 1292 conicto +1024 2777 1024 5596 conicto +1024 8244 2290 9818 conicto +3557 11392 5690 11392 conicto +6263 11392 6847 11280 conicto +7431 11168 8064 10944 conicto +end_ol grestore +gsave 120.461417 37.703750 translate 0.035278 -0.035278 scale +start_ol +8448 8384 moveto +5397 4304 lineto +8576 0 lineto +6953 0 lineto +4516 3294 lineto +2074 0 lineto +448 0 lineto +3702 4387 lineto +704 8384 lineto +2339 8384 lineto +4576 5397 lineto +6813 8384 lineto +8448 8384 lineto +end_ol grestore +gsave 121.662791 37.703750 translate 0.035278 -0.035278 scale +start_ol +6023 10176 moveto +4374 10176 3403 8946 conicto +2432 7717 2432 5596 conicto +2432 3483 3403 2253 conicto +4374 1024 6023 1024 conicto +7673 1024 8636 2253 conicto +9600 3483 9600 5596 conicto +9600 7717 8636 8946 conicto +7673 10176 6023 10176 conicto +6023 11392 moveto +8379 11392 9789 9818 conicto +11200 8244 11200 5596 conicto +11200 2956 9789 1382 conicto +8379 -192 6023 -192 conicto +3660 -192 2246 1378 conicto +832 2949 832 5596 conicto +832 8244 2246 9818 conicto +3660 11392 6023 11392 conicto +end_ol grestore +gsave 123.261296 37.703750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 124.547593 37.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 103.500000 18.000000 m 103.500000 24.000000 l 126.500000 24.000000 l 126.500000 18.000000 l f +n 103.500000 19.500000 m 103.500000 19.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 19.500000 m 126.500000 19.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 102.000000 19.500000 m 102.000000 22.500000 l 128.000000 22.500000 l 128.000000 19.500000 l f +n 103.500000 22.500000 m 103.500000 22.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 22.500000 m 126.500000 22.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 103.500000 18.000000 m 126.500000 18.000000 l s +n 103.500000 24.000000 m 126.500000 24.000000 l s +n 103.500000 19.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 19.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 102.000000 19.500000 m 102.000000 22.500000 l s +n 128.000000 19.500000 m 128.000000 22.500000 l s +n 103.500000 22.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 22.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 104.235000 20.433750 translate 0.035278 -0.035278 scale +start_ol +1856 1280 moveto +4352 1280 lineto +4352 9792 lineto +1664 9280 lineto +1664 10688 lineto +4362 11200 lineto +5888 11200 lineto +5888 1280 lineto +8384 1280 lineto +8384 0 lineto +1856 0 lineto +1856 1280 lineto +end_ol grestore +gsave 105.526291 20.433750 translate 0.035278 -0.035278 scale +start_ol +5024 6144 moveto +4006 6144 3411 5460 conicto +2816 4776 2816 3584 conicto +2816 2400 3411 1712 conicto +4006 1024 5024 1024 conicto +6042 1024 6637 1712 conicto +7232 2400 7232 3584 conicto +7232 4776 6637 5460 conicto +6042 6144 5024 6144 conicto +8064 10944 moveto +8064 9536 lineto +7493 9816 6911 9964 conicto +6329 10112 5759 10112 conicto +4257 10112 3465 9089 conicto +2673 8066 2560 5997 conicto +3001 6656 3667 7008 conicto +4333 7360 5133 7360 conicto +6816 7360 7792 6345 conicto +8768 5331 8768 3584 conicto +8768 1874 7746 841 conicto +6725 -192 5027 -192 conicto +3082 -192 2053 1292 conicto +1024 2777 1024 5596 conicto +1024 8244 2290 9818 conicto +3557 11392 5690 11392 conicto +6263 11392 6847 11280 conicto +7431 11168 8064 10944 conicto +end_ol grestore +gsave 106.817581 20.433750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 107.461974 20.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3782 11200 lineto +6588 3598 lineto +9410 11200 lineto +11712 11200 lineto +11712 0 lineto +10176 0 lineto +10176 9838 lineto +7340 2176 lineto +5844 2176 lineto +3008 9838 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 109.212838 20.433750 translate 0.035278 -0.035278 scale +start_ol +3008 5376 moveto +3008 1216 lineto +5425 1216 lineto +6639 1216 7223 1729 conicto +7808 2243 7808 3300 conicto +7808 4365 7223 4870 conicto +6639 5376 5425 5376 conicto +3008 5376 lineto +3008 9984 moveto +3008 6592 lineto +5238 6592 lineto +6342 6592 6883 7010 conicto +7424 7429 7424 8288 conicto +7424 9140 6883 9562 conicto +6342 9984 5238 9984 conicto +3008 9984 lineto +1472 11200 moveto +5350 11200 lineto +7084 11200 8022 10482 conicto +8960 9765 8960 8442 conicto +8960 7418 8477 6812 conicto +7994 6207 7058 6058 conicto +8173 5817 8790 5053 conicto +9408 4290 9408 3146 conicto +9408 1641 8391 820 conicto +7375 0 5499 0 conicto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 110.606530 20.433750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 111.250923 20.433750 translate 0.035278 -0.035278 scale +start_ol +6023 10176 moveto +4374 10176 3403 8946 conicto +2432 7717 2432 5596 conicto +2432 3483 3403 2253 conicto +4374 1024 6023 1024 conicto +7673 1024 8636 2253 conicto +9600 3483 9600 5596 conicto +9600 7717 8636 8946 conicto +7673 10176 6023 10176 conicto +8145 223 moveto +10141 -1984 lineto +8311 -1984 lineto +6653 -166 lineto +6405 -179 6274 -185 conicto +6143 -192 6023 -192 conicto +3660 -192 2246 1382 conicto +832 2956 832 5596 conicto +832 8244 2246 9818 conicto +3660 11392 6023 11392 conicto +8379 11392 9789 9817 conicto +11200 8243 11200 5594 conicto +11200 3649 10415 2265 conicto +9631 881 8145 223 conicto +end_ol grestore +gsave 112.849428 20.433750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 114.135725 20.433750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 115.379556 20.433750 translate 0.035278 -0.035278 scale +start_ol +6912 7104 moveto +6912 11648 lineto +8320 11648 lineto +8320 0 lineto +6912 0 lineto +6912 1280 lineto +6480 533 5821 170 conicto +5163 -192 4240 -192 conicto +2730 -192 1781 1016 conicto +832 2224 832 4192 conicto +832 6160 1781 7368 conicto +2730 8576 4240 8576 conicto +5163 8576 5821 8213 conicto +6480 7851 6912 7104 conicto +2304 4192 moveto +2304 2680 2917 1820 conicto +3531 960 4604 960 conicto +5677 960 6294 1820 conicto +6912 2680 6912 4192 conicto +6912 5704 6294 6564 conicto +5677 7424 4604 7424 conicto +3531 7424 2917 6564 conicto +2304 5704 2304 4192 conicto +end_ol grestore +gsave 116.668350 20.433750 translate 0.035278 -0.035278 scale +start_ol +8192 10816 moveto +8192 9344 lineto +7347 9764 6598 9970 conicto +5849 10176 5151 10176 conicto +3939 10176 3281 9694 conicto +2624 9212 2624 8324 conicto +2624 7579 3060 7198 conicto +3497 6818 4714 6585 conicto +5609 6397 lineto +7293 6076 8094 5266 conicto +8896 4457 8896 3099 conicto +8896 1480 7809 644 conicto +6722 -192 4622 -192 conicto +3830 -192 2937 -14 conicto +2044 164 1088 512 conicto +1088 2048 lineto +2004 1540 2882 1282 conicto +3760 1024 4609 1024 conicto +5897 1024 6596 1531 conicto +7296 2039 7296 2979 conicto +7296 3800 6801 4263 conicto +6306 4726 5176 4957 conicto +4274 5137 lineto +2558 5475 1791 6195 conicto +1024 6915 1024 8197 conicto +1024 9682 2064 10537 conicto +3105 11392 4932 11392 conicto +5716 11392 6529 11248 conicto +7342 11104 8192 10816 conicto +end_ol grestore +gsave 117.957143 20.433750 translate 0.035278 -0.035278 scale +start_ol +3008 9984 moveto +3008 5696 lineto +4903 5696 lineto +5955 5696 6529 6254 conicto +7104 6812 7104 7844 conicto +7104 8868 6529 9426 conicto +5955 9984 4903 9984 conicto +3008 9984 lineto +1472 11200 moveto +4903 11200 lineto +6781 11200 7742 10346 conicto +8704 9492 8704 7844 conicto +8704 6181 7742 5330 conicto +6781 4480 4903 4480 conicto +3008 4480 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 119.180998 20.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 119.780437 20.433750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 120.424829 20.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +7936 11200 lineto +7936 9920 lineto +3008 9920 lineto +3008 6592 lineto +7424 6592 lineto +7424 5312 lineto +3008 5312 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 121.593734 20.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 122.158206 20.433750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 123.402037 20.433750 translate 0.035278 -0.035278 scale +start_ol +6784 8128 moveto +6784 6848 lineto +6211 7136 5594 7280 conicto +4977 7424 4316 7424 conicto +3310 7424 2807 7111 conicto +2304 6799 2304 6175 conicto +2304 5699 2662 5427 conicto +3021 5156 4104 4911 conicto +4565 4806 lineto +6013 4493 6622 3922 conicto +7232 3351 7232 2329 conicto +7232 1166 6308 487 conicto +5385 -192 3770 -192 conicto +3097 -192 2368 -64 conicto +1639 64 832 320 conicto +832 1728 lineto +1595 1344 2336 1152 conicto +3077 960 3803 960 conicto +4776 960 5300 1290 conicto +5824 1621 5824 2223 conicto +5824 2781 5454 3078 conicto +5085 3375 3833 3650 conicto +3365 3762 lineto +2058 4031 1477 4587 conicto +896 5143 896 6113 conicto +896 7292 1733 7934 conicto +2570 8576 4109 8576 conicto +4871 8576 5543 8464 conicto +6216 8352 6784 8128 conicto +end_ol grestore +gsave 124.458543 20.433750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 11648 lineto +2816 11648 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 110.002500 22.973750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 111.421171 22.973750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 112.662505 22.973750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 113.948802 22.973750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 114.745553 22.973750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 115.534813 22.973750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 116.776148 22.973750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 117.340620 22.973750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 117.905092 22.973750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 119.153925 22.973750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 103.500000 42.000000 m 103.500000 48.000000 l 126.500000 48.000000 l 126.500000 42.000000 l f +n 103.500000 43.500000 m 103.500000 43.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 43.500000 m 126.500000 43.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 102.000000 43.500000 m 102.000000 46.500000 l 128.000000 46.500000 l 128.000000 43.500000 l f +n 103.500000 46.500000 m 103.500000 46.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 46.500000 m 126.500000 46.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 103.500000 42.000000 m 126.500000 42.000000 l s +n 103.500000 48.000000 m 126.500000 48.000000 l s +n 103.500000 43.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 43.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 102.000000 43.500000 m 102.000000 46.500000 l s +n 128.000000 43.500000 m 128.000000 46.500000 l s +n 103.500000 46.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 46.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 105.165000 45.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 6466 lineto +8034 11200 lineto +9984 11200 lineto +4426 5979 lineto +10368 0 lineto +8376 0 lineto +3008 5394 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 106.396346 45.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 107.645179 45.703750 translate 0.035278 -0.035278 scale +start_ol +4947 -789 moveto +4361 -2287 3805 -2743 conicto +3250 -3200 2319 -3200 conicto +1216 -3200 lineto +1216 -2048 lineto +2027 -2048 lineto +2598 -2048 2913 -1777 conicto +3228 -1506 3611 -497 conicto +3858 132 lineto +448 8384 lineto +1922 8384 lineto +4549 1827 lineto +7176 8384 lineto +8640 8384 lineto +4947 -789 lineto +end_ol grestore +gsave 108.846553 45.703750 translate 0.035278 -0.035278 scale +start_ol +2816 1280 moveto +2816 -3200 lineto +1408 -3200 lineto +1408 8384 lineto +2816 8384 lineto +2816 7104 lineto +3248 7851 3906 8213 conicto +4565 8576 5480 8576 conicto +6998 8576 7947 7368 conicto +8896 6160 8896 4192 conicto +8896 2224 7947 1016 conicto +6998 -192 5480 -192 conicto +4565 -192 3906 170 conicto +3248 533 2816 1280 conicto +7424 4192 moveto +7424 5704 6809 6564 conicto +6195 7424 5120 7424 conicto +4045 7424 3430 6564 conicto +2816 5704 2816 4192 conicto +2816 2680 3430 1820 conicto +4045 960 5120 960 conicto +6195 960 6809 1820 conicto +7424 2680 7424 4192 conicto +end_ol grestore +gsave 110.135347 45.703750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 111.379178 45.703750 translate 0.035278 -0.035278 scale +start_ol +6912 7104 moveto +6912 11648 lineto +8320 11648 lineto +8320 0 lineto +6912 0 lineto +6912 1280 lineto +6480 533 5821 170 conicto +5163 -192 4240 -192 conicto +2730 -192 1781 1016 conicto +832 2224 832 4192 conicto +832 6160 1781 7368 conicto +2730 8576 4240 8576 conicto +5163 8576 5821 8213 conicto +6480 7851 6912 7104 conicto +2304 4192 moveto +2304 2680 2917 1820 conicto +3531 960 4604 960 conicto +5677 960 6294 1820 conicto +6912 2680 6912 4192 conicto +6912 5704 6294 6564 conicto +5677 7424 4604 7424 conicto +3531 7424 2917 6564 conicto +2304 5704 2304 4192 conicto +end_ol grestore +gsave 112.667971 45.703750 translate 0.035278 -0.035278 scale +start_ol +3907 11200 moveto +5184 11200 lineto +1277 -1408 lineto +0 -1408 lineto +3907 11200 lineto +end_ol grestore +gsave 113.352325 45.703750 translate 0.035278 -0.035278 scale +start_ol +3008 5376 moveto +3008 1216 lineto +5425 1216 lineto +6639 1216 7223 1729 conicto +7808 2243 7808 3300 conicto +7808 4365 7223 4870 conicto +6639 5376 5425 5376 conicto +3008 5376 lineto +3008 9984 moveto +3008 6592 lineto +5238 6592 lineto +6342 6592 6883 7010 conicto +7424 7429 7424 8288 conicto +7424 9140 6883 9562 conicto +6342 9984 5238 9984 conicto +3008 9984 lineto +1472 11200 moveto +5350 11200 lineto +7084 11200 8022 10482 conicto +8960 9765 8960 8442 conicto +8960 7418 8477 6812 conicto +7994 6207 7058 6058 conicto +8173 5817 8790 5053 conicto +9408 4290 9408 3146 conicto +9408 1641 8391 820 conicto +7375 0 5499 0 conicto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 114.746017 45.703750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 116.032314 45.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 116.829065 45.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 117.625817 45.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 118.867151 45.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 120.153447 45.703750 translate 0.035278 -0.035278 scale +start_ol +3907 11200 moveto +5184 11200 lineto +1277 -1408 lineto +0 -1408 lineto +3907 11200 lineto +end_ol grestore +gsave 120.837800 45.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 1280 lineto +8448 1280 lineto +8448 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 121.969241 45.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +8576 11200 lineto +8576 9920 lineto +3008 9920 lineto +3008 6592 lineto +8320 6592 lineto +8320 5312 lineto +3008 5312 lineto +3008 1280 lineto +8704 1280 lineto +8704 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 123.253041 45.703750 translate 0.035278 -0.035278 scale +start_ol +3008 9984 moveto +3008 1216 lineto +4830 1216 lineto +7137 1216 8208 2273 conicto +9280 3331 9280 5611 conicto +9280 7877 8208 8930 conicto +7137 9984 4830 9984 conicto +3008 9984 lineto +1472 11200 moveto +4598 11200 lineto +7844 11200 9362 9846 conicto +10880 8492 10880 5611 conicto +10880 2716 9354 1358 conicto +7829 0 4598 0 conicto +1472 0 lineto +1472 11200 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 103.500000 50.000000 m 103.500000 56.000000 l 126.500000 56.000000 l 126.500000 50.000000 l f +n 103.500000 51.500000 m 103.500000 51.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 51.500000 m 126.500000 51.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 102.000000 51.500000 m 102.000000 54.500000 l 128.000000 54.500000 l 128.000000 51.500000 l f +n 103.500000 54.500000 m 103.500000 54.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 54.500000 m 126.500000 54.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 103.500000 50.000000 m 126.500000 50.000000 l s +n 103.500000 56.000000 m 126.500000 56.000000 l s +n 103.500000 51.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 51.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 102.000000 51.500000 m 102.000000 54.500000 l s +n 128.000000 51.500000 m 128.000000 54.500000 l s +n 103.500000 54.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 54.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 106.988750 53.703750 translate 0.035278 -0.035278 scale +start_ol +1344 11200 moveto +2880 11200 lineto +2880 4404 lineto +2880 2605 3522 1814 conicto +4164 1024 5604 1024 conicto +7036 1024 7678 1814 conicto +8320 2605 8320 4404 conicto +8320 11200 lineto +9856 11200 lineto +9856 4216 lineto +9856 2034 8780 921 conicto +7704 -192 5604 -192 conicto +3496 -192 2420 921 conicto +1344 2034 1344 4216 conicto +1344 11200 lineto +end_ol grestore +gsave 108.474857 53.703750 translate 0.035278 -0.035278 scale +start_ol +5248 9713 moveto +3197 4160 lineto +7306 4160 lineto +5248 9713 lineto +4395 11200 moveto +6109 11200 lineto +10368 0 lineto +8796 0 lineto +7778 2880 lineto +2740 2880 lineto +1722 0 lineto +128 0 lineto +4395 11200 lineto +end_ol grestore +gsave 109.863555 53.703750 translate 0.035278 -0.035278 scale +start_ol +6783 5241 moveto +7271 5077 7732 4539 conicto +8194 4002 8659 3062 conicto +10176 0 lineto +8569 0 lineto +7134 2875 lineto +6582 4001 6063 4368 conicto +5544 4736 4649 4736 conicto +3008 4736 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +4903 11200 lineto +6818 11200 7761 10396 conicto +8704 9592 8704 7969 conicto +8704 6909 8212 6210 conicto +7721 5512 6783 5241 conicto +3008 9984 moveto +3008 5952 lineto +4903 5952 lineto +5992 5952 6548 6465 conicto +7104 6979 7104 7976 conicto +7104 8972 6548 9478 conicto +5992 9984 4903 9984 conicto +3008 9984 lineto +end_ol grestore +gsave 111.127371 53.703750 translate 0.035278 -0.035278 scale +start_ol +-64 11200 moveto +9408 11200 lineto +9408 9920 lineto +5440 9920 lineto +5440 0 lineto +3904 0 lineto +3904 9920 lineto +-64 9920 lineto +-64 11200 lineto +end_ol grestore +gsave 112.366208 53.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 113.010601 53.703750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 114.429272 53.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 115.670606 53.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 116.956902 53.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 117.753654 53.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 118.542914 53.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 119.784248 53.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 120.348720 53.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 120.913192 53.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 122.162025 53.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 34.000000 m 69.500000 40.000000 l 92.500000 40.000000 l 92.500000 34.000000 l f +n 69.500000 35.500000 m 69.500000 35.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 92.500000 35.500000 m 92.500000 35.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 35.500000 m 68.000000 38.500000 l 94.000000 38.500000 l 94.000000 35.500000 l f +n 69.500000 38.500000 m 69.500000 38.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 92.500000 38.500000 m 92.500000 38.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 34.000000 m 92.500000 34.000000 l s +n 69.500000 40.000000 m 92.500000 40.000000 l s +n 69.500000 35.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 92.500000 35.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 35.500000 m 68.000000 38.500000 l s +n 94.000000 35.500000 m 94.000000 38.500000 l s +n 69.500000 38.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 92.500000 38.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 42.000000 m 69.500000 48.000000 l 92.500000 48.000000 l 92.500000 42.000000 l f +n 69.500000 43.500000 m 69.500000 43.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 92.500000 43.500000 m 92.500000 43.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 43.500000 m 68.000000 46.500000 l 94.000000 46.500000 l 94.000000 43.500000 l f +n 69.500000 46.500000 m 69.500000 46.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 92.500000 46.500000 m 92.500000 46.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 42.000000 m 92.500000 42.000000 l s +n 69.500000 48.000000 m 92.500000 48.000000 l s +n 69.500000 43.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 92.500000 43.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 43.500000 m 68.000000 46.500000 l s +n 94.000000 43.500000 m 94.000000 46.500000 l s +n 69.500000 46.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 92.500000 46.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 50.000000 m 69.500000 56.000000 l 92.500000 56.000000 l 92.500000 50.000000 l f +n 69.500000 51.500000 m 69.500000 51.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 92.500000 51.500000 m 92.500000 51.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 51.500000 m 68.000000 54.500000 l 94.000000 54.500000 l 94.000000 51.500000 l f +n 69.500000 54.500000 m 69.500000 54.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 92.500000 54.500000 m 92.500000 54.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 50.000000 m 92.500000 50.000000 l s +n 69.500000 56.000000 m 92.500000 56.000000 l s +n 69.500000 51.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 92.500000 51.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 51.500000 m 68.000000 54.500000 l s +n 94.000000 51.500000 m 94.000000 54.500000 l s +n 69.500000 54.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 92.500000 54.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 72.468750 53.703750 translate 0.035278 -0.035278 scale +start_ol +1856 1280 moveto +4352 1280 lineto +4352 9792 lineto +1664 9280 lineto +1664 10688 lineto +4362 11200 lineto +5888 11200 lineto +5888 1280 lineto +8384 1280 lineto +8384 0 lineto +1856 0 lineto +1856 1280 lineto +end_ol grestore +gsave 73.760041 53.703750 translate 0.035278 -0.035278 scale +start_ol +5024 6144 moveto +4006 6144 3411 5460 conicto +2816 4776 2816 3584 conicto +2816 2400 3411 1712 conicto +4006 1024 5024 1024 conicto +6042 1024 6637 1712 conicto +7232 2400 7232 3584 conicto +7232 4776 6637 5460 conicto +6042 6144 5024 6144 conicto +8064 10944 moveto +8064 9536 lineto +7493 9816 6911 9964 conicto +6329 10112 5759 10112 conicto +4257 10112 3465 9089 conicto +2673 8066 2560 5997 conicto +3001 6656 3667 7008 conicto +4333 7360 5133 7360 conicto +6816 7360 7792 6345 conicto +8768 5331 8768 3584 conicto +8768 1874 7746 841 conicto +6725 -192 5027 -192 conicto +3082 -192 2053 1292 conicto +1024 2777 1024 5596 conicto +1024 8244 2290 9818 conicto +3557 11392 5690 11392 conicto +6263 11392 6847 11280 conicto +7431 11168 8064 10944 conicto +end_ol grestore +gsave 75.051331 53.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 75.695724 53.703750 translate 0.035278 -0.035278 scale +start_ol +1408 11648 moveto +2816 11648 lineto +2816 4768 lineto +6894 8384 lineto +8640 8384 lineto +4227 4461 lineto +8832 0 lineto +7047 0 lineto +2816 4095 lineto +2816 0 lineto +1408 0 lineto +1408 11648 lineto +end_ol grestore +gsave 76.872119 53.703750 translate 0.035278 -0.035278 scale +start_ol +3008 5376 moveto +3008 1216 lineto +5425 1216 lineto +6639 1216 7223 1729 conicto +7808 2243 7808 3300 conicto +7808 4365 7223 4870 conicto +6639 5376 5425 5376 conicto +3008 5376 lineto +3008 9984 moveto +3008 6592 lineto +5238 6592 lineto +6342 6592 6883 7010 conicto +7424 7429 7424 8288 conicto +7424 9140 6883 9562 conicto +6342 9984 5238 9984 conicto +3008 9984 lineto +1472 11200 moveto +5350 11200 lineto +7084 11200 8022 10482 conicto +8960 9765 8960 8442 conicto +8960 7418 8477 6812 conicto +7994 6207 7058 6058 conicto +8173 5817 8790 5053 conicto +9408 4290 9408 3146 conicto +9408 1641 8391 820 conicto +7375 0 5499 0 conicto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 78.265812 53.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 78.910205 53.703750 translate 0.035278 -0.035278 scale +start_ol +3008 5376 moveto +3008 1216 lineto +5425 1216 lineto +6639 1216 7223 1729 conicto +7808 2243 7808 3300 conicto +7808 4365 7223 4870 conicto +6639 5376 5425 5376 conicto +3008 5376 lineto +3008 9984 moveto +3008 6592 lineto +5238 6592 lineto +6342 6592 6883 7010 conicto +7424 7429 7424 8288 conicto +7424 9140 6883 9562 conicto +6342 9984 5238 9984 conicto +3008 9984 lineto +1472 11200 moveto +5350 11200 lineto +7084 11200 8022 10482 conicto +8960 9765 8960 8442 conicto +8960 7418 8477 6812 conicto +7994 6207 7058 6058 conicto +8173 5817 8790 5053 conicto +9408 4290 9408 3146 conicto +9408 1641 8391 820 conicto +7375 0 5499 0 conicto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 80.303898 53.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 80.868370 53.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 82.109704 53.703750 translate 0.035278 -0.035278 scale +start_ol +7488 8064 moveto +7488 6784 lineto +6904 7104 6316 7264 conicto +5728 7424 5128 7424 conicto +3787 7424 3045 6575 conicto +2304 5726 2304 4192 conicto +2304 2658 3045 1809 conicto +3787 960 5128 960 conicto +5728 960 6316 1120 conicto +6904 1280 7488 1600 conicto +7488 320 lineto +6910 64 6290 -64 conicto +5670 -192 4971 -192 conicto +3071 -192 1951 993 conicto +832 2179 832 4192 conicto +832 6235 1962 7405 conicto +3093 8576 5061 8576 conicto +5700 8576 6308 8448 conicto +6917 8320 7488 8064 conicto +end_ol grestore +gsave 83.226154 53.703750 translate 0.035278 -0.035278 scale +start_ol +1408 11648 moveto +2816 11648 lineto +2816 4768 lineto +6894 8384 lineto +8640 8384 lineto +4227 4461 lineto +8832 0 lineto +7047 0 lineto +2816 4095 lineto +2816 0 lineto +1408 0 lineto +1408 11648 lineto +end_ol grestore +gsave 84.402550 53.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 85.046942 53.703750 translate 0.035278 -0.035278 scale +start_ol +6783 5241 moveto +7271 5077 7732 4539 conicto +8194 4002 8659 3062 conicto +10176 0 lineto +8569 0 lineto +7134 2875 lineto +6582 4001 6063 4368 conicto +5544 4736 4649 4736 conicto +3008 4736 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +4903 11200 lineto +6818 11200 7761 10396 conicto +8704 9592 8704 7969 conicto +8704 6909 8212 6210 conicto +7721 5512 6783 5241 conicto +3008 9984 moveto +3008 5952 lineto +4903 5952 lineto +5992 5952 6548 6465 conicto +7104 6979 7104 7976 conicto +7104 8972 6548 9478 conicto +5992 9984 4903 9984 conicto +3008 9984 lineto +end_ol grestore +gsave 86.375696 53.703750 translate 0.035278 -0.035278 scale +start_ol +5248 9713 moveto +3197 4160 lineto +7306 4160 lineto +5248 9713 lineto +4395 11200 moveto +6109 11200 lineto +10368 0 lineto +8796 0 lineto +7778 2880 lineto +2740 2880 lineto +1722 0 lineto +128 0 lineto +4395 11200 lineto +end_ol grestore +gsave 87.764395 53.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3782 11200 lineto +6588 3598 lineto +9410 11200 lineto +11712 11200 lineto +11712 0 lineto +10176 0 lineto +10176 9838 lineto +7340 2176 lineto +5844 2176 lineto +3008 9838 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 73.666250 45.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 74.265688 45.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 75.551985 45.703750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 76.348736 45.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 77.597570 45.703750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 78.396818 45.703750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 79.683115 45.703750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 80.926946 45.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 81.491418 45.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 82.135811 45.703750 translate 0.035278 -0.035278 scale +start_ol +8192 10816 moveto +8192 9344 lineto +7347 9764 6598 9970 conicto +5849 10176 5151 10176 conicto +3939 10176 3281 9694 conicto +2624 9212 2624 8324 conicto +2624 7579 3060 7198 conicto +3497 6818 4714 6585 conicto +5609 6397 lineto +7293 6076 8094 5266 conicto +8896 4457 8896 3099 conicto +8896 1480 7809 644 conicto +6722 -192 4622 -192 conicto +3830 -192 2937 -14 conicto +2044 164 1088 512 conicto +1088 2048 lineto +2004 1540 2882 1282 conicto +3760 1024 4609 1024 conicto +5897 1024 6596 1531 conicto +7296 2039 7296 2979 conicto +7296 3800 6801 4263 conicto +6306 4726 5176 4957 conicto +4274 5137 lineto +2558 5475 1791 6195 conicto +1024 6915 1024 8197 conicto +1024 9682 2064 10537 conicto +3105 11392 4932 11392 conicto +5716 11392 6529 11248 conicto +7342 11104 8192 10816 conicto +end_ol grestore +gsave 83.424604 45.703750 translate 0.035278 -0.035278 scale +start_ol +7488 8064 moveto +7488 6784 lineto +6904 7104 6316 7264 conicto +5728 7424 5128 7424 conicto +3787 7424 3045 6575 conicto +2304 5726 2304 4192 conicto +2304 2658 3045 1809 conicto +3787 960 5128 960 conicto +5728 960 6316 1120 conicto +6904 1280 7488 1600 conicto +7488 320 lineto +6910 64 6290 -64 conicto +5670 -192 4971 -192 conicto +3071 -192 1951 993 conicto +832 2179 832 4192 conicto +832 6235 1962 7405 conicto +3093 8576 5061 8576 conicto +5700 8576 6308 8448 conicto +6917 8320 7488 8064 conicto +end_ol grestore +gsave 84.541055 45.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 85.782389 45.703750 translate 0.035278 -0.035278 scale +start_ol +2816 1280 moveto +2816 -3200 lineto +1408 -3200 lineto +1408 8384 lineto +2816 8384 lineto +2816 7104 lineto +3248 7851 3906 8213 conicto +4565 8576 5480 8576 conicto +6998 8576 7947 7368 conicto +8896 6160 8896 4192 conicto +8896 2224 7947 1016 conicto +6998 -192 5480 -192 conicto +4565 -192 3906 170 conicto +3248 533 2816 1280 conicto +7424 4192 moveto +7424 5704 6809 6564 conicto +6195 7424 5120 7424 conicto +4045 7424 3430 6564 conicto +2816 5704 2816 4192 conicto +2816 2680 3430 1820 conicto +4045 960 5120 960 conicto +6195 960 6809 1820 conicto +7424 2680 7424 4192 conicto +end_ol grestore +gsave 87.071183 45.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 69.871250 36.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11200 moveto +3008 11200 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 70.470688 36.433750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 71.756985 36.433750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 72.553736 36.433750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 73.802570 36.433750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 74.601818 36.433750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 75.888115 36.433750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 77.131946 36.433750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 77.696418 36.433750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 78.340811 36.433750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 79.759482 36.433750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 81.000816 36.433750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 82.287112 36.433750 translate 0.035278 -0.035278 scale +start_ol +8256 8384 moveto +8256 0 lineto +6848 0 lineto +6848 7296 lineto +3072 7296 lineto +3072 0 lineto +1664 0 lineto +1664 7296 lineto +320 7296 lineto +320 8384 lineto +1664 8384 lineto +1664 8968 lineto +1664 10338 2313 10993 conicto +2963 11648 4307 11648 conicto +5696 11648 lineto +5696 10496 lineto +4384 10496 lineto +3646 10496 3359 10197 conicto +3072 9899 3072 9123 conicto +3072 8384 lineto +8256 8384 lineto +6848 11648 moveto +8256 11648 lineto +8256 9920 lineto +6848 9920 lineto +6848 11648 lineto +end_ol grestore +gsave 83.565910 36.433750 translate 0.035278 -0.035278 scale +start_ol +6912 4284 moveto +6912 5779 6305 6601 conicto +5699 7424 4604 7424 conicto +3517 7424 2910 6601 conicto +2304 5779 2304 4284 conicto +2304 2797 2910 1974 conicto +3517 1152 4604 1152 conicto +5699 1152 6305 1974 conicto +6912 2797 6912 4284 conicto +8320 1040 moveto +8320 -1106 7372 -2153 conicto +6424 -3200 4468 -3200 conicto +3744 -3200 3102 -3090 conicto +2461 -2980 1856 -2752 conicto +1856 -1408 lineto +2456 -1735 3042 -1891 conicto +3628 -2048 4236 -2048 conicto +5578 -2048 6245 -1341 conicto +6912 -635 6912 793 conicto +6912 1472 lineto +6488 732 5825 366 conicto +5163 0 4240 0 conicto +2707 0 1769 1174 conicto +832 2348 832 4284 conicto +832 6228 1769 7402 conicto +2707 8576 4240 8576 conicto +5163 8576 5825 8210 conicto +6488 7844 6912 7104 conicto +6912 8384 lineto +8320 8384 lineto +8320 1040 lineto +end_ol grestore +gsave 84.854703 36.433750 translate 0.035278 -0.035278 scale +start_ol +1280 3349 moveto +1280 8384 lineto +2688 8384 lineto +2688 3401 lineto +2688 2212 3147 1618 conicto +3607 1024 4526 1024 conicto +5630 1024 6271 1733 conicto +6912 2443 6912 3668 conicto +6912 8384 lineto +8320 8384 lineto +8320 0 lineto +6912 0 lineto +6912 1344 lineto +6412 565 5750 186 conicto +5089 -192 4216 -192 conicto +2774 -192 2027 710 conicto +1280 1612 1280 3349 conicto +4755 8576 moveto +4755 8576 lineto +end_ol grestore +gsave 86.141000 36.433750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 86.975215 36.433750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 88.219046 36.433750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +gsave 89.015797 36.433750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 89.580269 36.433750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 90.821603 36.433750 translate 0.035278 -0.035278 scale +start_ol +8448 5031 moveto +8448 0 lineto +7040 0 lineto +7040 4986 lineto +7040 6177 6579 6768 conicto +6119 7360 5199 7360 conicto +4093 7360 3454 6649 conicto +2816 5938 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3310 7812 3979 8194 conicto +4648 8576 5524 8576 conicto +6967 8576 7707 7676 conicto +8448 6777 8448 5031 conicto +end_ol grestore +gsave 75.186250 38.973750 translate 0.035278 -0.035278 scale +start_ol +5248 9713 moveto +3197 4160 lineto +7306 4160 lineto +5248 9713 lineto +4395 11200 moveto +6109 11200 lineto +10368 0 lineto +8796 0 lineto +7778 2880 lineto +2740 2880 lineto +1722 0 lineto +128 0 lineto +4395 11200 lineto +end_ol grestore +gsave 76.539982 38.973750 translate 0.035278 -0.035278 scale +start_ol +7488 8064 moveto +7488 6784 lineto +6904 7104 6316 7264 conicto +5728 7424 5128 7424 conicto +3787 7424 3045 6575 conicto +2304 5726 2304 4192 conicto +2304 2658 3045 1809 conicto +3787 960 5128 960 conicto +5728 960 6316 1120 conicto +6904 1280 7488 1600 conicto +7488 320 lineto +6910 64 6290 -64 conicto +5670 -192 4971 -192 conicto +3071 -192 1951 993 conicto +832 2179 832 4192 conicto +832 6235 1962 7405 conicto +3093 8576 5061 8576 conicto +5700 8576 6308 8448 conicto +6917 8320 7488 8064 conicto +end_ol grestore +gsave 77.656433 38.973750 translate 0.035278 -0.035278 scale +start_ol +7488 8064 moveto +7488 6784 lineto +6904 7104 6316 7264 conicto +5728 7424 5128 7424 conicto +3787 7424 3045 6575 conicto +2304 5726 2304 4192 conicto +2304 2658 3045 1809 conicto +3787 960 5128 960 conicto +5728 960 6316 1120 conicto +6904 1280 7488 1600 conicto +7488 320 lineto +6910 64 6290 -64 conicto +5670 -192 4971 -192 conicto +3071 -192 1951 993 conicto +832 2179 832 4192 conicto +832 6235 1962 7405 conicto +3093 8576 5061 8576 conicto +5700 8576 6308 8448 conicto +6917 8320 7488 8064 conicto +end_ol grestore +gsave 78.772884 38.973750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 80.021717 38.973750 translate 0.035278 -0.035278 scale +start_ol +6784 8128 moveto +6784 6848 lineto +6211 7136 5594 7280 conicto +4977 7424 4316 7424 conicto +3310 7424 2807 7111 conicto +2304 6799 2304 6175 conicto +2304 5699 2662 5427 conicto +3021 5156 4104 4911 conicto +4565 4806 lineto +6013 4493 6622 3922 conicto +7232 3351 7232 2329 conicto +7232 1166 6308 487 conicto +5385 -192 3770 -192 conicto +3097 -192 2368 -64 conicto +1639 64 832 320 conicto +832 1728 lineto +1595 1344 2336 1152 conicto +3077 960 3803 960 conicto +4776 960 5300 1290 conicto +5824 1621 5824 2223 conicto +5824 2781 5454 3078 conicto +5085 3375 3833 3650 conicto +3365 3762 lineto +2058 4031 1477 4587 conicto +896 5143 896 6113 conicto +896 7292 1733 7934 conicto +2570 8576 4109 8576 conicto +4871 8576 5543 8464 conicto +6216 8352 6784 8128 conicto +end_ol grestore +gsave 81.078223 38.973750 translate 0.035278 -0.035278 scale +start_ol +6784 8128 moveto +6784 6848 lineto +6211 7136 5594 7280 conicto +4977 7424 4316 7424 conicto +3310 7424 2807 7111 conicto +2304 6799 2304 6175 conicto +2304 5699 2662 5427 conicto +3021 5156 4104 4911 conicto +4565 4806 lineto +6013 4493 6622 3922 conicto +7232 3351 7232 2329 conicto +7232 1166 6308 487 conicto +5385 -192 3770 -192 conicto +3097 -192 2368 -64 conicto +1639 64 832 320 conicto +832 1728 lineto +1595 1344 2336 1152 conicto +3077 960 3803 960 conicto +4776 960 5300 1290 conicto +5824 1621 5824 2223 conicto +5824 2781 5454 3078 conicto +5085 3375 3833 3650 conicto +3365 3762 lineto +2058 4031 1477 4587 conicto +896 5143 896 6113 conicto +896 7292 1733 7934 conicto +2570 8576 4109 8576 conicto +4871 8576 5543 8464 conicto +6216 8352 6784 8128 conicto +end_ol grestore +gsave 82.134729 38.973750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 82.779122 38.973750 translate 0.035278 -0.035278 scale +start_ol +3008 9984 moveto +3008 5696 lineto +4903 5696 lineto +5955 5696 6529 6254 conicto +7104 6812 7104 7844 conicto +7104 8868 6529 9426 conicto +5955 9984 4903 9984 conicto +3008 9984 lineto +1472 11200 moveto +4903 11200 lineto +6781 11200 7742 10346 conicto +8704 9492 8704 7844 conicto +8704 6181 7742 5330 conicto +6781 4480 4903 4480 conicto +3008 4480 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +end_ol grestore +gsave 83.930539 38.973750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 85.171873 38.973750 translate 0.035278 -0.035278 scale +start_ol +6336 7104 moveto +6104 7236 5831 7298 conicto +5559 7360 5230 7360 conicto +4064 7360 3440 6593 conicto +2816 5826 2816 4391 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3249 7819 3944 8197 conicto +4640 8576 5633 8576 conicto +5775 8576 5947 8560 conicto +6119 8544 6329 8512 conicto +6336 7104 lineto +end_ol grestore +gsave 86.006088 38.973750 translate 0.035278 -0.035278 scale +start_ol +2816 10752 moveto +2816 8384 lineto +5632 8384 lineto +5632 7296 lineto +2816 7296 lineto +2816 2757 lineto +2816 1734 3094 1443 conicto +3373 1152 4228 1152 conicto +5632 1152 lineto +5632 0 lineto +4228 0 lineto +2626 0 2017 594 conicto +1408 1188 1408 2757 conicto +1408 7296 lineto +384 7296 lineto +384 8384 lineto +1408 8384 lineto +1408 10752 lineto +2816 10752 lineto +end_ol grestore +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 92.000000 21.000000 m 98.000000 21.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 94.000000 29.000000 m 98.000000 29.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 94.000000 37.000000 m 98.000000 37.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 94.000000 45.000000 m 98.000000 45.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 94.000000 53.000000 m 98.000000 53.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 102.000000 21.000000 m 98.000000 21.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 102.000000 29.000000 m 98.000000 29.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 102.000000 37.000000 m 98.000000 37.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 102.000000 45.000000 m 98.000000 45.000000 l s +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 120.000000 56.000000 m 120.000000 58.000000 l s +0.400000 slw +[] 0 sd +[] 0 sd +0 slc +0 slj +0.400000 slw +0 slc +0 slj +[] 0 sd +1.000000 1.000000 1.000000 srgb +n 112.132094 60.661702 m 104.566047 60.661702 l 104.566047 59.000000 l 97.000000 62.323404 l 104.566047 65.646808 l 104.566047 63.985106 l 112.132094 63.985106 l ef +0.000000 0.000000 0.000000 srgb +n 112.132094 60.661702 m 104.566047 60.661702 l 104.566047 59.000000 l 97.000000 62.323404 l 104.566047 65.646808 l 104.566047 63.985106 l 112.132094 63.985106 l cp s +0 slc +0 slj +[] 0 sd +n 112.132094 60.661702 m 104.566047 60.661702 l 104.566047 59.000000 l 97.000000 62.323404 l 104.566047 65.646808 l 104.566047 63.985106 l 112.132094 63.985106 l cp s +gsave 16.272500 4.000000 translate 0.035278 -0.035278 scale +start_ol +17536 18304 moveto +17536 15488 lineto +16179 16743 14642 17363 conicto +13106 17984 11376 17984 conicto +7971 17984 6161 15910 conicto +4352 13836 4352 9913 conicto +4352 6004 6161 3930 conicto +7971 1856 11376 1856 conicto +13106 1856 14642 2476 conicto +16179 3097 17536 4352 conicto +17536 1536 lineto +16127 576 14552 96 conicto +12978 -384 11224 -384 conicto +6719 -384 4127 2376 conicto +1536 5137 1536 9913 conicto +1536 14703 4127 17463 conicto +6719 20224 11224 20224 conicto +13004 20224 14579 19744 conicto +16154 19264 17536 18304 conicto +end_ol grestore +gsave 18.792640 4.000000 translate 0.035278 -0.035278 scale +start_ol +14184 12062 moveto +15103 13719 16382 14507 conicto +17661 15296 19393 15296 conicto +21725 15296 22990 13661 conicto +24256 12026 24256 9010 conicto +24256 0 lineto +21824 0 lineto +21824 8930 lineto +21824 11091 21060 12137 conicto +20297 13184 18730 13184 conicto +16815 13184 15703 11910 conicto +14592 10636 14592 8436 conicto +14592 0 lineto +12160 0 lineto +12160 8930 lineto +12160 11104 11396 12144 conicto +10633 13184 9040 13184 conicto +7151 13184 6039 11903 conicto +4928 10622 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5768 13985 6942 14640 conicto +8116 15296 9729 15296 conicto +11357 15296 12497 14467 conicto +13637 13639 14184 12062 conicto +end_ol grestore +gsave 22.309349 4.000000 translate 0.035278 -0.035278 scale +start_ol +8359 13248 moveto +6387 13248 5241 11699 conicto +4096 10151 4096 7456 conicto +4096 4761 5235 3212 conicto +6374 1664 8359 1664 conicto +10317 1664 11462 3219 conicto +12608 4775 12608 7456 conicto +12608 10124 11462 11686 conicto +10317 13248 8359 13248 conicto +8384 15296 moveto +11582 15296 13407 13216 conicto +15232 11136 15232 7456 conicto +15232 3789 13407 1702 conicto +11582 -384 8384 -384 conicto +5173 -384 3354 1702 conicto +1536 3789 1536 7456 conicto +1536 11136 3354 13216 conicto +5173 15296 8384 15296 conicto +end_ol grestore +gsave 24.517280 4.000000 translate 0.035278 -0.035278 scale +start_ol +12416 12672 moveto +12416 20736 lineto +14848 20736 lineto +14848 0 lineto +12416 0 lineto +12416 2240 lineto +11644 908 10465 262 conicto +9287 -384 7635 -384 conicto +4932 -384 3234 1776 conicto +1536 3936 1536 7456 conicto +1536 10976 3234 13136 conicto +4932 15296 7635 15296 conicto +9287 15296 10465 14650 conicto +11644 14004 12416 12672 conicto +4096 7456 moveto +4096 4747 5204 3205 conicto +6312 1664 8249 1664 conicto +10187 1664 11301 3205 conicto +12416 4747 12416 7456 conicto +12416 10165 11301 11706 conicto +10187 13248 8249 13248 conicto +6312 13248 5204 11706 conicto +4096 10165 4096 7456 conicto +end_ol grestore +gsave 26.807638 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 27.954061 4.000000 translate 0.035278 -0.035278 scale +start_ol +14592 19200 moveto +14592 16576 lineto +13058 17319 11697 17683 conicto +10336 18048 9069 18048 conicto +6868 18048 5674 17194 conicto +4480 16341 4480 14767 conicto +4480 13447 5275 12773 conicto +6070 12099 8288 11686 conicto +9919 11354 lineto +12937 10782 14372 9340 conicto +15808 7898 15808 5478 conicto +15808 2594 13865 1105 conicto +11923 -384 8171 -384 conicto +6756 -384 5160 -60 conicto +3565 263 1856 896 conicto +1856 3648 lineto +3498 2727 5074 2259 conicto +6650 1792 8172 1792 conicto +10482 1792 11737 2696 conicto +12992 3601 12992 5278 conicto +12992 6741 12090 7566 conicto +11188 8391 9130 8803 conicto +7487 9122 lineto +4480 9721 3136 10999 conicto +1792 12277 1792 14553 conicto +1792 17189 3650 18706 conicto +5508 20224 8771 20224 conicto +10170 20224 11622 19968 conicto +13074 19712 14592 19200 conicto +end_ol grestore +gsave 30.244418 4.000000 translate 0.035278 -0.035278 scale +start_ol +9024 11008 moveto +7224 11008 6172 9768 conicto +5120 8528 5120 6368 conicto +5120 4221 6172 2974 conicto +7224 1728 9024 1728 conicto +10824 1728 11876 2974 conicto +12928 4221 12928 6368 conicto +12928 8528 11876 9768 conicto +10824 11008 9024 11008 conicto +14336 19456 moveto +14336 17024 lineto +13327 17491 12298 17737 conicto +11270 17984 10262 17984 conicto +7607 17984 6207 16183 conicto +4807 14383 4608 10741 conicto +5390 11891 6570 12505 conicto +7751 13120 9170 13120 conicto +12154 13120 13885 11305 conicto +15616 9491 15616 6368 conicto +15616 3311 13809 1463 conicto +12003 -384 9000 -384 conicto +5560 -384 3740 2257 conicto +1920 4898 1920 9913 conicto +1920 14623 4153 17423 conicto +6387 20224 10149 20224 conicto +11159 20224 12189 20032 conicto +13219 19840 14336 19456 conicto +end_ol grestore +gsave 32.539770 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 33.686193 4.000000 translate 0.035278 -0.035278 scale +start_ol +14592 19200 moveto +14592 16576 lineto +13058 17319 11697 17683 conicto +10336 18048 9069 18048 conicto +6868 18048 5674 17194 conicto +4480 16341 4480 14767 conicto +4480 13447 5275 12773 conicto +6070 12099 8288 11686 conicto +9919 11354 lineto +12937 10782 14372 9340 conicto +15808 7898 15808 5478 conicto +15808 2594 13865 1105 conicto +11923 -384 8171 -384 conicto +6756 -384 5160 -60 conicto +3565 263 1856 896 conicto +1856 3648 lineto +3498 2727 5074 2259 conicto +6650 1792 8172 1792 conicto +10482 1792 11737 2696 conicto +12992 3601 12992 5278 conicto +12992 6741 12090 7566 conicto +11188 8391 9130 8803 conicto +7487 9122 lineto +4480 9721 3136 10999 conicto +1792 12277 1792 14553 conicto +1792 17189 3650 18706 conicto +5508 20224 8771 20224 conicto +10170 20224 11622 19968 conicto +13074 19712 14592 19200 conicto +end_ol grestore +gsave 35.976550 4.000000 translate 0.035278 -0.035278 scale +start_ol +8801 -1408 moveto +7761 -4071 6775 -4883 conicto +5789 -5696 4136 -5696 conicto +2176 -5696 lineto +2176 -3648 lineto +3616 -3648 lineto +4629 -3648 5188 -3165 conicto +5748 -2682 6428 -885 conicto +6868 234 lineto +832 14912 lineto +3429 14912 lineto +8095 3249 lineto +12761 14912 lineto +15360 14912 lineto +8801 -1408 lineto +end_ol grestore +gsave 38.112052 4.000000 translate 0.035278 -0.035278 scale +start_ol +12096 14464 moveto +12096 12160 lineto +11057 12704 9937 12976 conicto +8818 13248 7619 13248 conicto +5793 13248 4880 12685 conicto +3968 12123 3968 10998 conicto +3968 10141 4620 9652 conicto +5272 9164 7241 8722 conicto +8079 8535 lineto +10677 7976 11770 6957 conicto +12864 5939 12864 4115 conicto +12864 2039 11220 827 conicto +9577 -384 6702 -384 conicto +5504 -384 4206 -160 conicto +2909 64 1472 512 conicto +1472 3072 lineto +2832 2368 4152 2016 conicto +5473 1664 6767 1664 conicto +8501 1664 9434 2256 conicto +10368 2849 10368 3927 conicto +10368 4925 9696 5457 conicto +9024 5990 6749 6483 conicto +5897 6683 lineto +3622 7164 2611 8158 conicto +1600 9153 1600 10889 conicto +1600 12999 3092 14147 conicto +4584 15296 7328 15296 conicto +8686 15296 9885 15088 conicto +11084 14880 12096 14464 conicto +end_ol grestore +gsave 39.992793 4.000000 translate 0.035278 -0.035278 scale +start_ol +4992 19136 moveto +4992 14912 lineto +10048 14912 lineto +10048 12992 lineto +4992 12992 lineto +4992 4907 lineto +4992 3085 5492 2566 conicto +5993 2048 7527 2048 conicto +10048 2048 lineto +10048 0 lineto +7527 0 lineto +4706 0 3633 1057 conicto +2560 2114 2560 4907 conicto +2560 12992 lineto +768 12992 lineto +768 14912 lineto +2560 14912 lineto +2560 19136 lineto +4992 19136 lineto +end_ol grestore +gsave 41.408967 4.000000 translate 0.035278 -0.035278 scale +start_ol +15360 8112 moveto +15360 6912 lineto +4096 6912 lineto +4256 4349 5620 3006 conicto +6984 1664 9420 1664 conicto +10831 1664 12155 2016 conicto +13480 2368 14784 3072 conicto +14784 768 lineto +13466 205 12081 -89 conicto +10696 -384 9272 -384 conicto +5703 -384 3619 1696 conicto +1536 3776 1536 7323 conicto +1536 10989 3513 13142 conicto +5491 15296 8848 15296 conicto +11857 15296 13608 13364 conicto +15360 11432 15360 8112 conicto +12928 8832 moveto +12901 10847 11800 12047 conicto +10700 13248 8886 13248 conicto +6831 13248 5597 12087 conicto +4363 10927 4176 8819 conicto +12928 8832 lineto +end_ol grestore +gsave 43.629391 4.000000 translate 0.035278 -0.035278 scale +start_ol +14184 12062 moveto +15103 13719 16382 14507 conicto +17661 15296 19393 15296 conicto +21725 15296 22990 13661 conicto +24256 12026 24256 9010 conicto +24256 0 lineto +21824 0 lineto +21824 8930 lineto +21824 11091 21060 12137 conicto +20297 13184 18730 13184 conicto +16815 13184 15703 11910 conicto +14592 10636 14592 8436 conicto +14592 0 lineto +12160 0 lineto +12160 8930 lineto +12160 11104 11396 12144 conicto +10633 13184 9040 13184 conicto +7151 13184 6039 11903 conicto +4928 10622 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5768 13985 6942 14640 conicto +8116 15296 9729 15296 conicto +11357 15296 12497 14467 conicto +13637 13639 14184 12062 conicto +end_ol grestore +gsave 47.146101 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 48.292524 4.000000 translate 0.035278 -0.035278 scale +start_ol +8359 13248 moveto +6387 13248 5241 11699 conicto +4096 10151 4096 7456 conicto +4096 4761 5235 3212 conicto +6374 1664 8359 1664 conicto +10317 1664 11462 3219 conicto +12608 4775 12608 7456 conicto +12608 10124 11462 11686 conicto +10317 13248 8359 13248 conicto +8384 15296 moveto +11582 15296 13407 13216 conicto +15232 11136 15232 7456 conicto +15232 3789 13407 1702 conicto +11582 -384 8384 -384 conicto +5173 -384 3354 1702 conicto +1536 3789 1536 7456 conicto +1536 11136 3354 13216 conicto +5173 15296 8384 15296 conicto +end_ol grestore +gsave 50.500455 4.000000 translate 0.035278 -0.035278 scale +start_ol +14976 9010 moveto +14976 0 lineto +12544 0 lineto +12544 8930 lineto +12544 11064 11714 12124 conicto +10884 13184 9225 13184 conicto +7230 13184 6079 11910 conicto +4928 10636 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5809 13959 7003 14627 conicto +8197 15296 9759 15296 conicto +12334 15296 13655 13701 conicto +14976 12106 14976 9010 conicto +end_ol grestore +gsave 52.788316 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 53.934739 4.000000 translate 0.035278 -0.035278 scale +start_ol +9388 7488 moveto +6400 7488 5248 6810 conicto +4096 6132 4096 4496 conicto +4096 3193 4960 2428 conicto +5824 1664 7312 1664 conicto +9361 1664 10600 3106 conicto +11840 4548 11840 6940 conicto +11840 7488 lineto +9388 7488 lineto +14272 8501 moveto +14272 0 lineto +11840 0 lineto +11840 2240 lineto +10999 895 9743 255 conicto +8488 -384 6672 -384 conicto +4375 -384 3019 900 conicto +1664 2184 1664 4339 conicto +1664 6854 3350 8131 conicto +5037 9408 8383 9408 conicto +11840 9408 lineto +11840 9654 lineto +11840 11370 10724 12309 conicto +9608 13248 7590 13248 conicto +6307 13248 5091 12944 conicto +3875 12640 2752 12032 conicto +2752 14272 lineto +4099 14784 5365 15040 conicto +6632 15296 7832 15296 conicto +11072 15296 12672 13610 conicto +14272 11925 14272 8501 conicto +end_ol grestore +gsave 56.145167 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 57.291590 4.000000 translate 0.035278 -0.035278 scale +start_ol +17536 18304 moveto +17536 15488 lineto +16179 16743 14642 17363 conicto +13106 17984 11376 17984 conicto +7971 17984 6161 15910 conicto +4352 13836 4352 9913 conicto +4352 6004 6161 3930 conicto +7971 1856 11376 1856 conicto +13106 1856 14642 2476 conicto +16179 3097 17536 4352 conicto +17536 1536 lineto +16127 576 14552 96 conicto +12978 -384 11224 -384 conicto +6719 -384 4127 2376 conicto +1536 5137 1536 9913 conicto +1536 14703 4127 17463 conicto +6719 20224 11224 20224 conicto +13004 20224 14579 19744 conicto +16154 19264 17536 18304 conicto +end_ol grestore +gsave 59.811730 4.000000 translate 0.035278 -0.035278 scale +start_ol +14976 9010 moveto +14976 0 lineto +12544 0 lineto +12544 8930 lineto +12544 11064 11714 12124 conicto +10884 13184 9225 13184 conicto +7230 13184 6079 11910 conicto +4928 10636 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 20736 lineto +4928 20736 lineto +4928 12608 lineto +5809 13959 7003 14627 conicto +8197 15296 9759 15296 conicto +12334 15296 13655 13701 conicto +14976 12106 14976 9010 conicto +end_ol grestore +gsave 62.099590 4.000000 translate 0.035278 -0.035278 scale +start_ol +2560 14912 moveto +4992 14912 lineto +4992 0 lineto +2560 0 lineto +2560 14912 lineto +2560 20736 moveto +4992 20736 lineto +4992 17664 lineto +2560 17664 lineto +2560 20736 lineto +end_ol grestore +gsave 63.101154 4.000000 translate 0.035278 -0.035278 scale +start_ol +4928 2240 moveto +4928 -5696 lineto +2496 -5696 lineto +2496 14912 lineto +4928 14912 lineto +4928 12672 lineto +5700 14004 6878 14650 conicto +8057 15296 9695 15296 conicto +12412 15296 14110 13136 conicto +15808 10976 15808 7456 conicto +15808 3936 14110 1776 conicto +12412 -384 9695 -384 conicto +8057 -384 6878 262 conicto +5700 908 4928 2240 conicto +13248 7456 moveto +13248 10165 12138 11706 conicto +11028 13248 9088 13248 conicto +7148 13248 6038 11706 conicto +4928 10165 4928 7456 conicto +4928 4747 6038 3205 conicto +7148 1664 9088 1664 conicto +11028 1664 12138 3205 conicto +13248 4747 13248 7456 conicto +end_ol grestore +gsave 65.391511 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 66.537934 4.000000 translate 0.035278 -0.035278 scale +start_ol +8448 20672 moveto +6694 17613 5843 14621 conicto +4992 11629 4992 8557 conicto +4992 5485 5849 2473 conicto +6707 -539 8448 -3584 conicto +6353 -3584 lineto +4354 -459 3361 2559 conicto +2368 5578 2368 8557 conicto +2368 11523 3354 14528 conicto +4341 17534 6353 20672 conicto +8448 20672 lineto +end_ol grestore +gsave 67.946617 4.000000 translate 0.035278 -0.035278 scale +start_ol +9312 17254 moveto +5659 7360 lineto +12979 7360 lineto +9312 17254 lineto +7792 19904 moveto +10845 19904 lineto +18432 0 lineto +15632 0 lineto +13819 5120 lineto +4845 5120 lineto +3032 0 lineto +192 0 lineto +7792 19904 lineto +end_ol grestore +gsave 70.414312 4.000000 translate 0.035278 -0.035278 scale +start_ol +2560 20736 moveto +4992 20736 lineto +4992 0 lineto +2560 0 lineto +2560 20736 lineto +end_ol grestore +gsave 71.415875 4.000000 translate 0.035278 -0.035278 scale +start_ol +4992 19136 moveto +4992 14912 lineto +10048 14912 lineto +10048 12992 lineto +4992 12992 lineto +4992 4907 lineto +4992 3085 5492 2566 conicto +5993 2048 7527 2048 conicto +10048 2048 lineto +10048 0 lineto +7527 0 lineto +4706 0 3633 1057 conicto +2560 2114 2560 4907 conicto +2560 12992 lineto +768 12992 lineto +768 14912 lineto +2560 14912 lineto +2560 19136 lineto +4992 19136 lineto +end_ol grestore +gsave 72.832049 4.000000 translate 0.035278 -0.035278 scale +start_ol +15360 8112 moveto +15360 6912 lineto +4096 6912 lineto +4256 4349 5620 3006 conicto +6984 1664 9420 1664 conicto +10831 1664 12155 2016 conicto +13480 2368 14784 3072 conicto +14784 768 lineto +13466 205 12081 -89 conicto +10696 -384 9272 -384 conicto +5703 -384 3619 1696 conicto +1536 3776 1536 7323 conicto +1536 10989 3513 13142 conicto +5491 15296 8848 15296 conicto +11857 15296 13608 13364 conicto +15360 11432 15360 8112 conicto +12928 8832 moveto +12901 10847 11800 12047 conicto +10700 13248 8886 13248 conicto +6831 13248 5597 12087 conicto +4363 10927 4176 8819 conicto +12928 8832 lineto +end_ol grestore +gsave 75.052474 4.000000 translate 0.035278 -0.035278 scale +start_ol +11200 12672 moveto +10787 12902 10301 13011 conicto +9815 13120 9229 13120 conicto +7152 13120 6040 11762 conicto +4928 10405 4928 7863 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5700 13972 6938 14634 conicto +8177 15296 9948 15296 conicto +10201 15296 10507 15264 conicto +10814 15232 11187 15168 conicto +11200 12672 lineto +end_ol grestore +gsave 76.473642 4.000000 translate 0.035278 -0.035278 scale +start_ol +14976 9010 moveto +14976 0 lineto +12544 0 lineto +12544 8930 lineto +12544 11064 11714 12124 conicto +10884 13184 9225 13184 conicto +7230 13184 6079 11910 conicto +4928 10636 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5809 13959 7003 14627 conicto +8197 15296 9759 15296 conicto +12334 15296 13655 13701 conicto +14976 12106 14976 9010 conicto +end_ol grestore +gsave 78.761502 4.000000 translate 0.035278 -0.035278 scale +start_ol +9388 7488 moveto +6400 7488 5248 6810 conicto +4096 6132 4096 4496 conicto +4096 3193 4960 2428 conicto +5824 1664 7312 1664 conicto +9361 1664 10600 3106 conicto +11840 4548 11840 6940 conicto +11840 7488 lineto +9388 7488 lineto +14272 8501 moveto +14272 0 lineto +11840 0 lineto +11840 2240 lineto +10999 895 9743 255 conicto +8488 -384 6672 -384 conicto +4375 -384 3019 900 conicto +1664 2184 1664 4339 conicto +1664 6854 3350 8131 conicto +5037 9408 8383 9408 conicto +11840 9408 lineto +11840 9654 lineto +11840 11370 10724 12309 conicto +9608 13248 7590 13248 conicto +6307 13248 5091 12944 conicto +3875 12640 2752 12032 conicto +2752 14272 lineto +4099 14784 5365 15040 conicto +6632 15296 7832 15296 conicto +11072 15296 12672 13610 conicto +14272 11925 14272 8501 conicto +end_ol grestore +gsave 80.971931 4.000000 translate 0.035278 -0.035278 scale +start_ol +4992 19136 moveto +4992 14912 lineto +10048 14912 lineto +10048 12992 lineto +4992 12992 lineto +4992 4907 lineto +4992 3085 5492 2566 conicto +5993 2048 7527 2048 conicto +10048 2048 lineto +10048 0 lineto +7527 0 lineto +4706 0 3633 1057 conicto +2560 2114 2560 4907 conicto +2560 12992 lineto +768 12992 lineto +768 14912 lineto +2560 14912 lineto +2560 19136 lineto +4992 19136 lineto +end_ol grestore +gsave 82.388105 4.000000 translate 0.035278 -0.035278 scale +start_ol +15360 8112 moveto +15360 6912 lineto +4096 6912 lineto +4256 4349 5620 3006 conicto +6984 1664 9420 1664 conicto +10831 1664 12155 2016 conicto +13480 2368 14784 3072 conicto +14784 768 lineto +13466 205 12081 -89 conicto +10696 -384 9272 -384 conicto +5703 -384 3619 1696 conicto +1536 3776 1536 7323 conicto +1536 10989 3513 13142 conicto +5491 15296 8848 15296 conicto +11857 15296 13608 13364 conicto +15360 11432 15360 8112 conicto +12928 8832 moveto +12901 10847 11800 12047 conicto +10700 13248 8886 13248 conicto +6831 13248 5597 12087 conicto +4363 10927 4176 8819 conicto +12928 8832 lineto +end_ol grestore +gsave 84.608529 4.000000 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 85.754952 4.000000 translate 0.035278 -0.035278 scale +start_ol +17536 18304 moveto +17536 15488 lineto +16179 16743 14642 17363 conicto +13106 17984 11376 17984 conicto +7971 17984 6161 15910 conicto +4352 13836 4352 9913 conicto +4352 6004 6161 3930 conicto +7971 1856 11376 1856 conicto +13106 1856 14642 2476 conicto +16179 3097 17536 4352 conicto +17536 1536 lineto +16127 576 14552 96 conicto +12978 -384 11224 -384 conicto +6719 -384 4127 2376 conicto +1536 5137 1536 9913 conicto +1536 14703 4127 17463 conicto +6719 20224 11224 20224 conicto +13004 20224 14579 19744 conicto +16154 19264 17536 18304 conicto +end_ol grestore +gsave 88.275092 4.000000 translate 0.035278 -0.035278 scale +start_ol +8359 13248 moveto +6387 13248 5241 11699 conicto +4096 10151 4096 7456 conicto +4096 4761 5235 3212 conicto +6374 1664 8359 1664 conicto +10317 1664 11462 3219 conicto +12608 4775 12608 7456 conicto +12608 10124 11462 11686 conicto +10317 13248 8359 13248 conicto +8384 15296 moveto +11582 15296 13407 13216 conicto +15232 11136 15232 7456 conicto +15232 3789 13407 1702 conicto +11582 -384 8384 -384 conicto +5173 -384 3354 1702 conicto +1536 3789 1536 7456 conicto +1536 11136 3354 13216 conicto +5173 15296 8384 15296 conicto +end_ol grestore +gsave 90.483024 4.000000 translate 0.035278 -0.035278 scale +start_ol +14976 9010 moveto +14976 0 lineto +12544 0 lineto +12544 8930 lineto +12544 11064 11714 12124 conicto +10884 13184 9225 13184 conicto +7230 13184 6079 11910 conicto +4928 10636 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5809 13959 7003 14627 conicto +8197 15296 9759 15296 conicto +12334 15296 13655 13701 conicto +14976 12106 14976 9010 conicto +end_ol grestore +gsave 92.770884 4.000000 translate 0.035278 -0.035278 scale +start_ol +14592 14912 moveto +14592 0 lineto +12160 0 lineto +12160 12992 lineto +5376 12992 lineto +5376 0 lineto +2944 0 lineto +2944 12992 lineto +576 12992 lineto +576 14912 lineto +2944 14912 lineto +2944 15954 lineto +2944 18398 4088 19567 conicto +5233 20736 7601 20736 conicto +10048 20736 lineto +10048 18688 lineto +7712 18688 lineto +6398 18688 5887 18154 conicto +5376 17621 5376 16233 conicto +5376 14912 lineto +14592 14912 lineto +12160 20672 moveto +14592 20672 lineto +14592 17600 lineto +12160 17600 lineto +12160 20672 lineto +end_ol grestore +gsave 95.043754 4.000000 translate 0.035278 -0.035278 scale +start_ol +12416 7641 moveto +12416 10311 11321 11779 conicto +10227 13248 8249 13248 conicto +6285 13248 5190 11779 conicto +4096 10311 4096 7641 conicto +4096 4985 5190 3516 conicto +6285 2048 8249 2048 conicto +10227 2048 11321 3516 conicto +12416 4985 12416 7641 conicto +14848 1849 moveto +14848 -1970 13158 -3833 conicto +11469 -5696 7984 -5696 conicto +6694 -5696 5550 -5491 conicto +4406 -5287 3328 -4864 conicto +3328 -2496 lineto +4407 -3085 5460 -3366 conicto +6513 -3648 7605 -3648 conicto +10017 -3648 11216 -2390 conicto +12416 -1132 12416 1410 conicto +12416 2624 lineto +11657 1305 10472 652 conicto +9287 0 7635 0 conicto +4892 0 3214 2093 conicto +1536 4187 1536 7641 conicto +1536 11109 3214 13202 conicto +4892 15296 7635 15296 conicto +9287 15296 10472 14643 conicto +11657 13991 12416 12672 conicto +12416 14912 lineto +14848 14912 lineto +14848 1849 lineto +end_ol grestore +gsave 97.334111 4.000000 translate 0.035278 -0.035278 scale +start_ol +2304 5895 moveto +2304 14912 lineto +4736 14912 lineto +4736 5988 lineto +4736 3858 5564 2793 conicto +6393 1728 8050 1728 conicto +10040 1728 11196 3000 conicto +12352 4272 12352 6467 conicto +12352 14912 lineto +14784 14912 lineto +14784 0 lineto +12352 0 lineto +12352 2304 lineto +11459 940 10279 278 conicto +9100 -384 7541 -384 conicto +4969 -384 3636 1215 conicto +2304 2815 2304 5895 conicto +8464 15296 moveto +8464 15296 lineto +end_ol grestore +gsave 99.621972 4.000000 translate 0.035278 -0.035278 scale +start_ol +11200 12672 moveto +10787 12902 10301 13011 conicto +9815 13120 9229 13120 conicto +7152 13120 6040 11762 conicto +4928 10405 4928 7863 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5700 13972 6938 14634 conicto +8177 15296 9948 15296 conicto +10201 15296 10507 15264 conicto +10814 15232 11187 15168 conicto +11200 12672 lineto +end_ol grestore +gsave 101.105581 4.000000 translate 0.035278 -0.035278 scale +start_ol +9388 7488 moveto +6400 7488 5248 6810 conicto +4096 6132 4096 4496 conicto +4096 3193 4960 2428 conicto +5824 1664 7312 1664 conicto +9361 1664 10600 3106 conicto +11840 4548 11840 6940 conicto +11840 7488 lineto +9388 7488 lineto +14272 8501 moveto +14272 0 lineto +11840 0 lineto +11840 2240 lineto +10999 895 9743 255 conicto +8488 -384 6672 -384 conicto +4375 -384 3019 900 conicto +1664 2184 1664 4339 conicto +1664 6854 3350 8131 conicto +5037 9408 8383 9408 conicto +11840 9408 lineto +11840 9654 lineto +11840 11370 10724 12309 conicto +9608 13248 7590 13248 conicto +6307 13248 5091 12944 conicto +3875 12640 2752 12032 conicto +2752 14272 lineto +4099 14784 5365 15040 conicto +6632 15296 7832 15296 conicto +11072 15296 12672 13610 conicto +14272 11925 14272 8501 conicto +end_ol grestore +gsave 103.316010 4.000000 translate 0.035278 -0.035278 scale +start_ol +4992 19136 moveto +4992 14912 lineto +10048 14912 lineto +10048 12992 lineto +4992 12992 lineto +4992 4907 lineto +4992 3085 5492 2566 conicto +5993 2048 7527 2048 conicto +10048 2048 lineto +10048 0 lineto +7527 0 lineto +4706 0 3633 1057 conicto +2560 2114 2560 4907 conicto +2560 12992 lineto +768 12992 lineto +768 14912 lineto +2560 14912 lineto +2560 19136 lineto +4992 19136 lineto +end_ol grestore +gsave 104.732184 4.000000 translate 0.035278 -0.035278 scale +start_ol +2560 14912 moveto +4992 14912 lineto +4992 0 lineto +2560 0 lineto +2560 14912 lineto +2560 20736 moveto +4992 20736 lineto +4992 17664 lineto +2560 17664 lineto +2560 20736 lineto +end_ol grestore +gsave 105.733747 4.000000 translate 0.035278 -0.035278 scale +start_ol +8359 13248 moveto +6387 13248 5241 11699 conicto +4096 10151 4096 7456 conicto +4096 4761 5235 3212 conicto +6374 1664 8359 1664 conicto +10317 1664 11462 3219 conicto +12608 4775 12608 7456 conicto +12608 10124 11462 11686 conicto +10317 13248 8359 13248 conicto +8384 15296 moveto +11582 15296 13407 13216 conicto +15232 11136 15232 7456 conicto +15232 3789 13407 1702 conicto +11582 -384 8384 -384 conicto +5173 -384 3354 1702 conicto +1536 3789 1536 7456 conicto +1536 11136 3354 13216 conicto +5173 15296 8384 15296 conicto +end_ol grestore +gsave 107.941679 4.000000 translate 0.035278 -0.035278 scale +start_ol +14976 9010 moveto +14976 0 lineto +12544 0 lineto +12544 8930 lineto +12544 11064 11714 12124 conicto +10884 13184 9225 13184 conicto +7230 13184 6079 11910 conicto +4928 10636 4928 8436 conicto +4928 0 lineto +2496 0 lineto +2496 14912 lineto +4928 14912 lineto +4928 12608 lineto +5809 13959 7003 14627 conicto +8197 15296 9759 15296 conicto +12334 15296 13655 13701 conicto +14976 12106 14976 9010 conicto +end_ol grestore +gsave 110.229539 4.000000 translate 0.035278 -0.035278 scale +start_ol +2176 20672 moveto +4271 20672 lineto +6270 17534 7263 14528 conicto +8256 11523 8256 8557 conicto +8256 5578 7263 2559 conicto +6270 -459 4271 -3584 conicto +2176 -3584 lineto +3917 -539 4774 2473 conicto +5632 5485 5632 8557 conicto +5632 11629 4774 14621 conicto +3917 17613 2176 20672 conicto +end_ol grestore +0.300000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 113.500000 58.000000 m 113.500000 66.000000 l 126.500000 66.000000 l 126.500000 58.000000 l f +n 113.500000 59.500000 m 113.500000 59.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 126.500000 59.500000 m 126.500000 59.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 112.000000 59.500000 m 112.000000 64.500000 l 128.000000 64.500000 l 128.000000 59.500000 l f +n 113.500000 64.500000 m 113.500000 64.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 126.500000 64.500000 m 126.500000 64.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 113.500000 58.000000 m 126.500000 58.000000 l s +n 113.500000 66.000000 m 126.500000 66.000000 l s +n 113.500000 59.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 126.500000 59.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 112.000000 59.500000 m 112.000000 64.500000 l s +n 128.000000 59.500000 m 128.000000 64.500000 l s +n 113.500000 64.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 126.500000 64.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 113.367500 61.244167 translate 0.035278 -0.035278 scale +start_ol +704 14912 moveto +2742 14912 lineto +5879 2307 lineto +9005 14912 lineto +11273 14912 lineto +14409 2307 lineto +17536 14912 lineto +19584 14912 lineto +15838 0 lineto +13301 0 lineto +10154 12944 lineto +6977 0 lineto +4440 0 lineto +704 14912 lineto +end_ol grestore +gsave 115.985048 61.244167 translate 0.035278 -0.035278 scale +start_ol +1920 11200 moveto +3776 11200 lineto +3776 0 lineto +1920 0 lineto +1920 11200 lineto +1920 15552 moveto +3776 15552 lineto +3776 13248 lineto +1920 13248 lineto +1920 15552 lineto +end_ol grestore +gsave 116.736845 61.244167 translate 0.035278 -0.035278 scale +start_ol +9152 10816 moveto +9152 9088 lineto +8366 9504 7520 9712 conicto +6674 9920 5768 9920 conicto +4388 9920 3698 9498 conicto +3008 9076 3008 8231 conicto +3008 7588 3497 7221 conicto +3986 6854 5463 6522 conicto +6092 6382 lineto +8066 5962 8897 5196 conicto +9728 4431 9728 3061 conicto +9728 1501 8490 590 conicto +7253 -320 5089 -320 conicto +4188 -320 3211 -144 conicto +2234 32 1152 384 conicto +1152 2304 lineto +2170 1760 3158 1488 conicto +4146 1216 5114 1216 conicto +6411 1216 7109 1662 conicto +7808 2109 7808 2923 conicto +7808 3675 7304 4077 conicto +6800 4479 5094 4850 conicto +4455 5000 lineto +2740 5360 1978 6106 conicto +1216 6852 1216 8153 conicto +1216 9734 2344 10595 conicto +3472 11456 5547 11456 conicto +6574 11456 7480 11296 conicto +8387 11136 9152 10816 conicto +end_ol grestore +gsave 118.148025 61.244167 translate 0.035278 -0.035278 scale +start_ol +11264 6769 moveto +11264 0 lineto +9408 0 lineto +9408 6708 lineto +9408 8287 8787 9071 conicto +8167 9856 6925 9856 conicto +5434 9856 4573 8912 conicto +3712 7968 3712 6338 conicto +3712 0 lineto +1856 0 lineto +1856 15552 lineto +3712 15552 lineto +3712 9472 lineto +4374 10469 5271 10962 conicto +6169 11456 7343 11456 conicto +9278 11456 10271 10267 conicto +11264 9078 11264 6769 conicto +end_ol grestore +gsave 119.863914 61.244167 translate 0.035278 -0.035278 scale +start_ol +9984 5568 moveto +9984 7604 9147 8762 conicto +8311 9920 6848 9920 conicto +5385 9920 4548 8762 conicto +3712 7604 3712 5568 conicto +3712 3532 4548 2374 conicto +5385 1216 6848 1216 conicto +8311 1216 9147 2374 conicto +9984 3532 9984 5568 conicto +3712 9472 moveto +4294 10479 5181 10967 conicto +6068 11456 7302 11456 conicto +9347 11456 10625 9834 conicto +11904 8212 11904 5568 conicto +11904 2924 10625 1302 conicto +9347 -320 7302 -320 conicto +6068 -320 5181 168 conicto +4294 657 3712 1664 conicto +3712 0 lineto +1856 0 lineto +1856 15552 lineto +3712 15552 lineto +3712 9472 lineto +end_ol grestore +gsave 121.582308 61.244167 translate 0.035278 -0.035278 scale +start_ol +6309 9920 moveto +4812 9920 3942 8756 conicto +3072 7593 3072 5568 conicto +3072 3543 3937 2379 conicto +4802 1216 6309 1216 conicto +7796 1216 8666 2384 conicto +9536 3553 9536 5568 conicto +9536 7573 8666 8746 conicto +7796 9920 6309 9920 conicto +6304 11456 moveto +8710 11456 10083 9894 conicto +11456 8332 11456 5568 conicto +11456 2814 10083 1247 conicto +8710 -320 6304 -320 conicto +3888 -320 2520 1247 conicto +1152 2814 1152 5568 conicto +1152 8332 2520 9894 conicto +3888 11456 6304 11456 conicto +end_ol grestore +gsave 123.238261 61.244167 translate 0.035278 -0.035278 scale +start_ol +11264 6769 moveto +11264 0 lineto +9408 0 lineto +9408 6708 lineto +9408 8287 8787 9071 conicto +8167 9856 6925 9856 conicto +5434 9856 4573 8912 conicto +3712 7968 3712 6338 conicto +3712 0 lineto +1856 0 lineto +1856 11200 lineto +3712 11200 lineto +3712 9472 lineto +4374 10469 5271 10962 conicto +6169 11456 7343 11456 conicto +9278 11456 10271 10267 conicto +11264 9078 11264 6769 conicto +end_ol grestore +gsave 124.954150 61.244167 translate 0.035278 -0.035278 scale +start_ol +11520 6040 moveto +11520 5120 lineto +3072 5120 lineto +3192 3213 4213 2214 conicto +5234 1216 7057 1216 conicto +8113 1216 9104 1472 conicto +10096 1728 11072 2240 conicto +11072 512 lineto +10085 106 9048 -107 conicto +8011 -320 6944 -320 conicto +4273 -320 2712 1242 conicto +1152 2804 1152 5468 conicto +1152 8222 2635 9839 conicto +4119 11456 6636 11456 conicto +8893 11456 10206 9999 conicto +11520 8543 11520 6040 conicto +9664 6592 moveto +9644 8110 8822 9015 conicto +8001 9920 6647 9920 conicto +5114 9920 4192 9045 conicto +3271 8171 3132 6582 conicto +9664 6592 lineto +end_ol grestore +gsave 115.372500 64.630833 translate 0.035278 -0.035278 scale +start_ol +1984 14912 moveto +5011 14912 lineto +8795 4776 lineto +12599 14912 lineto +15616 14912 lineto +15616 0 lineto +13632 0 lineto +13632 13096 lineto +9808 2880 lineto +7792 2880 lineto +3968 13096 lineto +3968 0 lineto +1984 0 lineto +1984 14912 lineto +end_ol grestore +gsave 117.707812 64.630833 translate 0.035278 -0.035278 scale +start_ol +7008 5568 moveto +4786 5568 3929 5061 conicto +3072 4555 3072 3332 conicto +3072 2359 3715 1787 conicto +4358 1216 5464 1216 conicto +6988 1216 7910 2294 conicto +8832 3372 8832 5161 conicto +8832 5568 lineto +7008 5568 lineto +10688 6345 moveto +10688 0 lineto +8832 0 lineto +8832 1664 lineto +8202 647 7262 163 conicto +6323 -320 4964 -320 conicto +3245 -320 2230 645 conicto +1216 1610 1216 3230 conicto +1216 5120 2482 6080 conicto +3749 7040 6261 7040 conicto +8832 7040 lineto +8832 7226 lineto +8832 8512 7999 9216 conicto +7166 9920 5659 9920 conicto +4702 9920 3794 9696 conicto +2886 9472 2048 9024 conicto +2048 10752 lineto +3058 11104 4008 11280 conicto +4958 11456 5858 11456 conicto +8288 11456 9488 10188 conicto +10688 8921 10688 6345 conicto +end_ol grestore +gsave 119.366261 64.630833 translate 0.035278 -0.035278 scale +start_ol +9152 10816 moveto +9152 9088 lineto +8366 9504 7520 9712 conicto +6674 9920 5768 9920 conicto +4388 9920 3698 9498 conicto +3008 9076 3008 8231 conicto +3008 7588 3497 7221 conicto +3986 6854 5463 6522 conicto +6092 6382 lineto +8066 5962 8897 5196 conicto +9728 4431 9728 3061 conicto +9728 1501 8490 590 conicto +7253 -320 5089 -320 conicto +4188 -320 3211 -144 conicto +2234 32 1152 384 conicto +1152 2304 lineto +2170 1760 3158 1488 conicto +4146 1216 5114 1216 conicto +6411 1216 7109 1662 conicto +7808 2109 7808 2923 conicto +7808 3675 7304 4077 conicto +6800 4479 5094 4850 conicto +4455 5000 lineto +2740 5360 1978 6106 conicto +1216 6852 1216 8153 conicto +1216 9734 2344 10595 conicto +3472 11456 5547 11456 conicto +6574 11456 7480 11296 conicto +8387 11136 9152 10816 conicto +end_ol grestore +gsave 120.777441 64.630833 translate 0.035278 -0.035278 scale +start_ol +3776 14400 moveto +3776 11200 lineto +7552 11200 lineto +7552 9792 lineto +3776 9792 lineto +3776 3693 lineto +3776 2319 4149 1927 conicto +4523 1536 5669 1536 conicto +7552 1536 lineto +7552 0 lineto +5669 0 lineto +3540 0 2730 795 conicto +1920 1591 1920 3693 conicto +1920 9792 lineto +576 9792 lineto +576 11200 lineto +1920 11200 lineto +1920 14400 lineto +3776 14400 lineto +end_ol grestore +gsave 121.838950 64.630833 translate 0.035278 -0.035278 scale +start_ol +11520 6040 moveto +11520 5120 lineto +3072 5120 lineto +3192 3213 4213 2214 conicto +5234 1216 7057 1216 conicto +8113 1216 9104 1472 conicto +10096 1728 11072 2240 conicto +11072 512 lineto +10085 106 9048 -107 conicto +8011 -320 6944 -320 conicto +4273 -320 2712 1242 conicto +1152 2804 1152 5468 conicto +1152 8222 2635 9839 conicto +4119 11456 6636 11456 conicto +8893 11456 10206 9999 conicto +11520 8543 11520 6040 conicto +9664 6592 moveto +9644 8110 8822 9015 conicto +8001 9920 6647 9920 conicto +5114 9920 4192 9045 conicto +3271 8171 3132 6582 conicto +9664 6592 lineto +end_ol grestore +gsave 123.504890 64.630833 translate 0.035278 -0.035278 scale +start_ol +8448 9408 moveto +8136 9605 7769 9698 conicto +7402 9792 6960 9792 conicto +5391 9792 4551 8788 conicto +3712 7785 3712 5907 conicto +3712 0 lineto +1856 0 lineto +1856 11200 lineto +3712 11200 lineto +3712 9472 lineto +4295 10479 5230 10967 conicto +6165 11456 7503 11456 conicto +7694 11456 7925 11424 conicto +8156 11392 8438 11328 conicto +8448 9408 lineto +end_ol grestore +gsave 103.061250 10.204729 translate 0.035278 -0.035278 scale +start_ol +1920 704 moveto +1920 4096 lineto +3072 4086 lineto +3122 2392 4058 1580 conicto +4994 768 6906 768 conicto +8688 768 9624 1472 conicto +10560 2176 10560 3525 conicto +10560 4604 9991 5183 conicto +9422 5763 7588 6312 conicto +5603 6904 lineto +3471 7558 2599 8533 conicto +1728 9509 1728 11209 conicto +1728 13120 3076 14176 conicto +4425 15232 6862 15232 conicto +7901 15232 9139 15003 conicto +10378 14774 11776 14336 conicto +11776 11136 lineto +10624 11136 lineto +10454 12726 9569 13435 conicto +8685 14144 6876 14144 conicto +5297 14144 4472 13496 conicto +3648 12848 3648 11612 conicto +3648 10537 4273 9924 conicto +4898 9312 6923 8709 conicto +8787 8158 lineto +10815 7547 11679 6600 conicto +12544 5653 12544 4059 conicto +12544 1885 11155 782 conicto +9766 -320 7017 -320 conicto +5788 -320 4513 -66 conicto +3239 187 1920 704 conicto +end_ol grestore +gsave 104.914516 10.204729 translate 0.035278 -0.035278 scale +start_ol +6688 640 moveto +8089 640 8844 1680 conicto +9600 2721 9600 4672 conicto +9600 6623 8844 7663 conicto +8089 8704 6688 8704 conicto +5267 8704 4521 7698 conicto +3776 6693 3776 4793 conicto +3776 2792 4531 1716 conicto +5287 640 6688 640 conicto +3392 8201 moveto +4074 8937 4936 9300 conicto +5798 9664 6880 9664 conicto +9116 9664 10414 8326 conicto +11712 6988 11712 4672 conicto +11712 2406 10317 1043 conicto +8923 -320 6593 -320 conicto +4063 -320 2703 1572 conicto +1344 3465 1344 6979 conicto +1344 10915 2955 13073 conicto +4567 15232 7500 15232 conicto +8291 15232 9162 15088 conicto +10033 14944 10944 14656 conicto +10944 12224 lineto +9792 12224 lineto +9672 13223 9021 13747 conicto +8370 14272 7268 14272 conicto +5325 14272 4373 12782 conicto +3422 11292 3392 8201 conicto +end_ol grestore +gsave 106.637904 10.204729 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 107.497097 10.204729 translate 0.035278 -0.035278 scale +start_ol +15619 0 moveto +13981 0 lineto +10565 12108 lineto +7149 0 lineto +5511 0 lineto +1606 13824 lineto +128 13824 lineto +128 14912 lineto +5571 14912 lineto +5571 13824 lineto +3714 13824 lineto +6820 2823 lineto +10215 14912 lineto +11833 14912 lineto +15289 2693 lineto +18415 13824 lineto +16697 13824 lineto +16697 14912 lineto +20992 14912 lineto +20992 13824 lineto +19524 13824 lineto +15619 0 lineto +end_ol grestore +gsave 110.232037 10.204729 translate 0.035278 -0.035278 scale +start_ol +2048 13915 moveto +2048 14369 2378 14704 conicto +2709 15040 3173 15040 conicto +3627 15040 3957 14704 conicto +4288 14369 4288 13915 conicto +4288 13451 3962 13125 conicto +3637 12800 3173 12800 conicto +2709 12800 2378 13125 conicto +2048 13451 2048 13915 conicto +4352 1088 moveto +6080 1088 lineto +6080 0 lineto +704 0 lineto +704 1088 lineto +2496 1088 lineto +2496 9536 lineto +704 9536 lineto +704 10624 lineto +4352 10624 lineto +4352 1088 lineto +end_ol grestore +gsave 111.098721 10.204729 translate 0.035278 -0.035278 scale +start_ol +1152 576 moveto +1152 3072 lineto +2240 3072 lineto +2280 1851 2986 1245 conicto +3692 640 5073 640 conicto +6315 640 6965 1115 conicto +7616 1590 7616 2499 conicto +7616 3214 7134 3653 conicto +6653 4092 5101 4593 conicto +3752 5050 lineto +2382 5490 1767 6149 conicto +1152 6808 1152 7827 conicto +1152 9286 2225 10115 conicto +3299 10944 5195 10944 conicto +6037 10944 6970 10731 conicto +7903 10518 8896 10112 conicto +8896 7808 lineto +7808 7808 lineto +7768 8831 7087 9407 conicto +6406 9984 5234 9984 conicto +4072 9984 3476 9573 conicto +2880 9163 2880 8342 conicto +2880 7671 3336 7265 conicto +3793 6860 5162 6439 conicto +6642 5983 lineto +8147 5507 8809 4794 conicto +9472 4081 9472 2958 conicto +9472 1430 8315 555 conicto +7159 -320 5127 -320 conicto +4096 -320 3114 -96 conicto +2133 128 1152 576 conicto +end_ol grestore +gsave 112.487420 10.204729 translate 0.035278 -0.035278 scale +start_ol +832 0 moveto +832 1088 lineto +2496 1088 lineto +2496 14464 lineto +704 14464 lineto +704 15552 lineto +4352 15552 lineto +4352 8779 lineto +4863 9852 5680 10398 conicto +6497 10944 7580 10944 conicto +9344 10944 10176 9937 conicto +11008 8930 11008 6796 conicto +11008 1088 lineto +12672 1088 lineto +12672 0 lineto +7552 0 lineto +7552 1088 lineto +9152 1088 lineto +9152 6215 lineto +9152 8168 8676 8884 conicto +8200 9600 6977 9600 conicto +5695 9600 5023 8668 conicto +4352 7737 4352 5955 conicto +4352 1088 lineto +5952 1088 lineto +5952 0 lineto +832 0 lineto +end_ol grestore +gsave 114.230784 10.204729 translate 0.035278 -0.035278 scale +start_ol +2368 1088 moveto +2368 14464 lineto +576 14464 lineto +576 15552 lineto +4224 15552 lineto +4224 8993 lineto +4763 9994 5597 10469 conicto +6432 10944 7661 10944 conicto +9619 10944 10857 9386 conicto +12096 7829 12096 5317 conicto +12096 2805 10857 1242 conicto +9619 -320 7661 -320 conicto +6432 -320 5597 169 conicto +4763 658 4224 1688 conicto +4224 0 lineto +576 0 lineto +576 1088 lineto +2368 1088 lineto +4224 4815 moveto +4224 2869 4954 1850 conicto +5685 832 7077 832 conicto +8479 832 9199 1968 conicto +9920 3105 9920 5317 conicto +9920 7539 9199 8665 conicto +8479 9792 7077 9792 conicto +5685 9792 4954 8783 conicto +4224 7774 4224 5865 conicto +4224 4815 lineto +end_ol grestore +gsave 115.964161 10.204729 translate 0.035278 -0.035278 scale +start_ol +6176 640 moveto +7649 640 8400 1824 conicto +9152 3009 9152 5317 conicto +9152 7625 8400 8804 conicto +7649 9984 6176 9984 conicto +4703 9984 3951 8804 conicto +3200 7625 3200 5317 conicto +3200 3009 3956 1824 conicto +4713 640 6176 640 conicto +6176 -320 moveto +3851 -320 2437 1222 conicto +1024 2765 1024 5317 conicto +1024 7869 2432 9406 conicto +3841 10944 6176 10944 conicto +8511 10944 9919 9406 conicto +11328 7869 11328 5317 conicto +11328 2765 9919 1222 conicto +8511 -320 6176 -320 conicto +end_ol grestore +gsave 117.592638 10.204729 translate 0.035278 -0.035278 scale +start_ol +832 0 moveto +832 1088 lineto +2496 1088 lineto +2496 9536 lineto +704 9536 lineto +704 10624 lineto +4352 10624 lineto +4352 8721 lineto +4863 9822 5680 10383 conicto +6497 10944 7580 10944 conicto +9344 10944 10176 9926 conicto +11008 8909 11008 6753 conicto +11008 1088 lineto +12672 1088 lineto +12672 0 lineto +7552 0 lineto +7552 1088 lineto +9152 1088 lineto +9152 6177 lineto +9152 8140 8671 8870 conicto +8190 9600 6977 9600 conicto +5695 9600 5023 8659 conicto +4352 7719 4352 5918 conicto +4352 1088 lineto +5952 1088 lineto +5952 0 lineto +832 0 lineto +end_ol grestore +gsave 119.336002 10.204729 translate 0.035278 -0.035278 scale +start_ol +11136 5120 moveto +3200 5120 lineto +3200 5036 lineto +3200 2858 4010 1749 conicto +4821 640 6401 640 conicto +7611 640 8386 1269 conicto +9162 1898 9472 3136 conicto +10944 3136 lineto +10503 1413 9315 546 conicto +8128 -320 6194 -320 conicto +3860 -320 2442 1222 conicto +1024 2765 1024 5317 conicto +1024 7849 2418 9396 conicto +3813 10944 6080 10944 conicto +8498 10944 9792 9453 conicto +11086 7962 11136 5120 conicto +8960 6208 moveto +8900 8081 8175 9032 conicto +7450 9984 6090 9984 conicto +4820 9984 4090 9027 conicto +3360 8071 3200 6208 conicto +8960 6208 lineto +end_ol grestore +gsave 120.937004 10.204729 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 121.796197 10.204729 translate 0.035278 -0.035278 scale +start_ol +5056 1088 moveto +8016 1088 lineto +9806 1088 10631 1863 conicto +11456 2639 11456 4330 conicto +11456 6011 10636 6781 conicto +9816 7552 8016 7552 conicto +5056 7552 lineto +5056 1088 lineto +5056 8640 moveto +7570 8640 lineto +9193 8640 9940 9263 conicto +10688 9887 10688 11232 conicto +10688 12587 9940 13205 conicto +9193 13824 7570 13824 conicto +5056 13824 lineto +5056 8640 lineto +1088 0 moveto +1088 1088 lineto +3008 1088 lineto +3008 13824 lineto +1088 13824 lineto +1088 14912 lineto +8461 14912 lineto +10712 14912 11852 13990 conicto +12992 13069 12992 11236 conicto +12992 9911 12198 9124 conicto +11404 8337 9876 8158 conicto +11783 7918 12771 6942 conicto +13760 5966 13760 4324 conicto +13760 2102 12361 1051 conicto +10963 0 7996 0 conicto +1088 0 lineto +end_ol grestore +gsave 123.784334 10.204729 translate 0.035278 -0.035278 scale +start_ol +7296 10624 moveto +10752 10624 lineto +10752 1024 lineto +12480 1024 lineto +12480 0 lineto +8896 0 lineto +8896 1845 lineto +8385 787 7573 233 conicto +6761 -320 5688 -320 conicto +3914 -320 3077 684 conicto +2240 1689 2240 3838 conicto +2240 9536 lineto +576 9536 lineto +576 10624 lineto +4096 10624 lineto +4096 4409 lineto +4096 2466 4572 1745 conicto +5048 1024 6271 1024 conicto +7553 1024 8224 1965 conicto +8896 2907 8896 4699 conicto +8896 9536 lineto +7296 9536 lineto +7296 10624 lineto +end_ol grestore +gsave 125.527699 10.204729 translate 0.035278 -0.035278 scale +start_ol +1152 576 moveto +1152 3072 lineto +2240 3072 lineto +2280 1851 2986 1245 conicto +3692 640 5073 640 conicto +6315 640 6965 1115 conicto +7616 1590 7616 2499 conicto +7616 3214 7134 3653 conicto +6653 4092 5101 4593 conicto +3752 5050 lineto +2382 5490 1767 6149 conicto +1152 6808 1152 7827 conicto +1152 9286 2225 10115 conicto +3299 10944 5195 10944 conicto +6037 10944 6970 10731 conicto +7903 10518 8896 10112 conicto +8896 7808 lineto +7808 7808 lineto +7768 8831 7087 9407 conicto +6406 9984 5234 9984 conicto +4072 9984 3476 9573 conicto +2880 9163 2880 8342 conicto +2880 7671 3336 7265 conicto +3793 6860 5162 6439 conicto +6642 5983 lineto +8147 5507 8809 4794 conicto +9472 4081 9472 2958 conicto +9472 1430 8315 555 conicto +7159 -320 5127 -320 conicto +4096 -320 3114 -96 conicto +2133 128 1152 576 conicto +end_ol grestore +gsave 107.142500 13.591396 translate 0.035278 -0.035278 scale +start_ol +5056 7616 moveto +7691 7616 lineto +9186 7616 9969 8414 conicto +10752 9213 10752 10720 conicto +10752 12237 9969 13030 conicto +9186 13824 7691 13824 conicto +5056 13824 lineto +5056 7616 lineto +1088 0 moveto +1088 1088 lineto +3008 1088 lineto +3008 13824 lineto +1088 13824 lineto +1088 14912 lineto +8183 14912 lineto +10429 14912 11742 13779 conicto +13056 12646 13056 10720 conicto +13056 8804 11742 7666 conicto +10429 6528 8183 6528 conicto +5056 6528 lineto +5056 1088 lineto +7360 1088 lineto +7360 0 lineto +1088 0 lineto +end_ol grestore +gsave 108.840910 13.591396 translate 0.035278 -0.035278 scale +start_ol +11136 5120 moveto +3200 5120 lineto +3200 5036 lineto +3200 2858 4010 1749 conicto +4821 640 6401 640 conicto +7611 640 8386 1269 conicto +9162 1898 9472 3136 conicto +10944 3136 lineto +10503 1413 9315 546 conicto +8128 -320 6194 -320 conicto +3860 -320 2442 1222 conicto +1024 2765 1024 5317 conicto +1024 7849 2418 9396 conicto +3813 10944 6080 10944 conicto +8498 10944 9792 9453 conicto +11086 7962 11136 5120 conicto +8960 6208 moveto +8900 8081 8175 9032 conicto +7450 9984 6090 9984 conicto +4820 9984 4090 9027 conicto +3360 8071 3200 6208 conicto +8960 6208 lineto +end_ol grestore +gsave 110.441912 13.591396 translate 0.035278 -0.035278 scale +start_ol +9792 10688 moveto +9792 8000 lineto +8704 8000 lineto +8654 8805 8267 9202 conicto +7881 9600 7138 9600 conicto +5789 9600 5070 8653 conicto +4352 7707 4352 5935 conicto +4352 1088 lineto +6464 1088 lineto +6464 0 lineto +832 0 lineto +832 1088 lineto +2496 1088 lineto +2496 9600 lineto +704 9600 lineto +704 10624 lineto +4352 10624 lineto +4352 8764 lineto +4891 9874 5739 10409 conicto +6588 10944 7806 10944 conicto +8255 10944 8749 10877 conicto +9243 10811 9792 10688 conicto +end_ol grestore +gsave 111.735700 13.591396 translate 0.035278 -0.035278 scale +start_ol +2048 13915 moveto +2048 14369 2378 14704 conicto +2709 15040 3173 15040 conicto +3627 15040 3957 14704 conicto +4288 14369 4288 13915 conicto +4288 13451 3962 13125 conicto +3637 12800 3173 12800 conicto +2709 12800 2378 13125 conicto +2048 13451 2048 13915 conicto +4352 1088 moveto +6080 1088 lineto +6080 0 lineto +704 0 lineto +704 1088 lineto +2496 1088 lineto +2496 9536 lineto +704 9536 lineto +704 10624 lineto +4352 10624 lineto +4352 1088 lineto +end_ol grestore +gsave 112.602384 13.591396 translate 0.035278 -0.035278 scale +start_ol +4224 5814 moveto +4224 4764 lineto +4224 2843 4954 1837 conicto +5685 832 7077 832 conicto +8479 832 9199 1968 conicto +9920 3105 9920 5317 conicto +9920 7539 9199 8665 conicto +8479 9792 7077 9792 conicto +5685 9792 4954 8769 conicto +4224 7747 4224 5814 conicto +2368 9536 moveto +576 9536 lineto +576 10624 lineto +4224 10624 lineto +4224 8946 lineto +4763 9971 5597 10457 conicto +6432 10944 7661 10944 conicto +9619 10944 10857 9386 conicto +12096 7829 12096 5317 conicto +12096 2805 10857 1242 conicto +9619 -320 7661 -320 conicto +6432 -320 5597 155 conicto +4763 631 4224 1632 conicto +4224 -3200 lineto +5952 -3200 lineto +5952 -4288 lineto +576 -4288 lineto +576 -3200 lineto +2368 -3200 lineto +2368 9536 lineto +end_ol grestore +gsave 114.335760 13.591396 translate 0.035278 -0.035278 scale +start_ol +832 0 moveto +832 1088 lineto +2496 1088 lineto +2496 14464 lineto +704 14464 lineto +704 15552 lineto +4352 15552 lineto +4352 8779 lineto +4863 9852 5680 10398 conicto +6497 10944 7580 10944 conicto +9344 10944 10176 9937 conicto +11008 8930 11008 6796 conicto +11008 1088 lineto +12672 1088 lineto +12672 0 lineto +7552 0 lineto +7552 1088 lineto +9152 1088 lineto +9152 6215 lineto +9152 8168 8676 8884 conicto +8200 9600 6977 9600 conicto +5695 9600 5023 8668 conicto +4352 7737 4352 5955 conicto +4352 1088 lineto +5952 1088 lineto +5952 0 lineto +832 0 lineto +end_ol grestore +gsave 116.079125 13.591396 translate 0.035278 -0.035278 scale +start_ol +11136 5120 moveto +3200 5120 lineto +3200 5036 lineto +3200 2858 4010 1749 conicto +4821 640 6401 640 conicto +7611 640 8386 1269 conicto +9162 1898 9472 3136 conicto +10944 3136 lineto +10503 1413 9315 546 conicto +8128 -320 6194 -320 conicto +3860 -320 2442 1222 conicto +1024 2765 1024 5317 conicto +1024 7849 2418 9396 conicto +3813 10944 6080 10944 conicto +8498 10944 9792 9453 conicto +11086 7962 11136 5120 conicto +8960 6208 moveto +8900 8081 8175 9032 conicto +7450 9984 6090 9984 conicto +4820 9984 4090 9027 conicto +3360 8071 3200 6208 conicto +8960 6208 lineto +end_ol grestore +gsave 117.680127 13.591396 translate 0.035278 -0.035278 scale +start_ol +9792 10688 moveto +9792 8000 lineto +8704 8000 lineto +8654 8805 8267 9202 conicto +7881 9600 7138 9600 conicto +5789 9600 5070 8653 conicto +4352 7707 4352 5935 conicto +4352 1088 lineto +6464 1088 lineto +6464 0 lineto +832 0 lineto +832 1088 lineto +2496 1088 lineto +2496 9600 lineto +704 9600 lineto +704 10624 lineto +4352 10624 lineto +4352 8764 lineto +4891 9874 5739 10409 conicto +6588 10944 7806 10944 conicto +8255 10944 8749 10877 conicto +9243 10811 9792 10688 conicto +end_ol grestore +gsave 118.973914 13.591396 translate 0.035278 -0.035278 scale +start_ol +8128 3343 moveto +8128 5568 lineto +5769 5568 lineto +4406 5568 3739 4979 conicto +3072 4390 3072 3173 conicto +3072 2065 3749 1416 conicto +4426 768 5580 768 conicto +6725 768 7426 1482 conicto +8128 2196 8128 3343 conicto +9984 6636 moveto +9984 1088 lineto +11648 1088 lineto +11648 0 lineto +8128 0 lineto +8128 1177 lineto +7520 408 6723 44 conicto +5926 -320 4860 -320 conicto +3096 -320 2060 623 conicto +1024 1567 1024 3173 conicto +1024 4829 2210 5742 conicto +3397 6656 5560 6656 conicto +8128 6656 lineto +8128 7380 lineto +8128 8620 7399 9302 conicto +6671 9984 5353 9984 conicto +4263 9984 3619 9467 conicto +2975 8950 2816 7936 conicto +1856 7936 lineto +1856 10112 lineto +2813 10528 3716 10736 conicto +4619 10944 5476 10944 conicto +7680 10944 8832 9842 conicto +9984 8740 9984 6636 conicto +end_ol grestore +gsave 120.587401 13.591396 translate 0.035278 -0.035278 scale +start_ol +4224 1088 moveto +5952 1088 lineto +5952 0 lineto +576 0 lineto +576 1088 lineto +2368 1088 lineto +2368 14464 lineto +576 14464 lineto +576 15552 lineto +4224 15552 lineto +4224 1088 lineto +end_ol grestore +gsave 121.454085 13.591396 translate 0.035278 -0.035278 scale +start_ol +1152 576 moveto +1152 3072 lineto +2240 3072 lineto +2280 1851 2986 1245 conicto +3692 640 5073 640 conicto +6315 640 6965 1115 conicto +7616 1590 7616 2499 conicto +7616 3214 7134 3653 conicto +6653 4092 5101 4593 conicto +3752 5050 lineto +2382 5490 1767 6149 conicto +1152 6808 1152 7827 conicto +1152 9286 2225 10115 conicto +3299 10944 5195 10944 conicto +6037 10944 6970 10731 conicto +7903 10518 8896 10112 conicto +8896 7808 lineto +7808 7808 lineto +7768 8831 7087 9407 conicto +6406 9984 5234 9984 conicto +4072 9984 3476 9573 conicto +2880 9163 2880 8342 conicto +2880 7671 3336 7265 conicto +3793 6860 5162 6439 conicto +6642 5983 lineto +8147 5507 8809 4794 conicto +9472 4081 9472 2958 conicto +9472 1430 8315 555 conicto +7159 -320 5127 -320 conicto +4096 -320 3114 -96 conicto +2133 128 1152 576 conicto +end_ol grestore +0.800000 slw +[] 0 sd +[] 0 sd +0 slc +n 98.000000 8.000000 m 98.000000 62.000000 l s +0.400000 slw +[] 0 sd +[] 0 sd +1 slj +1.000000 1.000000 1.000000 srgb +n 69.500000 8.000000 m 69.500000 14.000000 l 92.500000 14.000000 l 92.500000 8.000000 l f +n 69.500000 9.500000 m 69.500000 9.500000 1.500000 1.500000 180.000000 270.000000 ellipse f +n 92.500000 9.500000 m 92.500000 9.500000 1.500000 1.500000 270.000000 360.000000 ellipse f +n 68.000000 9.500000 m 68.000000 12.500000 l 94.000000 12.500000 l 94.000000 9.500000 l f +n 69.500000 12.500000 m 69.500000 12.500000 1.500000 1.500000 90.000000 180.000000 ellipse f +n 92.500000 12.500000 m 92.500000 12.500000 1.500000 1.500000 0.000000 90.000000 ellipse f +0.000000 0.000000 0.000000 srgb +n 69.500000 8.000000 m 92.500000 8.000000 l s +n 69.500000 14.000000 m 92.500000 14.000000 l s +n 69.500000 9.500000 1.500000 1.500000 180.000000 270.000000 ellipse s +n 92.500000 9.500000 1.500000 1.500000 270.000000 360.000000 ellipse s +n 68.000000 9.500000 m 68.000000 12.500000 l s +n 94.000000 9.500000 m 94.000000 12.500000 l s +n 69.500000 12.500000 1.500000 1.500000 90.000000 180.000000 ellipse s +n 92.500000 12.500000 1.500000 1.500000 0.000000 90.000000 ellipse s +gsave 72.967500 11.703750 translate 0.035278 -0.035278 scale +start_ol +6783 5241 moveto +7271 5077 7732 4539 conicto +8194 4002 8659 3062 conicto +10176 0 lineto +8569 0 lineto +7134 2875 lineto +6582 4001 6063 4368 conicto +5544 4736 4649 4736 conicto +3008 4736 lineto +3008 0 lineto +1472 0 lineto +1472 11200 lineto +4903 11200 lineto +6818 11200 7761 10396 conicto +8704 9592 8704 7969 conicto +8704 6909 8212 6210 conicto +7721 5512 6783 5241 conicto +3008 9984 moveto +3008 5952 lineto +4903 5952 lineto +5992 5952 6548 6465 conicto +7104 6979 7104 7976 conicto +7104 8972 6548 9478 conicto +5992 9984 4903 9984 conicto +3008 9984 lineto +end_ol grestore +gsave 74.286266 11.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 75.535099 11.703750 translate 0.035278 -0.035278 scale +start_ol +5234 4224 moveto +3580 4224 2942 3844 conicto +2304 3464 2304 2547 conicto +2304 1817 2782 1388 conicto +3261 960 4084 960 conicto +5220 960 5906 1768 conicto +6592 2576 6592 3917 conicto +6592 4224 lineto +5234 4224 lineto +8000 4798 moveto +8000 0 lineto +6592 0 lineto +6592 1280 lineto +6121 526 5418 167 conicto +4716 -192 3699 -192 conicto +2413 -192 1654 529 conicto +896 1251 896 2463 conicto +896 3876 1845 4594 conicto +2795 5312 4678 5312 conicto +6592 5312 lineto +6592 5444 lineto +6592 6389 5971 6906 conicto +5350 7424 4228 7424 conicto +3514 7424 2837 7248 conicto +2161 7072 1536 6720 conicto +1536 8000 lineto +2292 8288 3002 8432 conicto +3713 8576 4386 8576 conicto +6204 8576 7102 7639 conicto +8000 6702 8000 4798 conicto +end_ol grestore +gsave 76.778930 11.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 77.343402 11.703750 translate 0.035278 -0.035278 scale +start_ol +768 4800 moveto +4800 4800 lineto +4800 3584 lineto +768 3584 lineto +768 4800 lineto +end_ol grestore +gsave 77.887890 11.703750 translate 0.035278 -0.035278 scale +start_ol +-64 11200 moveto +9408 11200 lineto +9408 9920 lineto +5440 9920 lineto +5440 0 lineto +3904 0 lineto +3904 9920 lineto +-64 9920 lineto +-64 11200 lineto +end_ol grestore +gsave 79.064285 11.703750 translate 0.035278 -0.035278 scale +start_ol +1472 8384 moveto +2880 8384 lineto +2880 0 lineto +1472 0 lineto +1472 8384 lineto +1472 11648 moveto +2880 11648 lineto +2880 9920 lineto +1472 9920 lineto +1472 11648 lineto +end_ol grestore +gsave 79.628757 11.703750 translate 0.035278 -0.035278 scale +start_ol +8020 6735 moveto +8538 7678 9259 8127 conicto +9980 8576 10956 8576 conicto +12269 8576 12982 7654 conicto +13696 6732 13696 5031 conicto +13696 0 lineto +12288 0 lineto +12288 4986 lineto +12288 6192 11862 6776 conicto +11437 7360 10563 7360 conicto +9495 7360 8875 6649 conicto +8256 5938 8256 4711 conicto +8256 0 lineto +6848 0 lineto +6848 4986 lineto +6848 6199 6422 6779 conicto +5997 7360 5108 7360 conicto +4055 7360 3435 6645 conicto +2816 5931 2816 4711 conicto +2816 0 lineto +1408 0 lineto +1408 8384 lineto +2816 8384 lineto +2816 7040 lineto +3288 7827 3948 8201 conicto +4608 8576 5515 8576 conicto +6430 8576 7071 8104 conicto +7713 7633 8020 6735 conicto +end_ol grestore +gsave 81.606907 11.703750 translate 0.035278 -0.035278 scale +start_ol +8640 4520 moveto +8640 3840 lineto +2304 3840 lineto +2394 2433 3162 1696 conicto +3930 960 5301 960 conicto +6095 960 6840 1152 conicto +7586 1344 8320 1728 conicto +8320 448 lineto +7575 135 6792 -28 conicto +6010 -192 5204 -192 conicto +3188 -192 2010 971 conicto +832 2134 832 4117 conicto +832 6168 1949 7372 conicto +3066 8576 4962 8576 conicto +6662 8576 7651 7485 conicto +8640 6394 8640 4520 conicto +7232 4928 moveto +7217 6067 6603 6745 conicto +5989 7424 4977 7424 conicto +3830 7424 3141 6768 conicto +2453 6112 2349 4921 conicto +7232 4928 lineto +end_ol grestore +gsave 82.855740 11.703750 translate 0.035278 -0.035278 scale +start_ol +end_ol grestore +gsave 83.500133 11.703750 translate 0.035278 -0.035278 scale +start_ol +9856 10304 moveto +9856 8704 lineto +9092 9444 8226 9810 conicto +7361 10176 6387 10176 conicto +4470 10176 3451 8999 conicto +2432 7822 2432 5596 conicto +2432 3378 3451 2201 conicto +4470 1024 6387 1024 conicto +7361 1024 8226 1390 conicto +9092 1756 9856 2496 conicto +9856 896 lineto +9062 352 8173 80 conicto +7285 -192 6296 -192 conicto +3755 -192 2293 1360 conicto +832 2912 832 5596 conicto +832 8288 2293 9840 conicto +3755 11392 6296 11392 conicto +7300 11392 8188 11120 conicto +9077 10848 9856 10304 conicto +end_ol grestore +gsave 84.918804 11.703750 translate 0.035278 -0.035278 scale +start_ol +1472 11648 moveto +2880 11648 lineto +2880 0 lineto +1472 0 lineto +1472 11648 lineto +end_ol grestore +gsave 85.483276 11.703750 translate 0.035278 -0.035278 scale +start_ol +4676 7424 moveto +3579 7424 2941 6560 conicto +2304 5696 2304 4192 conicto +2304 2688 2937 1824 conicto +3571 960 4676 960 conicto +5765 960 6402 1828 conicto +7040 2696 7040 4192 conicto +7040 5681 6402 6552 conicto +5765 7424 4676 7424 conicto +4672 8576 moveto +6465 8576 7488 7413 conicto +8512 6250 8512 4192 conicto +8512 2142 7488 975 conicto +6465 -192 4672 -192 conicto +2872 -192 1852 975 conicto +832 2142 832 4192 conicto +832 6250 1852 7413 conicto +2872 8576 4672 8576 conicto +end_ol grestore +gsave 86.724610 11.703750 translate 0.035278 -0.035278 scale +start_ol +7488 8064 moveto +7488 6784 lineto +6904 7104 6316 7264 conicto +5728 7424 5128 7424 conicto +3787 7424 3045 6575 conicto +2304 5726 2304 4192 conicto +2304 2658 3045 1809 conicto +3787 960 5128 960 conicto +5728 960 6316 1120 conicto +6904 1280 7488 1600 conicto +7488 320 lineto +6910 64 6290 -64 conicto +5670 -192 4971 -192 conicto +3071 -192 1951 993 conicto +832 2179 832 4192 conicto +832 6235 1962 7405 conicto +3093 8576 5061 8576 conicto +5700 8576 6308 8448 conicto +6917 8320 7488 8064 conicto +end_ol grestore +gsave 87.841060 11.703750 translate 0.035278 -0.035278 scale +start_ol +1408 11648 moveto +2816 11648 lineto +2816 4768 lineto +6894 8384 lineto +8640 8384 lineto +4227 4461 lineto +8832 0 lineto +7047 0 lineto +2816 4095 lineto +2816 0 lineto +1408 0 lineto +1408 11648 lineto +end_ol grestore +0.600000 slw +[] 0 sd +[] 0 sd +0 slc +n 94.000000 11.000000 m 98.000000 11.000000 l s +showpage Index: doc/src/gpl-3.0.tex =================================================================== --- doc/src/gpl-3.0.tex (nonexistent) +++ doc/src/gpl-3.0.tex (revision 7) @@ -0,0 +1,719 @@ +\documentclass[11pt]{article} + +\title{GNU GENERAL PUBLIC LICENSE} +\date{Version 3, 29 June 2007} + +\begin{document} +\maketitle + +\begin{center} +{\parindent 0in + +Copyright \copyright\ 2007 Free Software Foundation, Inc. \texttt{http://fsf.org/} + +\bigskip +Everyone is permitted to copy and distribute verbatim copies of this + +license document, but changing it is not allowed.} + +\end{center} + +\renewcommand{\abstractname}{Preamble} +\begin{abstract} +The GNU General Public License is a free, copyleft license for +software and other kinds of works. + +The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + +When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + +To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + +For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + +Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + +For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + +Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + +Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + +The precise terms and conditions for copying, distribution and +modification follow. +\end{abstract} + +\begin{center} +{\Large \sc Terms and Conditions} +\end{center} + + +\begin{enumerate} + +\addtocounter{enumi}{-1} + +\item Definitions. + +``This License'' refers to version 3 of the GNU General Public License. + +``Copyright'' also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + +``The Program'' refers to any copyrightable work licensed under this +License. Each licensee is addressed as ``you''. ``Licensees'' and +``recipients'' may be individuals or organizations. + +To ``modify'' a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a ``modified version'' of the +earlier work or a work ``based on'' the earlier work. + +A ``covered work'' means either the unmodified Program or a work based +on the Program. + +To ``propagate'' a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + +To ``convey'' a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + +An interactive user interface displays ``Appropriate Legal Notices'' +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + +\item Source Code. + +The ``source code'' for a work means the preferred form of the work +for making modifications to it. ``Object code'' means any non-source +form of a work. + +A ``Standard Interface'' means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + +The ``System Libraries'' of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +``Major Component'', in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + +The ``Corresponding Source'' for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + +The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + +The Corresponding Source for a work in source code form is that +same work. + +\item Basic Permissions. + +All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + +You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + +Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + +\item Protecting Users' Legal Rights From Anti-Circumvention Law. + +No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + +When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + +\item Conveying Verbatim Copies. + +You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + +You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + +\item Conveying Modified Source Versions. + +You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + \begin{enumerate} + \item The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + \item The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + ``keep intact all notices''. + + \item You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + \item If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. +\end{enumerate} +A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +``aggregate'' if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + +\item Conveying Non-Source Forms. + +You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + \begin{enumerate} + \item Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + \item Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + \item Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + \item Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + \item Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + \end{enumerate} + +A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + +A ``User Product'' is either (1) a ``consumer product'', which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, ``normally used'' refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + +``Installation Information'' for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + +If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + +The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + +Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + +\item Additional Terms. + +``Additional permissions'' are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + +When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + +Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + \begin{enumerate} + \item Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + \item Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + \item Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + \item Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + \item Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + \item Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + \end{enumerate} + +All other non-permissive additional terms are considered ``further +restrictions'' within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + +If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + +Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + +\item Termination. + +You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + +However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + +Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + +Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + +\item Acceptance Not Required for Having Copies. + +You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + +\item Automatic Licensing of Downstream Recipients. + +Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + +An ``entity transaction'' is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + +You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + +\item Patents. + +A ``contributor'' is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's ``contributor version''. + +A contributor's ``essential patent claims'' are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, ``control'' includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + +Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + +In the following three paragraphs, a ``patent license'' is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To ``grant'' such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + +If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. ``Knowingly relying'' means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + +If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + +A patent license is ``discriminatory'' if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + +Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + +\item No Surrender of Others' Freedom. + +If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + +\item Use with the GNU Affero General Public License. + +Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + +\item Revised Versions of this License. + +The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + +Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License ``or any later version'' applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + +If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + +Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + +\item Disclaimer of Warranty. + +\begin{sloppypar} + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY + APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE + COPYRIGHT HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM ``AS IS'' + WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED OR IMPLIED, + INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF + MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE + RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. + SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL + NECESSARY SERVICING, REPAIR OR CORRECTION. +\end{sloppypar} + +\item Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN + WRITING WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES + AND/OR CONVEYS THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR + DAMAGES, INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL + DAMAGES ARISING OUT OF THE USE OR INABILITY TO USE THE PROGRAM + (INCLUDING BUT NOT LIMITED TO LOSS OF DATA OR DATA BEING RENDERED + INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD PARTIES OR A FAILURE + OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), EVEN IF SUCH + HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH + DAMAGES. + +\item Interpretation of Sections 15 and 16. + +If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + +\begin{center} +{\Large\sc End of Terms and Conditions} + +\bigskip +How to Apply These Terms to Your New Programs +\end{center} + +If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + +To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the ``copyright'' line and a pointer to where the full notice is found. + +{\footnotesize +\begin{verbatim} + + +Copyright (C) + +This program is free software: you can redistribute it and/or modify +it under the terms of the GNU General Public License as published by +the Free Software Foundation, either version 3 of the License, or +(at your option) any later version. + +This program is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +GNU General Public License for more details. + +You should have received a copy of the GNU General Public License +along with this program. If not, see . +\end{verbatim} +} + +Also add information on how to contact you by electronic and paper mail. + +If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + +{\footnotesize +\begin{verbatim} + Copyright (C) + +This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. +This is free software, and you are welcome to redistribute it +under certain conditions; type `show c' for details. +\end{verbatim} +} + +The hypothetical commands {\tt show w} and {\tt show c} should show +the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an ``about box''. + +You should also get your employer (if you work as a programmer) or +school, if any, to sign a ``copyright disclaimer'' for the program, if +necessary. For more information on this, and how to apply and follow +the GNU GPL, see \texttt{http://www.gnu.org/licenses/}. + +The GNU General Public License does not permit incorporating your +program into proprietary programs. If your program is a subroutine +library, you may consider it more useful to permit linking proprietary +applications with the library. If this is what you want to do, use +the GNU Lesser General Public License instead of this License. But +first, please read \texttt{http://www.gnu.org/philosophy/why-not-lgpl.html}. + +\end{enumerate} + +\end{document} Index: doc/src/GT.eps =================================================================== --- doc/src/GT.eps (nonexistent) +++ doc/src/GT.eps (revision 7) @@ -0,0 +1,94 @@ +%!PS-Adobe-3.0 EPSF-3.0 +%%BoundingBox: 0 0 504 288 +%%Creator: Gisselquist Technology LLC +%%Title: Gisselquist Technology Logo +%%CreationDate: 11 Mar 2014 +%%EndComments +%%BeginProlog +/black { 0 setgray } def +/white { 1 setgray } def +/height { 288 } def +/lw { height 8 div } def +%%EndProlog +% %%Page: 1 + +false { % A bounding box + 0 setlinewidth + newpath + 0 0 moveto + 0 height lineto + 1.625 height mul lw add 0 rlineto + 0 height neg rlineto + closepath stroke +} if + +true { % The "G" + newpath + height 2 div 1.25 mul height moveto + height 2 div height 4 div sub height lineto + 0 height 3 4 div mul lineto + 0 height 4 div lineto + height 4 div 0 lineto + height 3 4 div mul 0 lineto + height height 4 div lineto + height height 2 div lineto + % + height lw sub height 2 div lineto + height lw sub height 4 div lw 2 div add lineto + height 3 4 div mul lw 2 div sub lw lineto + height 4 div lw 2 div add lw lineto + lw height 4 div lw 2 div add lineto + lw height 3 4 div mul lw 2 div sub lineto + height 4 div lw 2 div add height lw sub lineto + height 2 div 1.25 mul height lw sub lineto + closepath fill + newpath + height 2 div height 2 div moveto + height 2 div 0 rlineto + 0 height 2 div neg rlineto + lw neg 0 rlineto + 0 height 2 div lw sub rlineto + height 2 div height 2 div lw sub lineto + closepath fill +} if + +height 2 div 1.25 mul lw add 0 translate +false { + newpath + 0 height moveto + height 0 rlineto + 0 lw neg rlineto + height lw sub 2 div neg 0 rlineto + 0 height lw sub neg rlineto + lw neg 0 rlineto + 0 height lw sub rlineto + height lw sub 2 div neg 0 rlineto + 0 lw rlineto + closepath fill +} if + +true { % The "T" of "GT". + newpath + 0 height moveto + height lw add 2 div 0 rlineto + 0 height neg rlineto + lw neg 0 rlineto + 0 height lw sub rlineto + height lw sub 2 div neg 0 rlineto + closepath fill + + % The right half of the top of the "T" + newpath + % (height + lw)/2 + lw + height lw add 2 div lw add height moveto + % height - (above) = height - height/2 - 3/2 lw = height/2-3/2lw + height 3 lw mul sub 2 div 0 rlineto + 0 lw neg rlineto + height 3 lw mul sub 2 div neg 0 rlineto + closepath fill +} if + + +grestore +showpage +%%EOF Index: doc/src/gqtekspec.cls =================================================================== --- doc/src/gqtekspec.cls (nonexistent) +++ doc/src/gqtekspec.cls (revision 7) @@ -0,0 +1,296 @@ +%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%/ +% +% Copyright (C) 2015, Gisselquist Technology, LLC +% +% This template is free software: you can redistribute it and/or modify it +% under the terms of the GNU General Public License as published by the +% Free Software Foundation, either version 3 of the License, or (at your +% option) any later version. +% +% This template is distributed in the hope that it will be useful, but WITHOUT +% ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or +% FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +% for more details. +% +% You should have received a copy of the GNU General Public License along +% with this program. If not, see for a copy. +% +% License: GPL, v3, as defined and found on www.gnu.org, +% http://www.gnu.org/licenses/gpl.html +% +% +%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +% \NeedsTeXFormat{LaTeX2e}[1995/12/01] +\ProvidesClass{gqtekspec}[2015/03/03 v0.1 -- Gisselquist Technology Specification] +\typeout{by Dan Gisselquist} +\LoadClassWithOptions{report} +\usepackage{datetime} +\usepackage{graphicx} +\usepackage[dvips]{pstricks} +\usepackage{hhline} +\usepackage{colortbl} +\newdateformat{headerdate}{\THEYEAR/\twodigit{\THEMONTH}/\twodigit{\THEDAY}} +\setlength{\hoffset}{0.25in} +\setlength{\voffset}{-0.5in} +\setlength{\marginparwidth}{0in} +\setlength{\marginparsep}{0in} +\setlength{\textwidth}{6in} +\setlength{\oddsidemargin}{0in} + +% ************************************** +% * APPENDIX * +% ************************************** +% +\newcommand\appfl@g{\appendixname} %used to test \@chapapp +% +% \renewcommand\appendix{\par\clearpage + % \setcounter{chapter}{0}% + % \setcounter{section}{0}% + % \renewcommand\@chapapp{\appendixname}% + % \renewcommand\thechapter{\Alph{chapter}} + % \if@nosectnum\else + % \renewcommand\thesection{\Alph{chapter}.\arabic{section}} + % \fi +% } + + +% FIGURE +% redefine the @caption command to put a period after the figure or +% table number in the lof and lot tables +\long\def\@caption#1[#2]#3{\par\addcontentsline{\csname + ext@#1\endcsname}{#1}{\protect\numberline{\csname + the#1\endcsname.}{\ignorespaces #2}}\begingroup + \@parboxrestore + \normalsize + \@makecaption{\csname fnum@#1\endcsname}{\ignorespaces #3}\par + \endgroup} + +% **************************************** +% * TABLE OF CONTENTS, ETC. * +% **************************************** + +\renewcommand\contentsname{Contents} +\renewcommand\listfigurename{Figures} +\renewcommand\listtablename{Tables} + +\newif\if@toc \@tocfalse +\renewcommand\tableofcontents{% + \begingroup% temporarily set if@toc so that \@schapter will not + % put Table of Contents in the table of contents. + \@toctrue + \chapter*{\contentsname} + \endgroup + \thispagestyle{gqtekspecplain} + + \baselineskip=10pt plus .5pt minus .5pt + + {\raggedleft Page \par\vskip-\parskip} + \@starttoc{toc}% + \baselineskip=\normalbaselineskip + } + +\def\l@appendix{\pagebreak[3] + \vskip 1.0em plus 1pt % space above appendix line + \@dottedtocline{0}{0em}{8em}} + +\def\l@chapter{\pagebreak[3] + \vskip 1.0em plus 1pt % space above appendix line + \@dottedtocline{0}{0em}{4em}} + +% \if@nosectnum\else + % \renewcommand\l@section{\@dottedtocline{1}{5.5em}{2.4em}} + % \renewcommand\l@subsection{\@dottedtocline{2}{8.5em}{3.2em}} + % \renewcommand\l@subsubsection{\@dottedtocline{3}{11em}{4.1em}} + % \renewcommand\l@paragraph{\@dottedtocline{4}{13.5em}{5em}} + % \renewcommand\l@subparagraph{\@dottedtocline{5}{16em}{6em}} +% \fi + +% LIST OF FIGURES +% +\def\listoffigures{% + \begingroup + \chapter*{\listfigurename}% + \endgroup + \thispagestyle{gqtekspecplain}% + + \baselineskip=10pt plus .5pt minus .5pt% + + {\hbox to \hsize{Figure\hfil Page} \par\vskip-\parskip}% + + \rule[2mm]{\textwidth}{0.5mm}\par + + \@starttoc{lof}% + \baselineskip=\normalbaselineskip}% + +\def\l@figure{\@dottedtocline{1}{1em}{4.0em}} + +% LIST OF TABLES +% +\def\listoftables{% + \begingroup + \chapter*{\listtablename}% + \endgroup + \thispagestyle{gqtekspecplain}% + \baselineskip=10pt plus .5pt minus .5pt% + {\hbox to \hsize{Table\hfil Page} \par\vskip-\parskip}% + + % Added line underneath headings, 20 Jun 01, Capt Todd Hale. + \rule[2mm]{\textwidth}{0.5mm}\par + + \@starttoc{lot}% + \baselineskip=\normalbaselineskip}% + +\let\l@table\l@figure + +% **************************************** +% * PAGE STYLES * +% **************************************** +% +\def\ps@gqtekspectoc{% + \let\@mkboth\@gobbletwo + \def \@oddhead{} + \def \@oddfoot{\rm + \hfil\raisebox{-9pt}{\thepage}\hfil\thispagestyle{gqtekspectocn}} + \let \@evenhead\@oddhead \let \@evenfoot\@oddfoot} +\def\ps@gqtekspectocn{\let\@mkboth\@gobbletwo + \def \@oddhead{\rm \hfil\raisebox{10pt}{Page}} + \def \@oddfoot{\rm + \hfil\raisebox{-9pt}{\thepage}\hfil\thispagestyle{gqtekspectocn}} + \let \@evenhead\@oddhead \let \@evenfoot\@oddfoot} + +\def\ps@gqtekspeclof{\let\@mkboth\@gobbletwo + \def \@oddhead{} + \def \@oddfoot{\rm + \hfil\raisebox{-9pt}{\thepage}\hfil\thispagestyle{gqtekspeclofn}} + \let \@evenhead\@oddhead \let \@evenfoot\@oddfoot} +\def\ps@gqtekspeclofn{\let\@mkboth\@gobbletwo + \def \@oddhead{\rm + \parbox{\textwidth}{\raisebox{0pt}{Figure}\hfil\raisebox{0pt}{Page} % + \raisebox{20pt}{\rule[10pt]{\textwidth}{0.5mm}} }} + + \def \@oddfoot{\rm + \hfil\raisebox{-9pt}{\thepage}\hfil\thispagestyle{gqtekspeclofn}} + \let \@evenhead\@oddhead \let \@evenfoot\@oddfoot} + +\def\ps@gqtekspeclot{\let\@mkboth\@gobbletwo + \def \@oddhead{} + \def \@oddfoot{\rm + \hfil\raisebox{-9pt}{\thepage}\hfil\thispagestyle{gqtekspeclotn}} + \let \@evenhead\@oddhead \let \@evenfoot\@oddfoot} +\def\ps@gqtekspeclotn{\let\@mkboth\@gobbletwo + \def \@oddhead{\rm + \parbox{\textwidth}{\raisebox{0pt}{Table}\hfil\raisebox{0pt}{Page} % + \raisebox{20pt}{\rule[10pt]{\textwidth}{0.5mm}} }} + + \def \@oddfoot{\rm + \hfil\raisebox{-9pt}{\thepage}\hfil\thispagestyle{gqtekspeclotn}} + \let \@evenhead\@oddhead \let \@evenfoot\@oddfoot} + +\def\ps@gqtekspecplain{\let\@mkboth\@gobbletwo + \def \@oddhead{\rput(0,-2pt){\psline(0,0)(\textwidth,0)}\rm \hbox to 1in{\includegraphics[height=0.8\headheight]{GT.eps} Gisselquist Technology, LLC}\hfil\hbox{\@title}\hfil\hbox to 1in{\hfil\headerdate\@date}} + \def \@oddfoot{\rput(0,9pt){\psline(0,0)(\textwidth,0)}\rm \hbox to 1in{www.opencores.com\hfil}\hfil\hbox{\r@vision}\hfil\hbox to 1in{\hfil{\thepage}}} + \let \@evenhead\@oddhead \let \@evenfoot\@oddfoot} + +% \def\author#1{\def\auth@r{#1}} +% \def\title#1{\def\ti@tle{#1}} + +\def\logo{\begin{pspicture}(0,0)(5.67in,0.75in) + \rput[lb](0.05in,0.10in){\includegraphics[height=0.75in]{GT.eps}} + \rput[lb](1.15in,0.05in){\scalebox{1.8}{\parbox{2.0in}{Gisselquist\\Technology, LLC}}} + \end{pspicture}} +% TITLEPAGE +% +\def\titlepage{\setcounter{page}{1} + \typeout{^^JTitle Page.} + \thispagestyle{empty} + \leftline{\rput(0,0){\psline(0,0)(\textwidth,0)}\hfill} + \vskip 2\baselineskip + \logo\hfil % Original is 3.91 in x 1.26 in, let's match V thus + \vskip 2\baselineskip + \vspace*{10pt}\vfil + \begin{minipage}{\textwidth}\raggedleft + \ifproject{\Huge\bfseries\MakeUppercase\@project} \\\fi + \vspace*{15pt} + {\Huge\bfseries\MakeUppercase\@title} \\ + \vskip 10\baselineskip + \Large \@author \\ + \ifemail{\Large \@email}\\\fi + \vskip 6\baselineskip + \Large \usdate\@date \\ + \end{minipage} + % \baselineskip 22.5pt\large\rm\MakeUppercase\ti@tle + \vspace*{30pt} + \vfil + \newpage\baselineskip=\normalbaselineskip} + +\newenvironment{license}{\clearpage\typeout{^^JLicense Page.}\ \vfill\noindent}% + {\vfill\newpage} +% **************************************** +% * CHAPTER DEFINITIONS * +% **************************************** +% +\renewcommand\chapter{\if@openright\cleardoublepage\else\clearpage\fi + \thispagestyle{gqtekspecplain}% + \global\@topnum\z@ + \@afterindentfalse + \secdef\@chapter\@schapter} +\renewcommand\@makechapterhead[1]{% + \hbox to \textwidth{\hfil{\Huge\bfseries \thechapter.}}\vskip 10\p@ + \hbox to \textwidth{\rput(0,0){\psline[linewidth=0.04in](0,0)(\textwidth,0)}}\vskip \p@ + \hbox to \textwidth{\rput(0,0){\psline[linewidth=0.04in](0,0)(\textwidth,0)}}\vskip 10\p@ + \hbox to \textwidth{\hfill{\Huge\bfseries #1}}% + \par\nobreak\vskip 40\p@} +\renewcommand\@makeschapterhead[1]{% + \hbox to \textwidth{\hfill{\Huge\bfseries #1}}% + \par\nobreak\vskip 40\p@} +% **************************************** +% * INITIALIZATION * +% **************************************** +% +% Default initializations + +\ps@gqtekspecplain % 'gqtekspecplain' page style with lowered page nos. +\onecolumn % Single-column. +\pagenumbering{roman} % the first chapter will change pagenumbering + % to arabic +\setcounter{page}{1} % in case a titlepage is not requested + % otherwise titlepage sets page to 1 since the + % flyleaf is not counted as a page +\widowpenalty 10000 % completely discourage widow lines +\clubpenalty 10000 % completely discourage club (orphan) lines +\raggedbottom % don't force alignment of bottom of pages + +\date{\today} +\newif\ifproject\projectfalse +\def\project#1{\projecttrue\gdef\@project{#1}} +\def\@project{} +\newif\ifemail\emailfalse +\def\email#1{\emailtrue\gdef\@email{#1}} +\def\@email{} +\def\revision#1{\gdef\r@vision{#1}} +\def\r@vision{} +\def\at{\makeatletter @\makeatother} +\newdateformat{theyear}{\THEYEAR} +\newenvironment{revisionhistory}{\clearpage\typeout{^^JRevision History.}% + \hbox to \textwidth{\hfil\scalebox{1.8}{\large\bfseries Revision History}}\vskip 10\p@\noindent% + \begin{tabular}{|p{0.5in}|p{1in}|p{1in}|p{2.875in}|}\hline + \rowcolor[gray]{0.8} Rev. & Date & Author & Description\\\hline\hline} + {\end{tabular}\clearpage} +\newenvironment{clocklist}{\begin{tabular}{|p{0.75in}|p{0.5in}|l|l|p{2.875in}|}\hline + \rowcolor[gray]{0.85} Name & Source & \multicolumn{2}{l|}{Rates (MHz)} & Description \\\hhline{~|~|-|-|~}% + \rowcolor[gray]{0.85} & & Max & Min & \\\hline\hline}% + {\end{tabular}} +\newenvironment{reglist}{\begin{tabular}{|p{0.75in}|p{0.5in}|p{0.5in}|p{0.5in}|p{2.875in}|}\hline + \rowcolor[gray]{0.85} Name & Address & Width & Access & Description \\\hline\hline}% + {\end{tabular}} +\newenvironment{bitlist}{\begin{tabular}{|p{0.5in}|p{0.5in}|p{3.875in}|}\hline + \rowcolor[gray]{0.85} Bit \# & Access & Description \\\hline\hline}% + {\end{tabular}} +\newenvironment{portlist}{\begin{tabular}{|p{0.75in}|p{0.5in}|p{0.75in}|p{3.375in}|}\hline + \rowcolor[gray]{0.85} Port & Width & Direction & Description \\\hline\hline}% + {\end{tabular}} +\newenvironment{wishboneds}{\begin{tabular}{|p{2.5in}|p{2.5in}|}\hline + \rowcolor[gray]{0.85} Description & Specification \\\hline\hline}% + {\end{tabular}} +\newenvironment{preface}{\chapter*{Preface}}{\par\bigskip\bigskip\leftline{\hfill\@author}} +\endinput Index: doc/src/spec.tex =================================================================== --- doc/src/spec.tex (nonexistent) +++ doc/src/spec.tex (revision 7) @@ -0,0 +1,332 @@ +\documentclass{gqtekspec} +\usepackage{import} +\usepackage{bytefield} +\project{CMod S6 SoC} +\title{Specification} +\author{Dan Gisselquist, Ph.D.} +\email{dgisselq (at) opencores.org} +\revision{Rev.~0.2} +\begin{document} +\pagestyle{gqtekspecplain} +\titlepage +\begin{license} +Copyright (C) \theyear\today, Gisselquist Technology, LLC + +This project is free software (firmware): you can redistribute it and/or +modify it under the terms of the GNU General Public License as published +by the Free Software Foundation, either version 3 of the License, or (at +your option) any later version. + +This program is distributed in the hope that it will be useful, but WITHOUT +ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or +FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License +for more details. + +You should have received a copy of the GNU General Public License along +with this program. If not, see \texttt{http://www.gnu.org/licenses/} for a copy. +\end{license} +\begin{revisionhistory} +0.1 & 4/22/2016 & Gisselquist & First Draft \\\hline +\end{revisionhistory} +% Revision History +% Table of Contents, named Contents +\tableofcontents +\listoffigures +\listoftables +\begin{preface} +The Zip CPU was built with the express purpose of being an area optimized, +32--bit FPGA soft processor. + +The S6~SoC is designed to prove that the ZipCPU has met this goal. +\end{preface} + +\chapter{Introduction} +\pagenumbering{arabic} +\setcounter{page}{1} + +This project is ongoing. Any and all files, to include this one, are subject +to change without notice. + +This project comes from my desire to demonstrate the Zip CPU's utility in a +challenging environment. The CMod~S6 board fits this role nicely. +\begin{enumerate} +\item The Spartan--6 LX4 FPGA is very limited in it's resources: + It only has 2,400 look--up tables (LUTs), and can only support + a 4,096~Word RAM memory (16 kB). +\item With only 4kW RAM, the majority of any program will need to be placed into + and run from flash. (The chip will actually support more, just not + 8k RAM.) +\item While the chip has enough area for the CPU, it doesn't have enough area + to include the CPU and \ldots write access to the flash, debug access, + wishbone command access from the UART, pipelined CPU operations, and + more. Other solutions will need to be found. +\end{enumerate} + +Of course, if someone just wants the functionality of a small, cheap, CPU, +this project does not fit that role very well. While the S6 is not very +expensive, it is still an order of magnitude greater than it's CPU competitors +in price. This includes such CPU's as the Raspberry Pi Zero, or even the +TeensyLC. + +If, on the other hand, what you want is a small, cheap, CPU that can be embedded +within an FPGA without using too much of the FPGA's resources, this project +will demonstrate that utility and possibility. Alternatively, if you wish to +study how to get a CPU to work in a small, constrained environment, this project +may be what you are looking for. + +\chapter{Architecture} +Fig.~\ref{fig:architecture} +\begin{figure}\begin{center} +\includegraphics[width=5in]{../gfx/s6bones.eps} +\caption{CMod S6 SoC Architecture: ZipCPU and + Peripherals}\label{fig:architecture} +\end{center}\end{figure} +shows the basic internal architecture of the S6~SoC. In summary, it consists of a CPU +coupled with a variety of peripherals for the purpose of controlling the +external peripherals of the S6: flash, LEDs, buttons, and GPIO. External +devices may also be added on, such as an audio device, an external serial +port, an external keypad, and an external display. All of these devices +are then available for the CPU to interact with. + +If you are familiar with the Zip CPU, you'll notice this architecture provides +no access to the Zip CPU debug port. There simply wasn't enough room on the +device. Debugging the ZipCPU will instead need to take place via other means, +such as dumping all registers and/or memory to the serial port on any reboot. + +Further, the ZipCPU has no ability to write to flash memory. For this reason, +there exists an alternate CMod S6~SoC architecture, as shown in +Fig.~\ref{fig:altarchitecture}. +\begin{figure}\begin{center} +\includegraphics[width=5in]{../gfx/altbones.eps} +\caption{Alternate CMod S6 SoC Architecture: Peripherals, with no + CPU}\label{fig:altarchitecture} +\end{center}\end{figure} +Using this alternate architecture, it should be possible to test the peripherals +and program the flash memory. Both architectures may be loaded into the flash, +together with the programming code for the Zip CPU. + +The basic approach is simple: up and until the software works, the S6 will +power up into the alternate architecture of Fig.~\ref{fig:altarchitecture}. +While in this state, the flash may be examined and programmed. Once complete, +a UART command to the ICAPE port will tell the S6 to load the (primary) +FPGA configuration from an alternate flash location. This alternate location +will contain a configuration image containing the CPU. The CPU will then begin +following the instructions given to it from the flash. + + + +\chapter{Operation} + +\chapter{Registers} +There are several address regions on the S6~SoC, as shown in +Tbl.~\ref{tbl:memregions}. +\begin{table}[htbp] +\begin{center}\begin{tabular}{|p{0.75in}|p{0.75in}|p{0.5in}|p{3.0in}|}\hline +\rowcolor[gray]{0.85} Start & End & & Purpose \\\hline\hline +\scalebox{0.9}{\tt 0x000100} & \scalebox{0.9}{\tt 0x000107} & R/W & Peripheral I/O Control \\\hline +\scalebox{0.9}{\tt 0x000200} & \scalebox{0.9}{\tt 0x000201} & R/(W) & Debugging scope\\\hline +\scalebox{0.9}{\tt 0x000400} & \scalebox{0.9}{\tt 0x00043f} & R/W & Internal Configuration Access Port\\\hline +\scalebox{0.9}{\tt 0x000800} & \scalebox{0.9}{\tt 0x000803} & R/W & RTC Clock (if present)\\\hline +\scalebox{0.9}{\tt 0x002000} & \scalebox{0.9}{\tt 0x002fff} & R/W & 16kB On-Chip Block RAM \\\hline +\scalebox{0.9}{\tt 0x400000} & \scalebox{0.9}{\tt 0x7fffff} & R & 16~MB SPI Flash memory\\\hline +\end{tabular} +\caption{Address Regions}\label{tbl:memregions} +\end{center}\end{table} +In general, the address regions that are made up of RAM or flash act like +memory. The RAM can be read and written, and the flash acts like read only +memory. + +This isn't quite so true with the other address regions. Accessing the I/O +region, while it may be read/write, may have side-effects. For example, reading +from the debugging scope device's data port will read a word from the scope's +buffer and advance the buffer pointer. + +\section{Debugging Scope} +The debugging scope consists of two registers, a control register and a data +register. It needs to be internally wired to 32--wires, internal to the S6 +SoC, that will be of interest later. For further details on how to configure +and use this scope, please see the {\tt WBSCOPE} project on OpenCores. + +\section{Internal Configuration Access Port} +The Internal Configuration Access Port (ICAP) provides access to the internal +configuration details of the FPGA. This access was designed so as to provide +the CPU with the capability to command a different FPGA load. In particular, +the code in Fig.~\ref{fig:reload} should reconfigure the FPGA from any given +Quad SPI {\tt address}.\footnote{According to Xilinx's technical support, this +will only work if the JTAG port is not busy.} +\begin{figure}\begin{center}\begin{tabbing} +{\tt warmboot(uint32 address) \{} \\ +\hbox to 0.25in{}\={\tt uint32\_t *icape6 = (volatile uint32\_t *)0x{\em };}\\ + \>{\tt icape6[13] = (address<<2)\&0x0ffff;}\\ + \>{\tt icape6[14] = ((address>>14)\&0x0ff)|((0x03)<<8);}\\ + \>{\tt icape6[4] = 14;}\\ + \>{\em // The CMod~S6 is now reconfiguring itself from the new address.}\\ + \>{\em // If all goes well, this routine will never return.}\\ +{\tt \}} +\end{tabbing} +\caption{Spartan--6 ICAPE Usage}\label{fig:reload} +\end{center}\end{figure} + +For further details, please see either the {\tt WBICAPETWO} project on OpenCores +as well as Xilinx's ``Spartan-6 FPGA Configuration User Guide''. + +\section{Real--Time Clock} +The Real Time Clock will be included if there is enough area to support it. +The four registers correspond to a clock, a timer, a stopwatch, and an alarm. +If space is tight, the timer and stopwatch, or indeed the entire clock, may be +removed from the design. For further details regarding how to set and use this +clock, please see the {\tt RTCCLOCK} project on OpenCores. + +\section{I/O Peripherals} +Tbl.~\ref{tbl:ioregs} +\begin{table}[htbp] +\begin{center}\begin{reglist} +PIC &\scalebox{0.8}{\tt 0x0100} & 32 & R/W & Interrupt Controller \\\hline +BUSERR &\scalebox{0.8}{\tt 0x0101} & 32 & R & Last Bus Error Address\\\hline +TIMA &\scalebox{0.8}{\tt 0x0102} & 32 & R/W & ZipTimer A\\\hline +TIMB &\scalebox{0.8}{\tt 0x0103} & 32 & R/W & ZipTimer B\\\hline +PWM &\scalebox{0.8}{\tt 0x0104} & 32 & R/W & PWM Audio Controller\\\hline +KYPAD &\scalebox{0.8}{\tt 0x0105} & 32 & R/W & Special Purpose I/O, Keypad, LED Controller \\\hline +GPIO &\scalebox{0.8}{\tt 0x0106} & 32 & R/W & GPIO Controller \\\hline +UART &\scalebox{0.8}{\tt 0x0107} & 32 & R/W & UART data\\\hline +\end{reglist} +\caption{I/O Peripheral Registers}\label{tbl:ioregs} +\end{center}\end{table} +shows the addresses of various I/O peripherals included as part of the SoC. + +The interrupt controller is identical to the one found with the ZipSystem. +Please read the ZipSystem documentation for how to control this. + +The Bus Error peripheral simply records the address of the last bus error. +This can be useful when debugging. While the peripheral may only be read, +setting it is really as easy as creating a bus error and trapping the result. + +The two ZipTimer's are ZipSystem timer's, placed onto this peripheral bus. +They are available for the CPU to use. Common uses might include I2C or SPI +speed control, or multi--tasking task-swap control. For further details, please +see the ZipSystem documentation. + +Audio Controller + +Register {\tt KYPAD}, as shown in Fig.~\ref{fig:spioreg}, +\begin{figure}\begin{center} +\begin{bytefield}[endianness=big]{32} +\bitheader{0-31} \\ +\begin{leftwordgroup}{Read}\bitbox[lrt]{16}{Zeros} + \bitbox[lrt]{4}{Kpad} + \bitbox[lrt]{4}{Kpad} + \bitbox[lrt]{2}{00} + \bitbox[lrt]{2}{Btn} + \bitbox[lrt]{4}{LED} \\ +\bitbox[lrb]{16}{} + \bitbox[lrb]{4}{Col Out} + \bitbox[lrb]{4}{Row In} + \bitbox[lrb]{2}{} + \bitbox[lrb]{2}{} + \bitbox[lrb]{4}{}\end{leftwordgroup} \\ +\begin{leftwordgroup}{Write}\bitbox[lrt]{16}{Ignored} + \bitbox[lrt]{4}{Col} + \bitbox[lrt]{4}{Col} + \bitbox[lrt]{4}{LED} + \bitbox[lrt]{4}{LED} \\ +\bitbox[lrb]{16}{} + \bitbox[lrb]{4}{Out} + \bitbox[lrb]{4}{Enable} + \bitbox[lrb]{4}{Enable} + \bitbox[lrb]{4}{}\end{leftwordgroup} \\ +\end{bytefield} +\caption{SPIO Control Register}\label{fig:spioreg} +\end{center}\end{figure} +is a Special Purpose Input/Output (SPIO) register. It is +designed to control the on-board LED's, buttons, and keypad. Upon any read, +the register reads the current state of the keypad column output, the keypad +row input, the buttons and the LED's. Writing is more difficult, in order to +make certain that parts of these registers can be modified atomically. +Specifically, to change an LED, write the new value as well as a `1' to the +corresponding LED change enable bit. The same goes for the keypad column +output, a `1' needs to be written to the change enable bit in order for a +new value to be accepted. + +The controller will generate a keypad interrupt whenever any row input is +zero, and a button interrupt whenever any button value is a one. + +The General Purpose Input and Output (GPIO) control register, shown in +Fig.~\ref{fig:gpioreg}, +\begin{figure}\begin{center} +\begin{bytefield}[endianness=big]{32} +\bitheader{0-31} \\ +\bitbox[lrtb]{16}{Current Input Vals (x16)}\bitbox[lrt]{16}{Current Output} \\ +\bitbox[lrtb]{16}{Output Change Enable}\bitbox[lrb]{16}{Values (16-outs)} +\end{bytefield} +\caption{GPIO Control Register}\label{fig:gpioreg} +\end{center}\end{figure} +is quite simple to use: when read, the top 16--bits indicate +the value of the 16--input GPIO pins, whereas the bottom 16--bits indicate +the value being placed on the 16--output GPIO pins. To change a GPIO pin, +write the new pins value to this register, together with setting the +corresponding pin in the upper 16--bits. For example, to set output pin 0, +write a {\tt 0x010001} to the GPIO device. To clear output pin 0, write a +{\tt 0x010000}. This makes it possible to adjust some output pins independent +of the others. + +The GPIO controller, like the keypad or SPIO controller, will also generate +an interrupt. The GPIO interrupt is generated whenever a GPIO input line +changes. + +Of the 16 GPIO inputs and the 16 GPIO outputs, two lines have been taken for +I2C support. GPIO line zero, for both input and output, is an I2C data line, +and GPIO line one is an I2C clock line. If the output of either of these +lines is set to zero, the GPIO controller will drive the line. Otherwise, +the line is pulled up with a weak resistor so that other devices may +pull it low. If either line is low, when the output control bit is high, +it is an indicator that another device is sending data across these wires. + +Moving on to the UART \ldots +although the UART module within the S6~SoC is highly configurable, as built +the UART can only handle 9600~Baud, 8--data bits, no parity, and one stop bit. +There is a single byte data buffer, so reading from the port has a real--time +requirement associated with it. +Attempts to read from this port will either return an 8--bit data value from +the port, or if no values are available it will return an {\tt 0x0100} +indicating that fact. In a similar fashion, writes to this port will send +the lower 8--bits of the write out the serial port. If the port is already +busy, a single byte will be buffered. + +\chapter{Clocks} + +The S6~SoC is designed to run off of one master clock. This clock is derived +from the 8~MHz input clock on the board, by multiplying it up to 80~MHz. + +\chapter{IO Ports} + +See Table.~\ref{tbl:ioports}. +\begin{table}[htbp] +\begin{center} +\begin{portlist} +i\_clk\_8mhz & 1 & Input & Clock\\\hline +o\_qspi\_cs\_n & 1 & Output & Quad SPI Flash chip select\\\hline +o\_qspi\_sck & 1 & Output & Quad SPI Flash clock\\\hline +io\_qspi\_dat & 4 & Input/Output & Four-wire SPI flash data bus\\\hline +i\_btn & 2 & Input & Inputs from the two on-board push-buttons\\\hline +o\_led & 4 & Output & Outputs controlling the four on-board LED's\\\hline +o\_pwm & 1 & Output & Audio output, via pulse width modulator\\\hline +\multicolumn{2}{|l|}{o\_pwm\_shutdown\_n, 1}& Output & Audio output shutdown control\\\hline +o\_pwm\_gain & 1 & Output & Audio output 20~dB gain enable\\\hline +i\_uart & 1 & Input & UART receive input\\\hline +o\_uart & 1 & Output & UART transmit output\\\hline +i\_uart\_cts & 1 & Input & \\\hline +o\_uart\_rts & 1 & Output & \\\hline +i\_kp\_row & 4 & Output & Four wires to activate the four rows of the keypad\\\hline +o\_kp\_col & 4 & Output & Return four wires, from the keypads columns \\\hline +i\_gpio & 14 & Output & General purpose logic input lines\\\hline +o\_gpio & 14 & Output & General purpose logic output lines\\\hline +io\_scl & 1 & Input/Output & I2C clock port\\\hline +io\_sda & 1 & Input/Output & I2C data port\\\hline +\end{portlist} +\caption{List of IO ports}\label{tbl:ioports} +\end{center}\end{table} +% Appendices +% Index +\end{document} + + Index: doc/gpl-3.0.pdf =================================================================== Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream Index: doc/gpl-3.0.pdf =================================================================== --- doc/gpl-3.0.pdf (nonexistent) +++ doc/gpl-3.0.pdf (revision 7)
doc/gpl-3.0.pdf Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: doc/Makefile =================================================================== --- doc/Makefile (nonexistent) +++ doc/Makefile (revision 7) @@ -0,0 +1,29 @@ +all: gpl-3.0.pdf spec.pdf +DSRC := src + +gpl-3.0.pdf: $(DSRC)/gpl-3.0.tex + latex $(DSRC)/gpl-3.0.tex + latex $(DSRC)/gpl-3.0.tex + dvips -q -z -t letter -P pdf -o gpl-3.0.ps gpl-3.0.dvi + ps2pdf -dAutoRotatePages=/All gpl-3.0.ps gpl-3.0.pdf + rm gpl-3.0.dvi gpl-3.0.log gpl-3.0.aux gpl-3.0.ps + +spec.pdf: $(DSRC)/spec.tex $(DSRC)/gqtekspec.cls $(DSRC)/GT.eps + cd $(DSRC)/; latex spec.tex + cd $(DSRC)/; latex spec.tex + cd $(DSRC)/; dvips -q -z -t letter -P pdf -o ../spec.ps spec.dvi + ps2pdf -dAutoRotatePages=/All spec.ps spec.pdf + -grep -i warning $(DSRC)/spec.log + @rm -f $(DSRC)/spec.dvi $(DSRC)/spec.log + @rm -f $(DSRC)/spec.aux $(DSRC)/spec.toc + @rm -f $(DSRC)/spec.lot $(DSRC)/spec.lof + @rm -f $(DSRC)/spec.out spec.ps + +.PHONY: clean +clean: + rm -f $(DSRC)/spec.dvi $(DSRC)/spec.log + rm -f $(DSRC)/spec.aux $(DSRC)/spec.toc + rm -f $(DSRC)/spec.lot $(DSRC)/spec.lof + rm -f $(DSRC)/spec.out spec.ps spec.pdf + rm -f gpl-3.0.pdf +

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.