OpenCores
URL https://opencores.org/ocsvn/saturn/saturn/trunk

Subversion Repositories saturn

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/fpga_miosil4.gise
0,0 → 1,242
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
 
<!-- -->
 
<!-- For tool use only. Do not edit. -->
 
<!-- -->
 
<!-- ProjectNavigator created generated project file. -->
 
<!-- For use in tracking generated file and other information -->
 
<!-- allowing preservation of process status. -->
 
<!-- -->
 
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
 
<version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>
 
<sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="fpga_miosil4.xise"/>
 
<files xmlns="http://www.xilinx.com/XMLSchema">
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../../IPCommunication/dpram.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../../IPCommunication/dpram.ngc" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../../IPCommunication/dpram.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../../IPCommunication/dpram.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../../IPCommunication/fifo_copy.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../../IPCommunication/fifo_copy.ngc" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../../IPCommunication/fifo_copy.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../../IPCommunication/fifo_copy.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../../IPCommunication/fifo_spi.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../../IPCommunication/fifo_spi.ngc" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../../IPCommunication/fifo_spi.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../../IPCommunication/fifo_spi.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../../IPCommunication/fifo_tx.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../../IPCommunication/fifo_tx.ngc" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../../IPCommunication/fifo_tx.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../../IPCommunication/fifo_tx.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../../IPCommunication/fiforx_spi.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../../IPCommunication/fiforx_spi.ngc" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../../IPCommunication/fiforx_spi.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../../IPCommunication/fiforx_spi.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_ASY" xil_pn:name="../../IPCommunication/fifotx_spi.asy" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="../../IPCommunication/fifotx_spi.ngc" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_SYMBOL" xil_pn:name="../../IPCommunication/fifotx_spi.sym" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VHDL" xil_pn:name="../../IPCommunication/fifotx_spi.vhd" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_VHO" xil_pn:name="../../IPCommunication/fifotx_spi.vho" xil_pn:origination="imported"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="_ngo"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/bitgen.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/map.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/par.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/trce.xmsgs"/>
<file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/xst.xmsgs"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="top_miosil4.bgn" xil_pn:subbranch="FPGAConfiguration"/>
<file xil_pn:fileType="FILE_BIN" xil_pn:name="top_miosil4.bin"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BIT" xil_pn:name="top_miosil4.bit" xil_pn:subbranch="FPGAConfiguration"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="top_miosil4.bld"/>
<file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="top_miosil4.cmd_log"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_DRC" xil_pn:name="top_miosil4.drc" xil_pn:subbranch="FPGAConfiguration"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name="top_miosil4.lso"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_IMPACT_MISC" xil_pn:name="top_miosil4.mcs"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="top_miosil4.ncd" xil_pn:subbranch="Par"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="top_miosil4.ngc"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGD" xil_pn:name="top_miosil4.ngd"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="top_miosil4.ngr"/>
<file xil_pn:fileType="FILE_PAD_MISC" xil_pn:name="top_miosil4.pad"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAR_REPORT" xil_pn:name="top_miosil4.par" xil_pn:subbranch="Par"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PCF" xil_pn:name="top_miosil4.pcf" xil_pn:subbranch="Map"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="top_miosil4.prj"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_IMPACT_MISC" xil_pn:name="top_miosil4.prm"/>
<file xil_pn:fileType="FILE_TRCE_MISC" xil_pn:name="top_miosil4.ptwx"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="top_miosil4.stx"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="top_miosil4.syr"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TSI_REPORT" xil_pn:name="top_miosil4.tsi" xil_pn:subbranch="Par"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_TXT_REPORT" xil_pn:name="top_miosil4.twr" xil_pn:subbranch="Par"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_XML_REPORT" xil_pn:name="top_miosil4.twx" xil_pn:subbranch="Par"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_UNROUTES" xil_pn:name="top_miosil4.unroutes" xil_pn:subbranch="Par"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="top_miosil4.ut" xil_pn:subbranch="FPGAConfiguration"/>
<file xil_pn:fileType="FILE_XPI" xil_pn:name="top_miosil4.xpi"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="top_miosil4.xst"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="top_miosil4_envsettings.html"/>
<file xil_pn:fileType="FILE_NCD" xil_pn:name="top_miosil4_guide.ncd" xil_pn:origination="imported"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="top_miosil4_map.map" xil_pn:subbranch="Map"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="top_miosil4_map.mrp" xil_pn:subbranch="Map"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="top_miosil4_map.ncd" xil_pn:subbranch="Map"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGM" xil_pn:name="top_miosil4_map.ngm" xil_pn:subbranch="Map"/>
<file xil_pn:fileType="FILE_PSR" xil_pn:name="top_miosil4_map.psr"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_miosil4_map.xrpt"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_miosil4_ngdbuild.xrpt"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_EXCEL_REPORT" xil_pn:name="top_miosil4_pad.csv" xil_pn:subbranch="Par"/>
<file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_TXT_REPORT" xil_pn:name="top_miosil4_pad.txt" xil_pn:subbranch="Par"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_miosil4_par.xrpt"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="top_miosil4_summary.html"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="top_miosil4_summary.xml"/>
<file xil_pn:fileType="FILE_WEBTALK" xil_pn:name="top_miosil4_usage.xml"/>
<file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_miosil4_xst.xrpt"/>
<file xil_pn:fileType="FILE_HTML" xil_pn:name="usage_statistics_webtalk.html"/>
<file xil_pn:fileType="FILE_LOG" xil_pn:name="webtalk.log"/>
<file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="webtalk_pn.xml"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xlnx_auto_0_xdb"/>
<file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xst"/>
</files>
 
<transforms xmlns="http://www.xilinx.com/XMLSchema">
<transform xil_pn:end_ts="1446560413" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1446560413">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1446560413" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="1447385170099995465" xil_pn:start_ts="1446560413">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1446560425" xil_pn:in_ck="1952690310691631180" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-2589080491559761581" xil_pn:start_ts="1446560413">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="../../IPCommunication/dpram.ngc"/>
<outfile xil_pn:name="../../IPCommunication/dpram.vhd"/>
<outfile xil_pn:name="../../IPCommunication/fifo_copy.ngc"/>
<outfile xil_pn:name="../../IPCommunication/fifo_copy.vhd"/>
<outfile xil_pn:name="../../IPCommunication/fifo_spi.ngc"/>
<outfile xil_pn:name="../../IPCommunication/fifo_spi.vhd"/>
<outfile xil_pn:name="../../IPCommunication/fifo_tx.ngc"/>
<outfile xil_pn:name="../../IPCommunication/fifo_tx.vhd"/>
<outfile xil_pn:name="../../IPCommunication/fiforx_spi.ngc"/>
<outfile xil_pn:name="../../IPCommunication/fiforx_spi.vhd"/>
<outfile xil_pn:name="../../IPCommunication/fifotx_spi.ngc"/>
<outfile xil_pn:name="../../IPCommunication/fifotx_spi.vhd"/>
</transform>
<transform xil_pn:end_ts="1446560425" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1446560425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1446560425" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="967335915756146635" xil_pn:start_ts="1446560425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1446560425" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="-5609353907947751920" xil_pn:start_ts="1446560425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1446560425" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="7539475268990939549" xil_pn:start_ts="1446560425">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1449765150" xil_pn:in_ck="3145085651432349314" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="-2596630056137988500" xil_pn:start_ts="1449765123">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="OutputChanged"/>
<outfile xil_pn:name="_xmsgs/xst.xmsgs"/>
<outfile xil_pn:name="top_miosil4.lso"/>
<outfile xil_pn:name="top_miosil4.ngc"/>
<outfile xil_pn:name="top_miosil4.ngr"/>
<outfile xil_pn:name="top_miosil4.prj"/>
<outfile xil_pn:name="top_miosil4.stx"/>
<outfile xil_pn:name="top_miosil4.syr"/>
<outfile xil_pn:name="top_miosil4.xst"/>
<outfile xil_pn:name="top_miosil4_xst.xrpt"/>
<outfile xil_pn:name="webtalk_pn.xml"/>
<outfile xil_pn:name="xst"/>
</transform>
<transform xil_pn:end_ts="1449768476" xil_pn:in_ck="6680943409366637823" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="-1864011955707674208" xil_pn:start_ts="1449768476">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
</transform>
<transform xil_pn:end_ts="1449768484" xil_pn:in_ck="4657145349607151608" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-4507791154668227266" xil_pn:start_ts="1449768476">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_ngo"/>
<outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
<outfile xil_pn:name="top_miosil4.bld"/>
<outfile xil_pn:name="top_miosil4.ngd"/>
<outfile xil_pn:name="top_miosil4_ngdbuild.xrpt"/>
</transform>
<transform xil_pn:end_ts="1449768626" xil_pn:in_ck="-7773210014958108295" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="3943244437903735591" xil_pn:start_ts="1449768484">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/map.xmsgs"/>
<outfile xil_pn:name="top_miosil4.pcf"/>
<outfile xil_pn:name="top_miosil4_map.map"/>
<outfile xil_pn:name="top_miosil4_map.mrp"/>
<outfile xil_pn:name="top_miosil4_map.ncd"/>
<outfile xil_pn:name="top_miosil4_map.ngm"/>
<outfile xil_pn:name="top_miosil4_map.psr"/>
<outfile xil_pn:name="top_miosil4_map.xrpt"/>
<outfile xil_pn:name="top_miosil4_summary.xml"/>
<outfile xil_pn:name="top_miosil4_usage.xml"/>
</transform>
<transform xil_pn:end_ts="1449768654" xil_pn:in_ck="8781845287253437042" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="-7098172938909838473" xil_pn:start_ts="1449768626">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/par.xmsgs"/>
<outfile xil_pn:name="top_miosil4.ncd"/>
<outfile xil_pn:name="top_miosil4.pad"/>
<outfile xil_pn:name="top_miosil4.par"/>
<outfile xil_pn:name="top_miosil4.ptwx"/>
<outfile xil_pn:name="top_miosil4.unroutes"/>
<outfile xil_pn:name="top_miosil4.xpi"/>
<outfile xil_pn:name="top_miosil4_pad.csv"/>
<outfile xil_pn:name="top_miosil4_pad.txt"/>
<outfile xil_pn:name="top_miosil4_par.xrpt"/>
</transform>
<transform xil_pn:end_ts="1446560741" xil_pn:in_ck="6680943409366630198" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="-3126680107772998300" xil_pn:start_ts="1446560711">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="WarningsGenerated"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="OutputRemoved"/>
</transform>
<transform xil_pn:end_ts="1443423668" xil_pn:in_ck="6680943409366617344" xil_pn:name="TRAN_configureTargetDevice" xil_pn:prop_ck="8150872254684753111" xil_pn:start_ts="1443423477">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<status xil_pn:value="OutOfDateForInputs"/>
<status xil_pn:value="OutOfDateForPredecessor"/>
<status xil_pn:value="OutOfDateForOutputs"/>
<status xil_pn:value="InputAdded"/>
<status xil_pn:value="InputChanged"/>
<status xil_pn:value="InputRemoved"/>
<status xil_pn:value="OutputChanged"/>
<status xil_pn:value="OutputRemoved"/>
</transform>
<transform xil_pn:end_ts="1449768654" xil_pn:in_ck="7528106365894670709" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="2711695571398334413" xil_pn:start_ts="1449768645">
<status xil_pn:value="SuccessfullyRun"/>
<status xil_pn:value="ReadyToRun"/>
<outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
<outfile xil_pn:name="top_miosil4.tsi"/>
<outfile xil_pn:name="top_miosil4.twr"/>
<outfile xil_pn:name="top_miosil4.twx"/>
</transform>
</transforms>
 
</generated_project>
/saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/fpga_miosil4.xise
0,0 → 1,443
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">
 
<header>
<!-- ISE source project file created by Project Navigator. -->
<!-- -->
<!-- This file contains project source information including a list of -->
<!-- project source files, project and process properties. This file, -->
<!-- along with the project source files, is sufficient to open and -->
<!-- implement in ISE Project Navigator. -->
<!-- -->
<!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -->
</header>
 
<version xil_pn:ise_version="14.7" xil_pn:schema_version="2"/>
 
<files>
<file xil_pn:name="../../IPCommunication/readmac.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="15"/>
<association xil_pn:name="Implementation" xil_pn:seqID="15"/>
</file>
<file xil_pn:name="../../IPCommunication/if_picspi.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="17"/>
<association xil_pn:name="Implementation" xil_pn:seqID="17"/>
</file>
<file xil_pn:name="../../IPCommunication/fifotx_spi.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="12"/>
<association xil_pn:name="Implementation" xil_pn:seqID="12"/>
</file>
<file xil_pn:name="../../IPCommunication/fiforx_spi.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="13"/>
<association xil_pn:name="Implementation" xil_pn:seqID="13"/>
</file>
<file xil_pn:name="../../IPCommunication/autobaud.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="14"/>
<association xil_pn:name="Implementation" xil_pn:seqID="14"/>
</file>
<file xil_pn:name="../../IPCommunication/frame_store.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="9"/>
<association xil_pn:name="Implementation" xil_pn:seqID="9"/>
</file>
<file xil_pn:name="../../IPCommunication/layer2_rx.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="8"/>
<association xil_pn:name="Implementation" xil_pn:seqID="8"/>
</file>
<file xil_pn:name="../../IPCommunication/switch2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="6"/>
<association xil_pn:name="Implementation" xil_pn:seqID="6"/>
</file>
<file xil_pn:name="../../IPCommunication/serial_rx2.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
<association xil_pn:name="Implementation" xil_pn:seqID="2"/>
</file>
<file xil_pn:name="../../IPCommunication/serial_tx.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
<association xil_pn:name="Implementation" xil_pn:seqID="1"/>
</file>
<file xil_pn:name="../../IPCommunication/fifo_copy.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
<association xil_pn:name="Implementation" xil_pn:seqID="3"/>
</file>
<file xil_pn:name="../../IPCommunication/crc16.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="5"/>
<association xil_pn:name="Implementation" xil_pn:seqID="5"/>
</file>
<file xil_pn:name="../../IPCommunication/dpram.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="4"/>
<association xil_pn:name="Implementation" xil_pn:seqID="4"/>
</file>
<file xil_pn:name="../../IPCommunication/layer2_tx.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="7"/>
<association xil_pn:name="Implementation" xil_pn:seqID="7"/>
</file>
<file xil_pn:name="../../IPCommunication/fifo_tx.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="10"/>
<association xil_pn:name="Implementation" xil_pn:seqID="10"/>
</file>
<file xil_pn:name="../../IPCommunication/if_promspi.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="16"/>
<association xil_pn:name="Implementation" xil_pn:seqID="16"/>
</file>
<file xil_pn:name="../../IPCommunication/fifo_spi.xco" xil_pn:type="FILE_COREGEN">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="11"/>
<association xil_pn:name="Implementation" xil_pn:seqID="11"/>
</file>
<file xil_pn:name="top_miosil4.ucf" xil_pn:type="FILE_UCF">
<association xil_pn:name="Implementation" xil_pn:seqID="0"/>
</file>
<file xil_pn:name="top_miosil4.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="83"/>
<association xil_pn:name="Implementation" xil_pn:seqID="19"/>
</file>
<file xil_pn:name="top_miosil4_tb.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="84"/>
<association xil_pn:name="PostMapSimulation" xil_pn:seqID="84"/>
<association xil_pn:name="PostRouteSimulation" xil_pn:seqID="84"/>
<association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="84"/>
</file>
<file xil_pn:name="../../IPCommunication/communication_sil4.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="85"/>
<association xil_pn:name="Implementation" xil_pn:seqID="18"/>
</file>
</files>
 
<properties>
<property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/>
<property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Auto Implementation Top" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Bus Delimiter" xil_pn:value="&lt;>" xil_pn:valueState="default"/>
<property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/>
<property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Configuration Rate spartan6" xil_pn:value="26" xil_pn:valueState="non-default"/>
<property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Binary Configuration File" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Create ReadBack Data Files" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/>
<property xil_pn:name="Device" xil_pn:value="xc6slx4" xil_pn:valueState="default"/>
<property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/>
<property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/>
<property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Hardware Co-Simulation" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Multi-Threading" xil_pn:value="2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="4" xil_pn:valueState="non-default"/>
<property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/>
<property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/>
<property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="true" xil_pn:valueState="non-default"/>
<property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Post-Place &amp; Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/>
<property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Speed" xil_pn:valueState="non-default"/>
<property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/>
<property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/>
<property xil_pn:name="ISim UUT Instance Name" xil_pn:value="uut" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Implementation Top" xil_pn:value="Architecture|top_miosil4|rtl" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top File" xil_pn:value="top_miosil4.vhd" xil_pn:valueState="non-default"/>
<property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/top_miosil4" xil_pn:valueState="non-default"/>
<property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/>
<property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="LUT Combining Map" xil_pn:value="Auto" xil_pn:valueState="non-default"/>
<property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Goal" xil_pn:value="Balanced" xil_pn:valueState="default"/>
<property xil_pn:name="Last Applied Strategy" xil_pn:value="Xilinx Default (unlocked)" xil_pn:valueState="default"/>
<property xil_pn:name="Last Unlock Status" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/>
<property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/>
<property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/>
<property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/>
<property xil_pn:name="Mux Extraction" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/>
<property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/>
<property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/>
<property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Fit" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Place &amp; Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Output File Name" xil_pn:value="top_miosil4" xil_pn:valueState="default"/>
<property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="For Inputs and Outputs" xil_pn:valueState="non-default"/>
<property xil_pn:name="Package" xil_pn:value="tqg144" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Perform Timing-Driven Packing and Placement" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Place &amp; Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/>
<property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/>
<property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/>
<property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/>
<property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="top_miosil4_map.vhd" xil_pn:valueState="default"/>
<property xil_pn:name="Post Place &amp; Route Simulation Model Name" xil_pn:value="top_miosil4_timesim.vhd" xil_pn:valueState="default"/>
<property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="top_miosil4_synthesis.vhd" xil_pn:valueState="default"/>
<property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="top_miosil4_translate.vhd" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="On" xil_pn:valueState="non-default"/>
<property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Preferred Language" xil_pn:value="VHDL" xil_pn:valueState="non-default"/>
<property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Project Description" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Project Generator" xil_pn:value="ProjNav" xil_pn:valueState="default"/>
<property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/>
<property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Register Duplication Map" xil_pn:value="On" xil_pn:valueState="non-default"/>
<property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/>
<property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Entity to" xil_pn:value="top_miosil4" xil_pn:valueState="default"/>
<property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/>
<property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Module Instance Name" xil_pn:value="/top_miosil2_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="work.top_miosil2_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="work.top_miosil2_tb" xil_pn:valueState="non-default"/>
<property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Selected Simulation Source Node" xil_pn:value="uut" xil_pn:valueState="non-default"/>
<property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/>
<property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Model Target" xil_pn:value="VHDL" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/>
<property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/>
<property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="work.top_miosil2_tb" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="work.top_miosil2_tb" xil_pn:valueState="default"/>
<property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/>
<property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/>
<property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/>
<property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/>
<property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/>
<property xil_pn:name="Target UCF File Name" xil_pn:value="top_miosil2.ucf" xil_pn:valueState="non-default"/>
<property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/>
<property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/>
<property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/>
<property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/>
<property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/>
<property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="User Browsed Strategy Files" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/>
<property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/>
<property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog 2001 Xst" xil_pn:value="true" xil_pn:valueState="default"/>
<property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/>
<property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/>
<property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/>
<property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/>
<property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/>
<!-- -->
<!-- The following properties are for internal use only. These should not be modified.-->
<!-- -->
<property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="Architecture|top_miosil2_tb|behavior" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DesignName" xil_pn:value="fpga_miosil2" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostFitSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostParSimTop" xil_pn:value="Architecture|top_miosil2_tb|behavior" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/>
<property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2014-01-17T17:45:37" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="478F4D113BBA4B9FA4EA98D9C5106444" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/>
<property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/>
</properties>
 
<bindings/>
 
<libraries/>
 
<autoManagedFiles>
<!-- The following files are identified by `include statements in verilog -->
<!-- source files and are automatically managed by Project Navigator. -->
<!-- -->
<!-- Do not hand-edit this section, as it will be overwritten when the -->
<!-- project is analyzed based on files automatically identified as -->
<!-- include files. -->
</autoManagedFiles>
 
</project>
/saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.bit Cannot display: file marked as a binary type. svn:mime-type = application/octet-stream
saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.bit Property changes : Added: svn:mime-type ## -0,0 +1 ## +application/octet-stream \ No newline at end of property Index: saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.mcs =================================================================== --- saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.mcs (nonexistent) +++ saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.mcs (revision 6) @@ -0,0 +1,21347 @@ +:020000040000FA +:10000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 +:10001000AA99556630A10007200031A10380314123 +:100020003D00316109EE31C20400009330E100CFA0 +:1000300030C100812000200020002000200020008E +:1000400020002000200020002000200020002000B0 +:1000500020002000200033813C0F318108813421B1 +:1000600000003201001F31E1FFFF33210005334161 +:10007000000433010100326100003281000032A12E +:10008000000032C1000032E1000033A11BE233C2A4 +:10009000000000002000200030220000000030A1FD +:1000A00000015060000298AD000000000000000058 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000808 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000200008D +:10017000000200000000000000000000000000007D +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:10023000000000000000A40000000000000000001A +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:1002600000000A000000000008000000000000007C +:10027000000000000000000000000000000000007E +:10028000000000080B000600000000000000000055 +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000100000000000000000000000BC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:100450000002000000080000000000000000000092 +:10046000000000000000000000000000000000008C +:100470000000000000080000000000000000000074 +:10048000000000000000000000000000000000006C +:10049000000000000000000200000000000000005A +:1004A00000000000000080000000000000000000CC +:1004B000000000000000000000000000000000003C +:1004C0000000000000090000000000000000000023 +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400008000000000000000000000000000000A2 +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:100670000000000000000000000000000500000075 +:100680000000000000000000050000001000100045 +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000020000000000000000000DA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860001000000000000000000000000000000078 +:100870000000000000000000000000000000000078 +:100880000000410020000000000000000000000007 +:100890000000000000000000000000001000000048 +:1008A0000000000000000004000000000000000044 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000020000000000000020 +:100ED00000000000000000000000000000003100E1 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000100000000000000000E +:1011E00000000000000000000000000000002000DF +:1011F00000000000000000000000000000000000EF +:10120000200010000000400000000000200020002E +:101210002000880004000400040004000000000016 +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000080000000000080005E +:10129000800080000000800080008000800000004E +:1012A000000000000000000000000000000000033B +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F0000000000000040080100000004180000099 +:1013000000020200008400800000000000080000CD +:10131000008400040000058001A00102040000A078 +:10132000000400000080008088000200109001048A +:1013300000002080080000000000040004400404B5 +:1013400000040000000020002004000002000080D3 +:10135000000000001000400000000000000000003D +:10136000002000000000000000000000000000005D +:101370001000000800000001000400080000A002A6 +:101380000000000189840005200400000000000026 +:101390000000000500010000A0044003200180843B +:1013A000000300850084000400040000A000000584 +:1013B0000201000080108082000000028000800195 +:1013C000800100010000000080008001000080001A +:1013D0000008000000008000800000000000000005 +:1013E00000000001000000000000000000000000FC +:1013F00000008000002000000020000000000040ED +:10140000002000050011000000080000001100008D +:101410000005000000000040000000040000000083 +:10142000004000000020000000000002000000005A +:10143000008304050000000400080005000000030C +:101440000000000300000011000000110000000077 +:101450000000000004000000000000000000000088 +:10146000000000000000000000000011000000006B +:10147000000000000000000100000001000000006A +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000100004B +:1014A0000000000000000001000000000800000033 +:1014B0000000002080000000080100010000000082 +:1014C000000000000000000000000000000000001C +:1014D000000000000000800000000000000000008C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000020000001000DA +:10150000000584008400C0000008000008000800F6 +:101510000000000000030080000000000000040044 +:10152000C1000000000001020500010021002000B0 +:1015300084040000C1800100000082000808080047 +:10154000080008000000000000000000000000800B +:10155000000010000000000000000000000000007B +:10156000000000000000000000000000000000007B +:1015700000000000000000000000000080000000EB +:1015800000000000000000A00000000000000000BB +:101590000000000000000000410400A00000000066 +:1015A00080A02000000000002001840004008400CE +:1015B0008000000121002020200000000000000128 +:1015C000000000000000000000000000000000001B +:1015D0000004000080000000000000000000000087 +:1015E00000000000000000000000000000000000FB +:1015F0000000000000000000000000008200002049 +:10160000402082000000820308000803C00200009E +:101610000020082100042000000000000000080451 +:10162000820400088400840000000002C000400022 +:1016300008008204A021A0040004000300000004AC +:101640008804002100208203000008000803000035 +:101650001004000400040400000000000400000066 +:1016600000000000000090000000000000000000EA +:10167000000000000000000000000000000000006A +:10168000000100010000000000000000000800014F +:10169000000000000000000000000000000000004A +:1016A0000001000100000000000000000001000037 +:1016B0000000000000000000000000010000000029 +:1016C0000000000100000000000000000000000019 +:1016D0000000000000010001800000000000800008 +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000200020002000080005000500088203DA +:1017100000021008000000080000000000000000A7 +:101720000008080800040005000041020000002134 +:101730002000410000030000C00484002003208337 +:1017400000000090010800002004C0000800000311 +:10175000A0000000000000000000000000000000E9 +:101760000000000000000000000400000000000075 +:101770000000000000000000000000000000000069 +:101780000000000100010001000000000002000054 +:1017900000000001000000000000000000000084C4 +:1017A000030000A000000001000000008401000010 +:1017B00000008000840000000000000100008000A4 +:1017C00080040000000520010000800100000000EE +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000100000000F8 +:1017F00000000000000000000000000000000000E9 +:10180000000000000110A1904102000000C0001083 +:1018100000208820000000010800000100C00084B2 +:1018200008404020000010000100000000C0A0910E +:101830000800000000C0009108000000081190108E +:101840008810208800000008009002880082C040B4 +:1018500090900100888801C001029040200800009B +:1018600000008891000008C00000020220900000E3 +:101870000000000000000000000000000000000068 +:101880000000000000000880888088800000008040 +:1018900000800000000011000008004102080080E4 +:1018A00009800000000000008000030000000080AC +:1018B00083888008000000820090821100090308DC +:1018C00080890280000300000003410009800003BA +:1018D00080808080100000000280108008000000DE +:1018E00000000000809100002080000080000000C7 +:1018F00040000000000000000000000000000000A8 +:10190000000000000000000002001111088091009A +:101910000800082080008800000003001100C000BB +:101920000080004080008009000300000008800063 +:1019300000808000000880800300210002008900F0 +:101940000080A100880080910000118011031140E7 +:10195000101000000000000880002000A04011804E +:101960008500000011801109C180000000200000E6 +:101970008300000000000000008000000000000064 +:10198000000300000000000000000000020111043C +:10199000C000200040000290C00000009000210024 +:1019A000C000000888000200C00000000000000124 +:1019B0009000004082000000C09000000100000084 +:1019C000C000401003A08300C0C0000001C000019F +:1019D00000880140900000000001C00090008300DA +:1019E0008340C082000009402101C108100010009E +:1019F0000090C00000000000000000C000000000D7 +:101A000000000000000000000000000002020002D0 +:101A10000890000040020090020200C00000000098 +:101A20000001010000210090109010C10000200072 +:101A30000000010000000000009000C00000000055 +:101A4000009100C008820008A12008A0000010003A +:101A50000000A00091019090008800900908C0400B +:101A60000021002001C101000000850001019088D3 +:101A70000009000009C10000000000000000000093 +:101A8000000000000000000000000000000080894D +:101A9000008980820009800000000080008000032F +:101AA0001103000511400020000500028091000094 +:101AB0008000000041000011000500880080001136 +:101AC00000200390009180A0050005109191000076 +:101AD00000410005820590418080000000800800E0 +:101AE00080050003000311904000000091000911DF +:101AF00000080003000811C1000000000000000001 +:101B000000000000000000000000000000000000D5 +:101B100083C0834188000010808000000000001016 +:101B2000008800000000000591082100888008005E +:101B30000008000900000000801190410000000032 +:101B4000890800050010100020101000100020115E +:101B5000000020050300820005408008001080007E +:101B600000008008800000000003009000000000DA +:101B70008011800000000000001100000000000043 +:101B80000000000000000000000000000000000055 +:101B900000009040020190000000C0028288900086 +:101BA000000100C0000000000000C00020881102F9 +:101BB00021000001000000000000C0012001000021 +:101BC0000000C00000000021890000010000012089 +:101BD0000000000088024100020088009000884157 +:101BE00084000800C0880400004040000041000854 +:101BF00000089001C000882000882001000000003B +:101C000000000000000000000000000000000000D4 +:101C100000000000818100D00B008200000000D095 +:101C200000000000810000D000006000840000D0AF +:101C300000000000000000D00B000082000000D077 +:101C40000B008200810000D00B000000888100D0D2 +:101C50000B0400420000810002D000028800818154 +:101C600000D00B008200810000D0000060008100E5 +:101C700000D00B000000880000D0000000000041F0 +:101C800000000B0400420000000000000000000003 +:101C90000000000000000F09F09000F300F36090D6 +:101CA000000030C00000000000000000000030C054 +:101CB000000030C000000000000000000000FF0035 +:101CC0000000FF0000003C3C0000FF00000000009E +:101CD000000042004200000000000001000000007F +:101CE000CFFF3000DF20FF00A500000030C0000063 +:101CF00000003C3C0000000024420000A0A00000C6 +:101D000000000000000000000000000000000000D3 +:101D10000000000000000000FF99000000F300F345 +:101D2000060900000C030000000000000000000095 +:101D30000C0300000C030000000000000000000085 +:101D4000FF000000FF0000003C3C0000FF0000001E +:101D5000000000004200C20000000000000000007F +:101D60000000CFFF3000FF00FF0000A500000C03C3 +:101D7000000000003C3C0000000018810000050548 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E1000000000000000000000000000000F0F00A4 +:101E20000000F00F0000030C00008214000000000E +:101E30000000F00F000082140000090600000000FE +:101E40000000FFFF000000FF00000F0F000000FF78 +:101E5000FFFF000000E100F300FF000000000609A2 +:101E600002010000000000FF24DB0000A5A5000027 +:101E7000300C0000030C0F0F0000000009060000EA +:101E800005050000444400000000000000000000C0 +:101E9000000000000000000000000000000000FF43 +:101EA000FF000000F00F0000C030000082140000AE +:101EB00000000000F00F000082140000906000009D +:101EC00000000000FFFF000000FF00000F0F0000F7 +:101ED00000FFFFFFFFFF00C300F300FF0C0C00003A +:101EE000FFFFC3C30000000000FF00FF0000A5A526 +:101EF0000000C0030000C0300F0F00000000906021 +:101F00000000A0A000008888000000000000000081 +:101F100000000000000000000000000000000000C1 +:101F20000061002F8001120600E3000640058A00D0 +:101F3000006100068001120600E300068009AA0085 +:101F40000061001F400D10C003E303184C0992C04C +:101F500003E7031B8C03A200006D4A23850324A81A +:101F6000000080650001800820000061002F800DC6 +:101F7000120600E300078003AA0015612A06850304 +:101F800032A600E300068009AA000000002000003D +:101F900000A0000000001000000000000001000090 +:101FA000000000FF000FFF3CFF3C000000000000AD +:101FB000000000000000AAEEAAEF83D30000C0C01A +:101FC000D7C0000000000000000000550055C1C34C +:101FD000C1C33C3CC3C37EBDBD7E6699996696690C +:101FE000FFFF00009F6F6F9FAFFABEBE00000000B2 +:101FF00000000000000000009966FFFF00000000E4 +:10200000000055550000000000C3505000000000C3 +:102010000000000000000000000080000000000040 +:102020000000000000FF000FBEBE3F3F00000000A8 +:102030000000000000000000AAEEAAEFC3FF0000AD +:102040000000D7000000000000000000005500550F +:1020500041C341C33C3CC3C37EBDBD7E9966669906 +:102060009669FFFF00006F9F9F6FFAAFBEBE000032 +:10207000000000000000000000006699FFFF000063 +:102080000000000055550000000000C35050000043 +:10209000000000000000000200000000000000003E +:1020A000000000000000000000000AC30000000063 +:1020B0000000C3C3C3C3D78AD78A000F000F000034 +:1020C0000000000000000000000000000000000F01 +:1020D000000F00000000FC3F3FFC33CCFFFFFFFF80 +:1020E000FFFFAFFAAFFA0000FFFFFFFF99666699A6 +:1020F000000000000000000000000000FFFFFFFFE4 +:10210000000000000A0A000000000000AAAA000067 +:1021100000000000000000000000000000000000BF +:10212000020000000000000000000000FAC30000F0 +:10213000000000004141C1C1D78AD78A00F055F59F +:10214000000000000000000000000000000000008F +:10215000000F000F000000003FFCFC3F33CCFFFFEE +:1021600096696996AFFAAFFA00003C3CC3C3669922 +:102170009966000000000000000000000000669961 +:10218000996600000F0F0A0A00FF00000000AAAACB +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000020002000000040000000000000008F +:1021D000840000000000880000008800000000006B +:1021E00000002000000000000000000000000000CF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000400000000000000000005E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:102260000000000000000000050009000000000060 +:10227000000040000000000000000000000000001E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B0000000000000000004000000040000000313 +:1022C000000000000000000000000000000400000A +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000002100000000CD +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:102330000000000200880082000400040000000485 +:1023400000000082000800880090200000000008C3 +:102350000008008200000000000000900000000063 +:1023600000000008000800000090000000000004C9 +:102370000000000400000000000000000000000059 +:1023800000000000000400840000000000000000C5 +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000030000000000001A +:1023C00000200000000000040000000400000000E5 +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000400000000000000000AD +:1023F00000000000000000000000000000000000DD +:10240000004100000000000000000000000000008B +:1024100000000000000000000004000000000000B8 +:1024200000000000000000000000000000000000AC +:102430000000000002000800080008000000004042 +:102440000200000421000000210003001100000030 +:10245000000000002140100000A00000000020004B +:1024600000001000400002200500050011001100CE +:1024700000000004000000000004000400000390BD +:10248000032008C04100000000001000000004000C +:1024900009000200090011000000000000880090FF +:1024A000000000000000000000000000000000002C +:1024B0000000000000000000000000000800000014 +:1024C00000080000000000000000000000000041C3 +:1024D000000090090011A0000000000000020000B0 +:1024E0000000000010000002000000000002880050 +:1024F00040000000000000000009880200020802FD +:10250000000000000041000000000000000000008A +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:1025600000880000000000000088000000C000009B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000A00000403B +:1025C0000000000000000003000000000008000000 +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F000000000000800000000000000C00400000F +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000405A +:1026400000A0008841A0200020400000028400007B +:102650000004100040084004000400C000000040D6 +:102660000040004000000000000400004084020020 +:10267000040000000804008221A0080200004004B9 +:102680000004100400000004100000041000080002 +:1026900003044000030008000000000000000004E4 +:1026A00010041104100400000000000000000000ED +:1026B0000000100000000000000000000000000802 +:1026C000000800020000C0000000880000000000B8 +:1026D00000000000000010080000000000000000E2 +:1026E00000000000000000000000000000000000EA +:1026F00000001000000000020000000000000000C8 +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:1027600000000090000000000000000000000000D9 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F0000000000000000000400000000000000090 +:1031000000020000000000000000000000001000AD +:1031100000000000000000000008000004000000A3 +:10312000000000050000000000000000000000009A +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000004000000000001F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A00000000000000000000000000041000000DE +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000400DB +:1031F00000000000000200000000000000000000CD +:1032000000050010000000000000000000000000A9 +:1032100000000000000000000000000000000000AE +:1032200000200400000008000800000000008200E8 +:103230000000080000000000000000000000000086 +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:1032600000000000000000000000000000000084DA +:1032700000040004008200080000008200820010A8 +:10328000000800020084000800080008000800820E +:103290000082000000080008008800020000008290 +:1032A00000020082008200820010001000000082F4 +:1032B000000000000000000200820000000000008A +:1032C00000020000000000020002000008000000F0 +:1032D00000000000000000000000000200000000EC +:1032E00000000000000000000000000000000021BD +:1032F00000030800822104001000000010000021DB +:10330000104082000008000888008800001000219A +:10331000000000000005002100000400040000057A +:103320000800000000004009000804000000000040 +:1033300004000400000000000000880000080000F5 +:1033400090001000900008000000840084008400B9 +:103350008800400004000400C000000000000000DD +:10336000000000000000000000000000000000005D +:10337000000000200010000000000000000000A07D +:10338000001000100000000000200082000000007B +:103390000000000000000000000000A00400040085 +:1033A000009000000000000000000000000000008D +:1033B00000000000000000000010000000000000FD +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000004000000000000000000C9 +:1034000000000000000000000000000000000400B8 +:10341000000000000020000000000000000000008C +:103420000004000000000000000000040010000084 +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:1034700000000000100000840084000008040082A6 +:103480000010000000000000000000000084001098 +:103490000000000000C000000090000005C0000017 +:1034A00003100000000000000000100000000000F9 +:1034B0000000000000000000000000000000080004 +:1034C00004000000040000001000000000000000E4 +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F0000000000000000008A002000800050021F4 +:103500000000000400000020000000000000001087 +:10351000000000000000004000000000000000006B +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:103550000000000000000000000004000000000067 +:10356000000000000000000000000000000000005B +:1035700000000000000000000088000000000000C3 +:10358000000000000000000000100000000000002B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000900000000000008B +:1035B000000000900000000000000000000000007B +:1035C00000000800000000000000000000000000F3 +:1035D000000009900000200000000090000000881A +:1035E00000000400000000000000000000000000D7 +:1035F00000000000000000000000000500080000BE +:103600000000000800050008000800000008000095 +:10361000000000000000000000000010000000009A +:10362000000000000000000020100000000000006A +:103630000000000800000000000000000000000082 +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000001000003A +:1036800000000082410000001000000020100582B0 +:103690000000000000000010000400100000000006 +:1036A00000000400000000000000200000000000F6 +:1036B00000002100000004000500000000000000E0 +:1036C00020000000000000000000000000000000DA +:1036D00000000000000000000000000000100000DA +:1036E00000000000000005000500000000000000D0 +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D9000000000000000000000000004000000041B +:103DA00001002000880005052000210220080000F5 +:103DB0004004200800040108400000004100880081 +:103DC00088000000200020080020002000200020A3 +:103DD0000000000000008900000000110000010444 +:103DE00000000000000000000005000501000000C8 +:103DF00000000000000000000000000000200100A2 +:103E00000000000000410000000000000000000071 +:103E100000000000000000000000000000010000A1 +:103E20001001200080000000A0008000A001000020 +:103E30000000800100090001400080000000A00097 +:103E40000000000000000000000100010001090165 +:103E5000000100002000000004000003000000003A +:103E6000040000000000000000000000000004004A +:103E7000000021000000000000000004000000011C +:103E80000200000000000000000000000000000030 +:103E90000000000000000000000000000000000022 +:103EA0000004000000000400010000020000000007 +:103EB00001000100880001880100000000000100ED +:103EC00000000100000000800080000800000000E9 +:103ED00000000000008000800180008000008280DF +:103EE000008082040080000000000000000000004C +:103EF0000080000002800000000000000000008040 +:103F0000008000000000000000000080028000002F +:103F1000000000000000000000000000000000821F +:103F200000000083008200028900200800110008C0 +:103F300000880490040400084004400800840084C1 +:103F400040840084408400000010000400020084CB +:103F50000000000800080020002040200020000091 +:103F6000002000040001200400000084208420823E +:103F700000000040008480040000009000000090D9 +:103F800000200040000000000000000000040004C9 +:103F90000000000000000000000000000000000021 +:103FA00000080008000800080000010200000000EE +:103FB000402000040009902120002000200320045C +:103FC0000100000800000008000200000821042091 +:103FD00082200020002000200000888500020000D0 +:103FE0000000200820C0200020000009200000035D +:103FF0001009002100899140010000090009000416 +:104000000003010411A004200240821100040000FA +:1040100000000000000000000000000000000000A0 +:1040200000000010000000000090000004080000E4 +:104030000000802000000000000880C08000800098 +:10404000800104000000000000000001000880085A +:10405000000100010001080100010000030400014B +:1040600000820000808480218000800000028000A7 +:1040700000008000000003040401020000000000B2 +:1040800000000000100020218001800100000000DD +:104090000000000000000000000000000000000020 +:1040A00000000000000000000000C000820040008E +:1040B00004000800400040000080C0000800040028 +:1040C00001110400200000202000C000081008009A +:1040D000910402040800C000820000000882080069 +:1040E000100800020000080804000800C000008852 +:1040F000A0048204C08800000020002000002011DD +:10410000000000000000008000000000000000002F +:10411000008000000000000000000000000000001F +:10412000000000000000000000000000000000008F +:1041300080048300000000008000000200000000F6 +:10414000800004008000C1080001C100000080015F +:104150002100200180010000000800000084000907 +:10416000000080A10001000000018000000020008C +:104170000803000100090005000000010001000023 +:10418000808200000000000000200000000000000D +:104190000000000800000000000000000000000017 +:1041A0000000000000000000A0040040884000045F +:1041B0000420080540020004C0000004A0008400A0 +:1041C0000400C00082218200904182040400840027 +:1041D0000002820088002000820008008400200085 +:1041E0008200200000000000000004000000042005 +:1041F0000000828000000000A000A00000030083F7 +:104200008221200482040000080041201020204068 +:1042100020A00000000000000000000000000000DE +:104220000000000000000000000000010004000089 +:1042300000008000000000000000000000000000FE +:10424000000091004000000000000000000080001D +:1042500000000001000000008000080000000000D5 +:1042600080000000830800000000000080000000C3 +:10427000800100004002000000000000000000007B +:1042800000400000C0010000000003008401800124 +:1042900080018921000000000000000000000000F3 +:1042A0000000000000000000000000004003A0210A +:1042B0000000C0020002000208020008C000402105 +:1042C0000005820000008200402108088200C00032 +:1042D000000582000002C0200010830000002021A1 +:1042E000C0000000C12182000000C00800000000E2 +:1042F0008208000084000821C08000048488000037 +:104300000021002100002011000200000000C00078 +:104310002000C02010400000000800040009000038 +:10432000000000000000000000000000000000008D +:104330000000000000000001030100010000000077 +:10434000800000000000000000008000410000002C +:104350000000000000000001000000000400000058 +:1043600080000000000004000000000000000000C9 +:104370000000000000000000000000080000000431 +:104380000000000000000000890000000000410063 +:104390000000800000018001000000010001000019 +:1043A000000000000000000000000000000000000D +:1043B00088C0001102010008000000000000000099 +:1043C000000100000000080000880000890001844E +:1043D000840084000400008400A00800880100908C +:1043E00001C000918200000000C1009008000000A0 +:1043F0000000118890910820020200C141010103D0 +:104400009002C0C0919100880808C0C10010000847 +:104410001009000041010001008802C0010484006D +:104420000008000000000000000000000000000084 +:1044300000000089080808080000000000080209C0 +:10444000000000020000100980030002004040004C +:1044500021008003852088200020008509418003F9 +:104460000005028300900041000508C1008500039B +:1044700000000000A005858482418085089009091C +:104480000311000089C090900320000380910080F8 +:104490004003801003058909031100898080098089 +:1044A0008500000000000000000000000000000087 +:1044B0000000000011008340409184024010008001 +:1044C000090005111000840008002000408410003D +:1044D0000309052008800080031020101000080048 +:1044E000110388001000080088009084100041002B +:1044F0001020C1020000030008008400C110100059 +:1045000009111100C109400010004000830580001E +:10451000001080008000050009801111C189200071 +:10452000090011008580000000000000000000006C +:10453000000000000000001041011120C002000036 +:104540000004000000A100A08200010001C084114D +:10455000018800000004010800C0000100050100FE +:10456000010001009000000001008500C091018859 +:10457000A0000100C1910000024002008300C101BF +:10458000018803001100C101888241000088C00039 +:10459000900088011000C000080009880101C109CD +:1045A000410021002108C0040000000000000000BC +:1045B000000000000000000002020091902088C06E +:1045C0000000000003000000000000009000001048 +:1045D0000000104041010111C0010000C0A1000015 +:1045E000000000889009919100010000019100C134 +:1045F00000000001209100C0000000000088912010 +:10460000000110090009010811C140410083909187 +:10461000890800020000009010C001000001C100E4 +:104620000101900200900291A1C100000000000071 +:104630000000000000000000000080200040001189 +:1046400002910500004091090003000000000000F5 +:10465000000004008580A105114184210011802102 +:10466000000300400502030591100004050005A1A8 +:1046700040910003001005A1038000000000020229 +:104680000840000400102183058091C180822082AF +:1046900040A1890500000000000080804100000367 +:1046A0008503114100C10005800511C10000000013 +:1046B000000000000000000000000000848009806D +:1046C0000500911102000804110000000380080099 +:1046D0002000000821C020004000030821008500C0 +:1046E000210003050000002000001008A191912086 +:1046F00000002010A0C111404100080200008440C9 +:10470000040884809010C189110080800011210567 +:1047100000A120000208804000000000800041A1AC +:10472000918341800011808580800000801100000D +:104730000000000000000000000000000000C0C0F9 +:10474000040201100800010001010000000000C087 +:1047500000C000000001050500000084C001050044 +:104760000800010000000020880108000101C109C3 +:10477000210100000100C0C0010000009101000003 +:104780009100210003900800C18909020210100164 +:104790000188080400900101C00002884000080060 +:1047A0000189910800080001C04082022000040134 +:1047B00000000000000000000000000000000000F9 +:1047C000840000D000004200600A00D00B0000000E +:1047D000840000D00B004100000000D00B0000005E +:1047E000820000D00B004211840000D00B000000BA +:1047F000000000D00B000000880002D00B008400F5 +:104800000000848102D00B000000880000D000006E +:104810000000484100D00B008200810000D0000061 +:104820004200884100D00B008411810000D00000BC +:104830000000000000000000000000000000000078 +:104840000000A50000002211000000000000000090 +:10485000000000000000FFFF00003C3CC3C3F0F07C +:104860000F0FFF0000FF66996699F000F000F0F06E +:1048700000F0FF000000FF0000003C3C0000FF00D3 +:10488000000000003CFC3C0CF066F06633000000C9 +:1048900030C00000FF66FF66DF20FF0030C0000070 +:1048A000A50000000000FF0000000000A0050000BF +:1048B00022110000000000000000000000000000C5 +:1048C0000000000000A50000221100000000000010 +:1048D00000000000000000000000FFFF3C3CC3C3DC +:1048E000F0F00F0FFF0000FF66996699F000F000EE +:1048F000F0F000F0FF000000FF0000003C3C000072 +:10490000FF00000000003CFC3C0CF0F0F0F0003335 +:1049100000000C030000FF66FF66FF00FF000C03B1 +:10492000000000A500000000FF0000000000A0053E +:104930000000221100000000000000000000000044 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C0000000000000000000000000A500000096AC +:1049D000000000000000000000000000969696967F +:1049E000C3C33C3C3C3C3C3C3C3C3C3C00000000E9 +:1049F000999918180000FFFF000000FF000000FF59 +:104A0000000000FFFFFFF0F000000030CFFF0000CB +:104A1000300C0000050500009009666A0CF30000E8 +:104A20000505000082140000500A3C3C0000000014 +:104A30001414000000A50000821400000000000013 +:104A4000000000000000000000000000A5000000C1 +:104A50009600000000000000000000000000969694 +:104A60009696C3C33C3CC3C3C3C3C3C3C3C3000004 +:104A70000000999918180000FFFF000000FF0000D7 +:104A800000FF000000FFFFFFF0F000000030CFFF4C +:104A90000000C0030000A0A000006006666600FFE2 +:104AA0000000A0A0000082140000500A3C3C00005E +:104AB000000082820000A5000000821400000000B7 +:104AC00000000000000000000000000000E3000102 +:104AD00080018A0005610A39850100A0006100118A +:104AE0008C0D10C0036103174C0810C00361031341 +:104AF0008C0500008061401E800330C003E3031C6E +:104B00004C0992C003E2031C8C0D82A000000065DA +:104B100000298003320600C2000580008A008061FF +:104B2000202D8009120600E70003800D8A00154041 +:104B30002A2D850012A600E3000780008A000000ED +:104B40000000000000000000000000000000FFFF67 +:104B5000F0F0FEF4FAF0FFFFF00000F0FFF0FFF5D8 +:104B600000050000000022770000AAAAFF00000054 +:104B70000800000008000000C3C340C3C0C33C00DD +:104B8000FF000014FF7DC300FF550F0D0F0D000047 +:104B90007EBDBD7EF5FAFAF5AA55AAFFCFCFCCCCE3 +:104BA000C3C34141F3F0F3F0000000000000000037 +:104BB000F00FF0FFFF0FF00F00000000FFDF20DF1D +:104BC00000000000000000000000000000000000E5 +:104BD000FFFFEB28FEF4FFF50FFF000000F0FFF0F1 +:104BE000FFF500050000000077220000AAAAFF00E0 +:104BF00000000000000000000000C3C340FFC0FF31 +:104C0000FF3CFF000014FF7DC300FF500F0D0F0D90 +:104C10000000BD7E7EBDFAF5F5FAAA55550030308C +:104C2000CCCCC3C3C1C1F0F0F3F000000000000021 +:104C30000000F00F0F0000F0F00F00000000002057 +:104C400020DF000000000000000000000000000065 +:104C500000000FFF00FFCFFFC5FFFF0FFF0F3C005D +:104C60003CFFFCFC0C0CD3D3D3D3000055003C28F4 +:104C70003C78FFCF000C00FF82BEFFFFF0F000C3C6 +:104C8000C2FF040000000000000000F000005050CF +:104C90003C00000077DDDD77C3C3FAAF05000000FC +:104CA000FFDF20DFAFAA0500AAAAAAAA0303FCFC23 +:104CB000000000000C000000FFDF20DF000000000B +:104CC00000FF20DF000000000000000000000000E6 +:104CD000000000000FFF00FFCAFFC0FFF000F0005F +:104CE0003C003CFFFCFC0C0CBE55BEFF000000006D +:104CF00000000050FFCF000C00FF82BEBEFFBEF0E0 +:104D000000C302FF000000000000200000F0FFFFD1 +:104D1000FFFF3C000000DD7777DDC3C3FAAF000082 +:104D20000000002020DF87AA0500FF00CF0003035A +:104D3000FCFC0000000000000000002020DF00005C +:104D4000000000FF00FF0000000000000000000065 +:104D50000000000000000000200200000000000031 +:104D60000011000000080000200000020002000006 +:104D7000A000000040000000200200000000000031 +:104D8000000000000000000484000000000000009B +:104D900000004000000000000000200000000000B3 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000200000000000B3 +:104DE000000000000090000000000000000400002F +:104DF0000000000000000000000000000000400073 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000002000000072 +:104E20000002000000000000000000000000000080 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E500000000000000000000000000084000000CE +:104E60000000000000000000000000000200000040 +:104E700000000008000000000000000082000000A8 +:104E8000000000000011000082000000000084000B +:104E9000000082008200000000000000020000000C +:104EA000000000000000000000008400000000007E +:104EB00000000000000000000000000000000040B2 +:104EC00000000000000000000000000000000000E2 +:104ED000000000000000000000000040000820006A +:104EE00000840002001000840008009000080900FF +:104EF00000840090008800000008000000022000EC +:104F000000040004000000880040008800880900B8 +:104F10000088000400C000400040000000000000C5 +:104F200000000008008800000000000000000000F1 +:104F30000000000000000000004000000000000031 +:104F40002088004000000000000000000000000079 +:104F50000000000000000000000000000000C00091 +:104F60000000000300000000000800000400000032 +:104F700000000008000000000020002000000000E9 +:104F80000000000800080000000000000000000011 +:104F9000000000000000040000000000000000000D +:104FA000000400200020000000000000002000009D +:104FB00000000000000000000000000000000000F1 +:104FC00000000400000000000000000000000000DD +:104FD00000000000000000000000000000000000D1 +:104FE000000400C0000800100004002000A04020C1 +:104FF0000000000403400308000040022100108468 +:10500000000021000000001000000000040000006B +:1050100000000800400000040000000010A0102064 +:1050200000000000000000004000000041004000BF +:10503000418200C0008200000088000000000000E3 +:10504000000000004100410041000000000000009D +:105050000000000000000000000000000000000050 +:1050600000000000080040004000904000000040A8 +:1050700000200008000000000021000000000009DE +:105080000000000008000002000000020000900282 +:10509000000000410000000000000040000000008F +:1050A0000000000000000000000000000040004080 +:1050B000001100000002000000400040000000005D +:1050C00000090000000000000000000000000000D7 +:1050D00000000000000000000000000000000000D0 +:1050E0000000000000000000000000000040000080 +:1050F00000000000000008000000000000000008A0 +:10510000000000000000000000840000000000001B +:105110000000000000000000000000000000000887 +:105120000000000000000000000000000000090076 +:105130000000000000000000080000000000000067 +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:1051700000040000000000000000400000000000EB +:10518000000000000000000000000000000000001F +:10519000000000000000000000000004000000000B +:1051A000000000000000000040000000400000007F +:1051B00000000000000000000000000000040000EB +:1051C000904100004000400000000000000000008E +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000001190088400842008E6 +:1051F00000000000000404000040030000400040E4 +:105200000304008800000084000008001100000072 +:10521000009040204004400010A000A000A04000EA +:105220000204080003000800000000C0080805C0D0 +:105230002184004000200200210010040204200408 +:10524000004011004004080400000000000040007D +:105250000000100010000000090000000000000025 +:10526000000000000000000000000000000000003E +:10527000000000000021004100000000000040008C +:1052800000000000C000000000000000000000005E +:10529000004000000000004000000000000000008E +:1052A000000000000000000090000000000000006E +:1052B00000001000000000000000000500000000D9 +:1052C000000000400000000000000000000000009E +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000082000000000B +:10532000000000000000000000000000000000007D +:105330000200000000000000000002000000400029 +:10534000000000000000000000000000110000004C +:105350000000000000000000000000000400000049 +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C900000000008000000000000000320030411C1 +:105CA00004000000000900080000000000000400DB +:105CB00000000000000000000008000000000000DC +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE0009000000000000000000400000000000020 +:105CF00000000000000000000000000000000000A4 +:105D0000000000040000000000000000000000008F +:105D100000000000000000840000000000000000FF +:105D2000000000040000000000000000000000006F +:105D30000000000000000000000000000000000063 +:105D400000000000000000C0000000000000000093 +:105D50000000000000000000000000000000000043 +:105D600000020000000000004000000000400000B1 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000300 +:105DA0000000C00000000000000000000000000033 +:105DB000000082050000000000000000000000005C +:105DC00000002000000000000000000000000000B3 +:105DD0000000000000410000000000000000000082 +:105DE0000041000000000000000000000000000072 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E2000000000000000000000000008000000006A +:105E300000000508000000100084000000000000C1 +:105E4000000020000000000000000088001000009A +:105E5000000000820082000000000000000000003E +:105E600000080000000000C000000000000000006A +:105E70000000000000000000000000000000000022 +:105E8000000400000000000000000000000000000E +:105E900000000000000000000000A0002000200022 +:105EA00002002000081084000000040000112000FF +:105EB000200000009000000800000000000020000A +:105EC000000000000800000990000002000000002F +:105ED0000000840000008200000000000000C000FC +:105EE000A000000082002000200000000000000050 +:105EF000880020009000000084008400840084005A +:105F00000000000000000000080082000000000007 +:105F100000000000000000000000000000900000F1 +:105F2000000200000000000000A0000000000000CF +:105F3000000000000000000000000000000000025F +:105F40000000000000000000000000000000000051 +:105F50000000000000000000080000000000000039 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000009000000000000091 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000020000000000000C1 +:105FC00000000000000000000400200000000000AD +:105FD000000000000000840000000000000000003D +:105FE00000000000000000000000000000000000B1 +:105FF0000000000000000000000000008400840099 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000005020020000004000000040041 +:1060300000000000000000000082000000000000DE +:1060400000000000000000000090000000000800B8 +:106050000000000000000400000003000500000034 +:10606000000011040000000000000000008804008F +:1060700000000002000020000400000000000400F6 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000003000000000008C5 +:1060D00000000000000000000000000000000800B8 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000200000000000000000000006D +:10613000000000000000000000000000000000005F +:1061400000C0000000000000008400000900000002 +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000009000000000000000008F +:10618000000000000000000000000000000000000F +:1061900000000300000000000000000000000000FC +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D000000000200000000000000000000000009F +:1061E000000020000000000000000000000000008F +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000C0000000000000AE +:10623000000000000400000000000000000000005A +:10624000000000020008000000A00004040000207C +:1062500020000400000420000000040000000000F2 +:106260002000030000000004000000040000000003 +:1062700000002000000400042000000020000000B6 +:1062800011000004000211000400000000000000E2 +:1062900000000000000011000500000000000000E8 +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C000000000000002000800A00020009100204D +:1068D00001040000000000000880208020800000EB +:1068E000000001080000880400840000008001000E +:1068F000890000004000000201110000208000001B +:106900000080000001A000000000000400800000E2 +:1069100000000000000000800000000001880184E9 +:1069200000000000008200800000008800000000DD +:1069300000800000000000000000000000000000D7 +:10694000000000000000000500000005000100201C +:10695000000120010082000000008520800400204A +:1069600000000000400000000001000500000020C1 +:106970004090020800008000008520000000000414 +:1069800000000020000320050000000000010004BA +:1069900000000000000000000020000000002005B2 +:1069A000200500000000000900080090000500001C +:1069B00000000004000000000000000000000000D3 +:1069C0000000000000000000000000000000008047 +:1069D0000000000000A00000040000800100009101 +:1069E00005804080008000000000010001000000E0 +:1069F00000800080008000000000000520000000F2 +:106A000020000000000000000480040404000000D6 +:106A10000084000000002080008020800000000032 +:106A200000000080000000000000000000800080E6 +:106A30000000000000000010000000000000000046 +:106A4000000000000000000000000008000000003E +:106A50000020000403000005000080000020080062 +:106A600000108404800400020000008420842000C0 +:106A70000300001003020008000004000000890069 +:106A800000000008020002000000800480858008E9 +:106A900000080005000400C0800400020902000094 +:106AA0000000000020200000008400000000002002 +:106AB00000200000090000000000000000000000AD +:106AC000000000000000000000000003040000219E +:106AD0000000000040094008202004800000080554 +:106AE00000000400049000030000000800080004F7 +:106AF00000040021C00340032008000000024103FD +:106B0000202102218203000000080000200402204E +:106B1000822020040008000410000004000208C0C5 +:106B2000A1002100010421042000200000200020F9 +:106B30002000010000000000000000200000000014 +:106B400000000000000000000000000000008000C5 +:106B50000800000000100008800880098004004040 +:106B6000800000008000802000A0000000000000E5 +:106B70000001000100000084A0008000210000014D +:106B8000C0008000008200000000000000008000C3 +:106B900080830083800100010001800000010085E6 +:106BA0008021040084001000900080828000000199 +:106BB000000180000400000000000000000100004F +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000482040000828421000500FF +:106BE000050009000400A0002121A0000800840085 +:106BF000C0002004830008000320C0000005A0009E +:106C00000420820040218240A08082000000000019 +:106C10002084044009002040000000000000000023 +:106C2000200082000804000000000800A00000000E +:106C300001800000000000000000000000000000D3 +:106C40000000000000000000000000000000000044 +:106C5000000000000000000100001100002084007E +:106C6000A0000400840080000000A00020000800B4 +:106C700000000000900120A080008409000841006D +:106C80000000410000000584000400040000000032 +:106C900000008005000020008000000400040000C7 +:106CA0000000000000108000000000000000000054 +:106CB00000001002000000000000000000000000C2 +:106CC00000000000000000000000000000000000C4 +:106CD000000001000040A00200000000C0000020F1 +:106CE0000020A004050020030000200300902000E5 +:106CF000A00082008205A008200800080040820051 +:106D0000A0A000020021100540038241002182055D +:106D100040210000A0040000400000038208C008D9 +:106D20008204000001008300084000000020850369 +:106D300000002005C008040400000004000000005A +:106D40000000000000000000000000000000000043 +:106D5000000000000400000000010000000000002E +:106D600000010001000184000000000080000040DC +:106D70008000000000000000000080000000000013 +:106D800000000308000100000000000000000000F7 +:106D90000000800000000001000000000000000171 +:106DA00000010001000004822000800100000001B9 +:106DB00010000000800000018001000000010000C0 +:106DC00000000000000000000000000000000000C3 +:106DD0000000000000000000400002200000082029 +:106DE000002000080008C004840004000800C0005F +:106DF000200808200003000300208203C000820056 +:106E000082020000C00390088208002109210803C3 +:106E10000080824000C0000000A100208203010425 +:106E20000002C004A00008030000000008040004E1 +:106E300000048411002000200100008800040008E4 +:106E40000000000900090010000000200000000000 +:106E50000000000000000000000000000001000031 +:106E60000001000100000000000000008000800020 +:106E70000000800000010000000000000000000091 +:106E800000000001000000000000000000002000E1 +:106E900000000010000000200000002000000000A2 +:106EA0002001000000000000000000000000800041 +:106EB00003000001000000010001040000050001C2 +:106EC00000010000000000000001000000000000C0 +:106ED000000000000000000000000000A190000879 +:106EE0001010000088901082820000010000000055 +:106EF0000201A14002900108024000C101919041AD +:106F0000900000080002A088000800C00041008432 +:106F100010050800000084000000000000880191B6 +:106F20004082004091C0084001C0C0A0080200009B +:106F30000485848400C010900190000002C0010507 +:106F400000900001000000000000000000000000B0 +:106F50000000000000000000000000000000C080F1 +:106F6000008000000000008080808000031100008D +:106F700000000002A10000804080800040C0082086 +:106F800080840000038000000080008040820008B0 +:106F900011C18088880021008000000000004002AC +:106FA000108200050010888000031009000900808D +:106FB0000300C00280000380808004000000C0C184 +:106FC00009894103000800000000000000000000E3 +:106FD0000000000000000000000000000000008031 +:106FE00011808000839120000080050284008000D1 +:106FF00080000000800009100521080883001000AF +:10700000210500808891000088008500C009A0004B +:1070100080050000800005004000850082410000DE +:1070200009008300402083008010002002038000BC +:107030008000008000028080004100208203808068 +:10704000C10009108311C189000000000010000078 +:107050000000000000000000000000000000000030 +:107060000008019008009191000000900203C10205 +:107070008800080402000884000102001140C100D9 +:10708000020005000084C102000010000810C001C9 +:10709000084010000010C02008840900A10091A140 +:1070A0000000000008000482C0001001040000A1DC +:1070B000C00040000210900008100001200085016F +:1070C000C090028801010801C10900000000000110 +:1070D00000000000000000000000000000000000B0 +:1070E00000000101004090080001010000039090A1 +:1070F000100101020000100200100000900000903A +:10710000010002040011010211C0C00000000001D2 +:10711000820100020121009109C100A000100001BC +:10712000000800000040890490830040000008909F +:10713000008521C1410000091100410400410820DF +:1071400090C103C100000140908303C10000000012 +:10715000000000000000000000000000000000002F +:107160000000000011080083808000111109002038 +:107170008080801108000000410000000010000025 +:107180000090400080900083400011828000000049 +:107190000021802000804103202191820000008096 +:1071A00000210589000000801003C02100090002B1 +:1071B0000080000511C120400803100311C0009099 +:1071C0008000800591C100000383800591C100000B +:1071D00000000000000000000000000000000000AF +:1071E00000000000000091800902910091008000E1 +:1071F0000005000000000004008200000000801173 +:10720000808000000020804003000000A0114102A7 +:1072100000882180114080058080900008000300D4 +:107220001100208000050000804000C00080001197 +:1072300080048000808000002140C0A00080000009 +:107240008003002100000000C1C091400000001137 +:10725000004000400080000000000000000000002E +:1072600000000000000000009090000109100800DC +:1072700090008888008800000001001100000000D4 +:10728000C001208220029000C01010C000400901FF +:107290009100882001100301C0840282084001008F +:1072A000000801000084000000009002001140105E +:1072B0008801C0012020028400000100041184081C +:1072C0008400900000A000008200C188050000201A +:1072D000900100000000000200000000000000001B +:1072E00000000000000000000000000600D00B00BD +:1072F0000000601100D00B000000884100D00B009E +:107300000000604200D00B000000810000D00000AF +:107310000000604100D00B006000810000D0000040 +:107320004100004200D00B0000060000814100D067 +:107330000B000000880000D000000000814200D057 +:107340000B000000504100D00B004200488100D0EB +:107350000B000000814200D00B0000000000000084 +:10736000000000000000000000000000CFCF30301F +:107370000FF00FF099FF66000FF00FF00000000013 +:107380000000000060603C3CFFFFFFFF241800008D +:107390003300000000990018000000006090000019 +:1073A000300C0000000000000000101000003E3E05 +:1073B0003838F0F03CF06006000060060000000085 +:1073C000CC006F6F66660000FFFFC0CFFFFFC300F9 +:1073D000FFFF069FFFFF000000000000000000000C +:1073E0000000000000000000000000000000CFCFFF +:1073F0003030F0F0F0F099FF6600FF00FF00000071 +:10740000000000C3003C6060FF3C9900990042818D +:107410000000003300000099001800000000060979 +:107420000000C00300000000000000001010000079 +:107430003E3E3838F0F03CF0900900009009000022 +:107440000000CC0009090000C3C3C3C3C0CFFFFFC5 +:10745000C3FFFFFF069FFFFF0000000000000000C9 +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F00000000CF30CF300FFFF0006F906F9FFFF94 +:107500000066000000000020000000F0C0F0000055 +:1075100060060000600600002222999999990000F7 +:10752000090600008844000028280000000000FF31 +:10753000FFFF00000003FCFF000009060000A0059B +:10754000000044440F0F0C0C00000000FFFFF690F9 +:10755000FFFF9F90FFFFF099FFFF3C00000000003D +:10756000000000000000000000000000000000001B +:10757000000000000CF30CF30000FFFF06F906F911 +:107580000000FF99000000000020000000F0C0F0A3 +:107590000000900900009009000011117FA56724E8 +:1075A000000090600000884400004141000000009D +:1075B000000FFFCF00000003FCFF00009060000000 +:1075C000A005000088880909080800800000FFFF66 +:1075D000F690FFFF9F90FFFFF099FFFFFFC30000B1 +:1075E0000000FFFFFFFF000000000000000000009F +:1075F0000000000000000361032E0C0930C003618D +:10760000032E8C0962C005610A2A851D00A008713D +:107610000027800D160600E3000680018A008041E5 +:1076200040268009120600E300078009AA000061D5 +:107630000024400D000000000061002A80091206AD +:1076400000E2000780088A00A5610A2C850920A1B4 +:107650000362032F8C0892C003C2032D8C00C2C0AA +:107660000061002E800D00000000000000000000FE +:107670000000000000000000000000000000C3C384 +:10768000000000008080EBEB000000000000000024 +:10769000000000003C003C00000000007070F8F8A2 +:1076A0000F0FFCFC5555FF55040404040FF00F0F99 +:1076B000A000F000FF00AA00000000000000AFAF33 +:1076C0000F0F000000003C3CFF000000FF00F0F046 +:1076D000F4F4000000003C3CFF00AA55AAFFFFF0B4 +:1076E0000FF000000000000000000000000000009B +:1076F00000000000000000000000008000A0F0F08A +:10770000FFFF000000008080EBEB000000000000A5 +:107710000000000000003C003C0000C300C3F0F08B +:10772000F8F800003C3C5555FF55040404040FF0E4 +:107730000F0FFFFFFFFF0000FF0000000000000030 +:10774000FF00FF00000000003C3CFF000000FF00C5 +:10775000F1B0F0F0000000003C3CFF00AA555500DD +:10776000000F0FF00000000000000000000000000B +:107770000000000000000000000000000000000009 +:1077800000000000000000000000003CFFFFFFFFC1 +:107790000000000000000000FFFF002000000000CB +:1077A0000404FFFF0000000000500555FF5FFFFFCD +:1077B0003C3C3C3C00000000303000000000E9E9A7 +:1077C000E9E9247C207C0000C0C300FF0000000029 +:1077D0000000000000000000000000FF000010009A +:1077E0000000DFFF9C3C00000000000000000000E3 +:1077F0000000000000000000000000000000000089 +:10780000000000000F0F000000000000003CC3C398 +:1078100000000000000000000000FFFF0020F0005A +:1078200000000000FFFF0000000000500555EBEBDA +:10783000EBEB0000000000000000703000000000D2 +:10784000595939792C7C287C0000C0C300FF000006 +:1078500005050505000000000000000000FF000015 +:107860001000000020009C3C000000000000000010 +:107870000000000000000000000000000000000008 +:107880000000000000008800000802000000204105 +:10789000000000000008000020008200000020110D +:1078A0000000840000000000000004000000000050 +:1078B0000000000000000000200020000000200068 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000003000000000000000095 +:1078F0000000000000000000000200000000000086 +:10790000000800000000009000000082000000005D +:1079100000000082000000000000000000000000E5 +:1079200000000000000000000000000000000090C7 +:107930000002000000000000000000000000000045 +:1079400000000000000010000000000041000000E6 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000001000000000000000000000000F6 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B0000000000000000000000084000000000043 +:1079C0000000002000000000000000000000000097 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000400000000000000000000047 +:107A00000000000000000000000000000000000076 +:107A1000000800000000000000000000000000005E +:107A200000000000000000080088000000000002C4 +:107A30000008000000000004000000020000001028 +:107A40000584009000010000008400000000000098 +:107A5000000000880000000000000000000200009C +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000008000000410000AD +:107A90000000000000009000000000000000000056 +:107AA00000000000000000000000000000000000D6 +:107AB000002000000000000000000000000800009E +:107AC0000041000500000000000000000000000070 +:107AD000000000000011000000000009000000008C +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000008000008000065 +:107B10000000000002000010000000000000000053 +:107B2000410040020008008400C00004008800A05A +:107B3000008403A003A0100041C0000000880000E2 +:107B4000050000000084410400000000000008005F +:107B50000300210000000000008400000000050078 +:107B60000000000000000000008408000000000089 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000004000A5 +:107BA0000000000040004000000000000000000055 +:107BB00000000000000008000000080000000000B5 +:107BC00090000000000082000000000000000000A3 +:107BD0000000000000000000820000000000000023 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C200005000000000002A00000000000000000AD +:107C300003000000400000000000210000000000E0 +:107C400005C00000000000900020000000000000BF +:107C50000000050000000000000008000000000017 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000800000000000000DC +:107CA00000080000000008000008000000000000BC +:107CB0004000000000000000000000000000000084 +:107CC00000410200000084000000000000000000ED +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000200000000000000064 +:107D00000000000000000000000000000000000073 +:107D10000000000000020000000000000000001051 +:107D20000084000000820000000002000000000843 +:107D300011004000000021020802020000000004BF +:107D40000000000420000002000400000000000009 +:107D50000000000011C000C0008400000000089076 +:107D600000000900000005000000000000C0030042 +:107D7000000021002184210000000000000011000B +:107D800000002100000000000000000000000000D2 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000200000000D1 +:107DB0004000000000000000404100000000000002 +:107DC00000000010000000000000000000000000A3 +:107DD0000000400000000000001100000000000052 +:107DE000000000020000000000000009020008007E +:107DF00008000000000000000000001190000002D8 +:107E00000000000000000000000000000000000072 +:107E1000000000000000000800000000000000005A +:107E200000820000000005000000000008000000C3 +:107E3000000041000000000000000000000000A061 +:107E400000820002400000004000000000004100ED +:107E50000000000000000000004000000288000058 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000410000000000000000000000B1 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000008200000000410000000000000000DF +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F5000000000008000000000030000000000009E +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD0000000000000000000000000400000000061 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:1080500000000000000000000000000000C100005F +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E0000000000000004800000000000000000046 +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:108630000001000000000000000000000000000039 +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000020000000000000000000000B7 +:1087C00000000000000000000000000000000000A9 +:1087D000000100003001000000000143000024A05F +:1087E0000200C9C8220201188A10180DDD58493349 +:1087F000E000520C0000000000000000000000003B +:108800000000000000000000100000000000000058 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:10884000008320000400000410042084408088007D +:108850000004000000000000882000000000888064 +:1088600020910000200000002080200084000000F3 +:10887000000002000080C000001000000080000026 +:1088800020000080A08088A0000000800080000000 +:1088900000000000000000000000000400000000D4 +:1088A0000080000000000000000000000000000048 +:1088B00000000000000000000000000000000000B8 +:1088C0000000002000008084000180918021802031 +:1088D0000000000120840084000000850084000066 +:1088E000000480042000000000008004000000005C +:1088F0000000000000000004000000010000002053 +:1089000000030000002000200003000000200002FF +:108910000000000000000000000000000001000056 +:108920000000002000000000000000000000000027 +:108930000000000000000000000000000000000037 +:108940000000000000000000820000001080008095 +:108950002080000000802000000000008300000054 +:108960000000000000000100000000000000000006 +:1089700020000000000000000000000000000000D7 +:108980000000000000000080000004000080008063 +:108990000480048000800080008000A0000000406F +:1089A0000020049000000200000000000000000011 +:1089B0000000C000000000000000000000000000F7 +:1089C000000000000082000000000000000000081D +:1089D0000040000400000008000000080000020041 +:1089E000040000040000000020000000000000C09F +:1089F000200000000000000800080008000000003F +:108A000000000008000000840004000000000904C9 +:108A100000040004000400400040002000050000A5 +:108A20000001000180200000800000000000000024 +:108A30000000000000000000000000000000000036 +:108A400000000000000000200009000200200110CA +:108A500005A12021002100040021000400040005DC +:108A600010042004000400030008000400040008AF +:108A70002008A0888282000000000000200008007A +:108A8000080000800000000000008400400000009A +:108A90000000880084000000C003000008000000FF +:108AA000002102000400000000030000000020007C +:108AB000000900000080000000000000000000002D +:108AC000000000000000000000A1000000A100A1C3 +:108AD000040090400000000000A10000000100011F +:108AE00000A08001000100010088000000010001D9 +:108AF0000000880000020302000000000000800067 +:108B0000801088000040000000004100000080004C +:108B1000000000000800000000000000000000004D +:108B20000000000080008000000000000000000045 +:108B300080000000000000040000000000000000B1 +:108B400000000000000000000000A0000000A100E4 +:108B500082000400820003000800C0000000000042 +:108B6000C000C080000000000800C1800280C0007A +:108B70008300A000000000000100080001000000C8 +:108B8000820020000000040000800000820000003D +:108B9000000008000000000008000900A00000809C +:108BA00000000000000000008200000082000100C0 +:108BB0000000000000000000000000800000000035 +:108BC00000000000000000000000000000000000A5 +:108BD0000200000080000000A00000000800020069 +:108BE000000008000008000000000000200400044D +:108BF00000002000000008C000002000030804104E +:108C000000000000000000008000000400000040A0 +:108C10000000000000000000000000004000000014 +:108C20000020000000000000000000000000000024 +:108C3000040000000000000000000000000400002C +:108C40000000000000000000000000000000000024 +:108C50000400002100002000200304002083000401 +:108C6000C004C00882028200C0040000820000002C +:108C7000000208000000000004001000040840008A +:108C8000404182800000000508008800A00000002C +:108C9000040008000000080000000811C0200000C7 +:108CA0000000028000000000000000000000001131 +:108CB000A00000000800900000008880000000096B +:108CC00000000000000000000000000000000000A4 +:108CD0000000800000000000800080008000800212 +:108CE0000001000100000000000000010000000879 +:108CF00000000000001000000000800080008000E4 +:108D000080008000000400000084000000000000DB +:108D100000008000000000000000000004000401CA +:108D200000000000800800000000000000000000BB +:108D3000000000000000000000000000000400002F +:108D40000000000000000000000000000000000023 +:108D50000000002000C000200020000004052003C7 +:108D600004050041A0088200A0080021A0210421E0 +:108D7000A0004008000020000400040804080408C3 +:108D80000200C041A00404400480022000400020F2 +:108D9000000200000804002000000000C0000002E3 +:108DA00000050040C0214000080400000800000049 +:108DB0000000000008000020000008000000002063 +:108DC000000000000000000500000000000000207E +:108DD00000000000000100200001000000008000F1 +:108DE0008000800000000000000000000000000083 +:108DF00000000000000000000000000080000000F3 +:108E000080000000000000000000002000000000C2 +:108E10000000000100000000000000000000000051 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000004040C1100084000200003B +:108E600000000100000002C00004900200A0009079 +:108E700089900088001041C000040090400200C0AA +:108E80009100A021108200C0840500820000010230 +:108E900000040040000200000002850008080000F5 +:108EA000000000880008000088880190008490403D +:108EB00040000000000090000000010000000000E1 +:108EC00004C0000200000490000000000000000048 +:108ED000000000000000000000112189009000093E +:108EE00000000000040000008080008040000000BE +:108EF00000008980050000801180008005800080CE +:108F00000080C004001080C00085009100030000B4 +:108F1000218000802080008000000500410080004A +:108F200000004000000041000000C0800980208057 +:108F300080008000000000008000000011000000A0 +:108F40000000808000800000800000000000000021 +:108F500000000000000000000000098005800508F6 +:108F6000C083000980108008800000200010800568 +:108F70008080418009110311C10380108003808823 +:108F800080800000080891418082200089059103BB +:108F90008591000000030080000000000800C1006F +:108FA000414003911109008040080300090091002D +:108FB0000500C08080000000800880C000000080A4 +:108FC000000080000000002000038000000000007E +:108FD0000000000000000000000000000140000848 +:108FE00001009091000088028800C0C000000200CB +:108FF0000811C010004083094001C10102011001A5 +:109000000840C004200009008501C09189000400C7 +:109010000901C00920008800000400A00000012010 +:1090200082020001012000000040000100900100C8 +:1090300083000100C090400008008400C090000040 +:1090400090C00000C000200000004000C0000000F0 +:109050000000000000000000000000000000109070 +:1090600000080291400100001001100101010008F8 +:109070002008A00203C00000000000010301000856 +:109080002008000090C008080000A19001C18808D5 +:109090000004009001C1040000820088000000006C +:1090A0000101000188890121002020008888000139 +:1090B00040020089909103C10008008800900020C0 +:1090C00001000000000001019088A000009003C092 +:1090D0000000000000000000000000000000000090 +:1090E0001183200289A10011110000030008114121 +:1090F00000800080009008800000000300051141FE +:10910000008080800000888000092141C085408463 +:10911000008300C005A01091800000050000000041 +:109120000000080400030021044002008000000049 +:1091300000118080008380A191C100000080008028 +:1091400000000800000000001111000000000000F5 +:10915000C1800000000000000000000000000000CE +:1091600000002105410811008903000400210000CE +:109170000000800380800080800009C0031103008C +:109180001111800800118000000080031102090005 +:10919000050091119103110004008010000080006F +:1091A00080000000200311004100900980828000AF +:1091B00000000000008000A00000000305001185F1 +:1091C000050000000000000000000000800000001A +:1091D000800000000000000000000000000000000F +:1091E00000000000012002010000910000010000C9 +:1091F00000000000C00020020004040091889001DB +:1092000041000301C00100218200400090000101E3 +:1092100020000000C121200101004100C000900099 +:1092200040000400000088A00100004003000490FA +:109230002000000000000040008800400000000006 +:109240000188002000000000009000000000C00025 +:109250002088022040000000000000000000000004 +:10926000000000000000818100D00B000000000021 +:1092700000D00B000000840000D0000000005081EE +:1092800000D00B000000000000D0000000004842A9 +:1092900000D00B000082001100D00B00000048003D +:1092A00000D00B0000000000480000D00B00600060 +:1092B000000000D00B000000884100D00B0000002F +:1092C000488200D00B000000480000D00B00840052 +:1092D000480002D000000042000000000000000032 +:1092E00000000000000000000000C300A005A00571 +:1092F0000000FFFF000000002418000028410000CB +:10930000FFFF3F3C00000000222200002828000050 +:109310000000FFFF003CFFFF00FFFFFF03F3FFFF24 +:109320008181000FF00FF00F00003C3CC3C3FF0031 +:1093300000FFFF0000FF3CC33CC3000000003FC033 +:10934000A66600000000DF20FF0000000000000013 +:10935000000030C00000A500000000000000000078 +:10936000000000000000000000000000C300A00595 +:10937000A00500000000000000004281000028411C +:109380000000000003000000000011110000414136 +:1093900000000F0F0F0FC3FFFFFFC3C3FFFF03F357 +:1093A000FFFF818100FFF00FF00F00003C3CC3C3C2 +:1093B000FF0000FFFF0000FF3CC33CC300000000B3 +:1093C000FF00666600000000FF00FF0000000000D4 +:1093D000000000000C03000000A5000000000000D9 +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000002800D4 +:109470000028FFFF0000000000000000F00F0000C7 +:10948000A0050000009600FB00FF0000F00F0000A8 +:10949000A00500008844FFFFC3C3FFFFCFC0FFFF4C +:1094A000F000FFFFF30300000000CCCCCCCC0000A8 +:1094B000F0F00F0F0F0F0F0FF00FF00F0000000074 +:1094C00000FF02FD66660F0F00000000666A0CF3E5 +:1094D00000000000000096960000422400001824BE +:1094E000000000000000000000000000000000007C +:1094F00041000041FFFF0000000000000000F00FED +:109500000000A0050000960000FB00FF0000F00F27 +:109510000000A00500008844FFFFF0F0FFFFCFC06F +:10952000FFFFF0FFFFFFF3030000000CCCCCCCCC1E +:109530000000F0F00F0FF0F0F0F0F00FF00F00006F +:10954000000000FF00FF6969F0F00000000066669F +:1095500000FF000000000000969600008118000047 +:109560008142000000000000000000000000000038 +:109570000000A5614A2E8519000015610A1F4508E3 +:1095800012A600E30007800D8A0000680E25800007 +:10959000120600E30007400D8A000363032C8C0DC4 +:1095A00092C003E3032E0C01E2C0A06100178C09F6 +:1095B00010C00000036103148C0D10C00361031779 +:1095C0004C0900000061002F804800080061002C59 +:1095D000800D000805610A068009320600E30006D6 +:1095E000800FAA0000000000000000000000000042 +:1095F0000000000004080000C0C0FFC3000000001D +:1096000000FF0F0F00000000AA0000000F1B0F0F4B +:10961000FFF00FF00000000000000000C3C0C3C056 +:1096200000FFAAAA0000C0303C00FF0F00000000AD +:109630000F0F0F0F0000C2408200FFFDFFFF000070 +:109640000000FFFF000000000000FF0FF00F00000F +:10965000FFF000000000000000000000000000001B +:1096600000000000000000000000000000000000FA +:10967000000000000000102000000000FFC30000F8 +:10968000000000FF0F0F00000000AA0000000F0FF5 +:109690000F0F000F0FF00000000000000000C300DB +:1096A000C300001E003E000000003C00FF0F000051 +:1096B00000000F0F0F0F0000FF00FF000000000070 +:1096C00000000000FFFF00000000000000F0F00FAD +:1096D0000000FF0000000000000000000000FFFF8D +:1096E000000000000000000000000000000000007A +:1096F00000000000000000000408102020000020EE +:109700000000000000000000000000000000000059 +:1097100000000000DFFF9C3C000000000000000093 +:1097200000000D0F00F000F00000000030FF00000E +:109730000000000000000000000000000000000029 +:109740000000000000000000003C000000000020BD +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:109770000000000000000000000001024080100016 +:1097800000100000000000000000000000000000C9 +:1097900000000000000020009C3C000000000000D1 +:1097A000000000000C0F00F000F0000000F030FF9F +:1097B00000FF0000000000000000000000000000AA +:1097C0004000000000000000000000000000000059 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:1098000000000000000004008400000000000000D0 +:109810000000000010080000000000000000000030 +:1098200000000004000000004000840000008400EC +:109830000000000984000000000000000000000893 +:1098400000000000000000000000000020000000F8 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000080000D0 +:1098900000000000000000000000210000000000A7 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000020000000096 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:10992000000000000000000000000000000008002F +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600008000000000000000000000000000000EF +:1099700000000000000000000000000000000000E7 +:109980000000000000000000200000000000200097 +:1099900000000000000000000000000000000000C7 +:1099A000000000000088000000000088000800009F +:1099B000000200000000000000000002000200049D +:1099C00000080000000000080000000800000488F3 +:1099D0000000000000000000000000000000000087 +:1099E000000000020002000200000002000000006F +:1099F0000002000000000000000000000000000065 +:109A00000000000000000000000004000000000052 +:109A10000000000000410000000000000400000001 +:109A2000000000000400040000000000000000002E +:109A3000000004000000040000000000040000001A +:109A40000000040000000000000000000000000012 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A80000000000000000000000800200000210885 +:109A9000030800000000008200840084000000C071 +:109AA00000C02108008421000020030021000000E4 +:109AB0000320030000002100000021000020080016 +:109AC000040000000300000021200000040000004A +:109AD000000000000300000000000000000004007F +:109AE000000000000000000021000400040003004A +:109AF0000000000000000000000000000000000066 +:109B0000000000000000000000000800000000004D +:109B1000000000000000000000000000A0000000A5 +:109B200000004000020000000000000000000000F3 +:109B300000000000080040000000000000000000DD +:109B40000000000082000000000000000000000093 +:109B500000000000000000000000000008000000FD +:109B600040000000000000000000000000000000B5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000080000000824 +:109C30000000000000000000000000000000000024 +:109C40000000000004000000000000000000000808 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000040000000000000000000000E0 +:109C800000000000000000000000000000000000D4 +:109C9000000000840000000000000000000800C078 +:109CA0000400000000000400210000000404000083 +:109CB000000400001100008408C0000000840000BF +:109CC00000842100000000C0408200000008000065 +:109CD00000000008000000080400040008082184B7 +:109CE0000000000000000000000000000004000070 +:109CF00004000384000004C0042004204120080064 +:109D00000000000000000000000000000000000053 +:109D100040210000001100000000000002020000CD +:109D200000008200400000004000000800034000E6 +:109D300000000000000000084000000002000000D9 +:109D400000110000000000024000000200000000BE +:109D50000000000000000000C00000000000000043 +:109D600000000000000000000002080000000000E9 +:109D700000000000000000000000000000020000E1 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000030000000000000000000000B0 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000811 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000040000000000000000E5 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E00000000000000000000090000000000000D9 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000020006 +:10A8500000000000000000000040000000000000B8 +:10A8600000000000000004000000000000000000E4 +:10A8700000000200000000000000000000000000D6 +:10A8800000000000000000000000000008000000C0 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000080000000000000000000060 +:10A8F0000000000000000000000000000000000058 +:10A900000200020000000010000000000000000033 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A9400000000000820000000000000090000000F5 +:10A950000000000000000000000090000000000067 +:10A96000000000009000000088008200000000004D +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000082000000000000008200C3 +:10A990000000000000000000000000001000840023 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA400000000000000000000000000000000020E6 +:10AA500000000000000900000000000000000200EB +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000400000000000000D2 +:10AA80000400000000000000000000400000000082 +:10AA90000010001000000000000000000000002076 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000200000000000000000000000000000043 +:10AB10000000000000000200000002000000000031 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000002000000000000000000000000000053 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000002000000000062 +:10ACF0000000000000000000000004000000000050 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000004000015 +:10B730000000000000000000000000000000000009 +:10B7400000000000001100000000000000000000E8 +:10B750000000840000000000000000000000000065 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B790000000000040000000000000000000000069 +:10B7A0000004000000000090000000000090000075 +:10B7B0000000000000000000000000400000000049 +:10B7C0000000000000000000000000000000000475 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F00000900000000000000000000000000000B9 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000020000000000000000000000000086 +:10B8C0000500000000000000000000000000000073 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000002000000020023 +:10B920000000020000000000000000000000000015 +:10B9300000000000000000004000000000000000C7 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000004000A7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000493 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000001000000000000000000057 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA100000000000000000000020000000090020DD +:10BA2000000000000000000000000000000900000D +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000110085 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB90000000000000400000000000000000000065 +:10BBA0000000004000000000000000000040000015 +:10BBB0000000000000001100000000000000000074 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000040000000040000000000000010 +:10BCF0000000000000000000000000000000000044 +:10BD0000000000000000000008000000000000002B +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400002000000000000000000000000000000E8 +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000030000000000000000000000000000B7 +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F00000000000000000000000000000000082B8 +:10C7000041C0000000000000000000000000000028 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000004039 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000200000000000A8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000002000000C5 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000002000000000000000000A5 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000200000000000010020053 +:10C9D00000000000004000000000004000000000D7 +:10C9E0000000000000000000000000000000000047 +:10C9F00000004000000000000000000000001000E7 +:10CA000000000000000020000000000005000200FF +:10CA100002000000002000880000008800000000E4 +:10CA20000040000000400000000000000000000086 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000800000000000000000000000000CE +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF00000000090000000000000000000000000A6 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD00000002040000003000004000000000000EE +:10CBE0000000000000004100000000000000000004 +:10CBF000000000000000080000000000000000002D +:10CC00000000000000000300000000000000000021 +:10CC100000000000200020000000002000001100A3 +:10CC200003000004000000000000000000000000FD +:10CC300000000000000000000200000000000000F2 +:10CC400000001100000000000000000000000000D3 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E00000000000000020000000000000002000FE +:10D2F00001002000888004000000848000002000DD +:10D3000020082080028004000000400000002084EB +:10D3100000002084008001200020008000A0008008 +:10D3200000808800000000000080010000C00000B4 +:10D3300000800000200088000000000000000000C5 +:10D3400000000000008000800080008400800080D9 +:10D3500000800080000000000000000000000000CD +:10D36000000000000000000080000020000000001D +:10D37000800040000000004080000000002000000D +:10D38000000000840004804080080000800000004D +:10D3900080090008002100022001000100040003B0 +:10D3A000002000020000000300A000400200002155 +:10D3B00000200040000000000000000000A000006D +:10D3C00000000000000000200020002000210020BC +:10D3D00000200020002000000000000000000000ED +:10D3E000000000000000000000000000000000003D +:10D3F00000000000000000000080000000000000AD +:10D40000001000000000018000000000000000008B +:10D41000008000000000000000000000000000008C +:10D42000008000000080000000000000008000007C +:10D43000000000000000008000000000008000806C +:10D44000008000000000000000000000000000005C +:10D4500000000000000000000000000000000000CC +:10D46000000000000000000000800000000000003C +:10D470000000000000000000000800200000000084 +:10D480000000000100000000040200002000000075 +:10D490000000001000000008004000000000000034 +:10D4A00000000002000000200008000100840020AD +:10D4B000000000000000000000080000000000085C +:10D4C0000004000400000000000000400000000014 +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000002000000003A +:10D4F0000000000000080000000000210000000003 +:10D50000000000200000000000000021000000409A +:10D5100000030000000000100004000504030000E8 +:10D520000000042100028200000000000000000052 +:10D530000100010000820000000000001000000354 +:10D5400000000000000000000000000020080000B3 +:10D5500000000000000000000000000000000000CB +:10D5600000000000000010000000000000000000AB +:10D5700000000000000000000000000000000000AB +:10D58000000000000001000000000000000000009A +:10D590000001000000000000000100010000800008 +:10D5A0000000000080A0000100000000000000005A +:10D5B00000000400040000090000000000008000DA +:10D5C0000082000000000000000000000000800059 +:10D5D000000000000000000000000000000000004B +:10D5E00000000000000000008000000000000000BB +:10D5F0002000080000000000A00000000000010062 +:10D600000100110000000400000000009100400033 +:10D61000C0004180400082000880A008C000820055 +:10D6200000000800A000000000004000C0800000D2 +:10D630000000A08002000400020001804000000001 +:10D640000080000000000000A000000000000000BA +:10D650000800000000000000008000000000000042 +:10D6600008000000000000000000000000000000B2 +:10D670000000880000000000000000000000090019 +:10D68000040002008400000080000000000402008A +:10D69000800800009020800000008002000100004F +:10D6A00000840000000000000000000000000002F4 +:10D6B000000000000040800080008000040880001E +:10D6C0000000000400000000000000000000000056 +:10D6D0000000000000000000000000040000000046 +:10D6E000000000000000000000000000000000003A +:10D6F000000000002000000000000821A000200021 +:10D700000008002110008200001004000000A000AA +:10D7100000000020002100024002820500101004D9 +:10D720002000200408000000000021080000000084 +:10D730000011080400000800080000009000000428 +:10D7400000008200A0210000000500000000000091 +:10D750000000000090040000000000000000000035 +:10D7600000000000820000000000C0000000000077 +:10D770000000000000008000000000000000000029 +:10D780008000000000008000000000018000000018 +:10D790000000000000010000000180010000000105 +:10D7A0008001800080010000000000008400000073 +:10D7B0000000000000010000000000000000000068 +:10D7C0000001000000000000000000000000000058 +:10D7D0000000000000000001000000000000000048 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000A00400040841002117 +:10D8000083008200000000000800100000000000FB +:10D810008208000020A00021A0080500000382006B +:10D82000A021208882040005A000830800080804C5 +:10D83000A00008020800C000000021000000000055 +:10D840000900000008040041C000000000200000A2 +:10D85000000000008841000000000000A00000005F +:10D8600000000000000000000000080000000000B0 +:10D8700000000000000000000000000100010000A6 +:10D880000000040000000000000000008000000014 +:10D89000000000000000002100000000A0000000C7 +:10D8A000000000000805000100000000200000004A +:10D8B00000010000000100000000000082000000E4 +:10D8C0000000042000000001000000000000000033 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F00000000000000000000000000000C10090D7 +:10D900000000000000C00090A00000008810889077 +:10D9100000848284C0000000030002008810099087 +:10D920008484020200A1010400A1000000C0009054 +:10D930000200000000C0419040000000000088C1CB +:10D94000009002889000000000000101000040C02B +:10D95000898200000082000000000000000000C07A +:10D9600000900000000000C00090000000000000D7 +:10D97000000000000000000000000000A000059171 +:10D9800000910400000200C000C01000000383806A +:10D99000918000800000C0000200A0008000910083 +:10D9A000A1808080000000A1098500110009058385 +:10D9B00008838003000005C02185000000000000EE +:10D9C00082911189808080000000004011100011B8 +:10D9D000C08089000300000000000000000000007B +:10D9E0000080008000000000008000800000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000800000008085110003000300848076 +:10DA1000C0008503410080808000801080008080ED +:10DA20004100C0918389C080000009001100800579 +:10DA3000400000008000C090000000000300900340 +:10DA400000002080050085808580004110000003D3 +:10DA50008005000005001140830000000000000068 +:10DA600080000000800000038080000000000000B3 +:10DA70008000000000000000000000000000000026 +:10DA80000040000282000000C0900002010000007F +:10DA9000C0A0100082010288089002001001848456 +:10DAA000029002001021A1841010008801000100E2 +:10DAB0009000008800008400C09100020000A000D7 +:10DAC000C1010000009000008484C090A089A100E2 +:10DAD0000000C0019000A0000182C1000000000011 +:10DAE00000009000000082000000C09000000000D4 +:10DAF0000000C00000000000000000000000000066 +:10DB00000000000000000100009000C000010100C2 +:10DB1000C09000C0001100C0020208C00004000252 +:10DB20000890010208000011048940C100000000B3 +:10DB30000101010100000000A09101C100000000EE +:10DB4000009100C1000000400088900988C00000DA +:10DB500020008800010020040040009121C1000045 +:10DB600000000000010000000000009000C0000064 +:10DB70000000009000C00000000000000000000253 +:10DB80000000000000000000100003800080002062 +:10DB9000110000840080000900008009808000C11D +:10DBA00000858091081080410083802109C1000018 +:10DBB00020002005114100410009808510910020BE +:10DBC000000500A1009100020088008880A18083E8 +:10DBD0000000C100A000080000030005000511C1FD +:10DBE00000000000000041000000000000804080B4 +:10DBF0000000000000800080000000000000000025 +:10DC00000080000000000000A0119100050002004B +:10DC1000A1850000050002028091000080800000C4 +:10DC200080098084000000009185898009800009B6 +:10DC30008305910485000311A191C00540001111D5 +:10DC4000A111C002200005000000800591C0808065 +:10DC50000002C10500110000880080800000000063 +:10DC6000001100400040000000008011800500000D +:10DC7000000080118005000000000000000000008E +:10DC800000000005000000000000C00121000000AD +:10DC90000000C1400000008890010805100440C049 +:10DCA0008200C00010030200000008108208400833 +:10DCB00002001000090109000001C103200000005A +:10DCC0000100C101200100000000000040408888E0 +:10DCD000080890019100000500829100C002C0A0D8 +:10DCE000409004010000000100000000C00120007D +:10DCF00000000000C00120A00000000000000000A3 +:10DD0000000000000000000000000000880000D0BB +:10DD100083000000810000D083000000002100008B +:10DD20000B800011814100D00B008800818100D060 +:10DD30000B800000810000D00B000000880002D0A2 +:10DD40000B000000880000D00B00000000008141A3 +:10DD500000D00B000000004200D00B0081008800C2 +:10DD600000D000000000810000D00B000042000045 +:10DD700000D00B000000000000000B0000000000BD +:10DD8000000000000000818100000000D00BFF00B7 +:10DD90000000FF0000003C3C0000FF000000F0F02D +:10DDA000F0F000004242FFFFF00060906C9CFFBF6B +:10DDB000FFFF000000660000000F00000000FF00F1 +:10DDC0000000FF000000FF000000FF000000000056 +:10DDD000040000003CCC6656C3C3000000000000F5 +:10DDE0002841000022110000000000000000000097 +:10DDF000FF000000FF000000FF000000FF00000027 +:10DE00000000000000000000000000000000000012 +:10DE1000FF000000FF0000003C3C0000FF0000008D +:10DE2000F0F2909000F042426666F000F000FC0CC8 +:10DE300000000000F0F000660000000F000000008D +:10DE4000FF000000FF000000FF000000FF000000D6 +:10DE50000000040000003C3C6666C3C300000000F4 +:10DE60000000284100002211000000000000000016 +:10DE70000000FF000000FF000000FF000000FF00A6 +:10DE8000000000000000000000004010040000013D +:10DE9000140200000000000000000000000000006C +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF000000000000000000000000000000002008E9 +:10DF100010020000000000FF00000F0F000000FFD3 +:10DF2000FFFF000000FF107FBFB99F9900000000B5 +:10DF300010767610000DF0FF0404000600000FFFBD +:10DF40000000FFFF000000FF000000FF000000FFD6 +:10DF5000FFFFFF00000066690FF0666600FF00002B +:10DF600000000000050500004224000044440000B9 +:10DF700000000000FFFF000000FF00000F0F000086 +:10DF800000FFFFFF99990000000000000000000062 +:10DF9000000000000000000000FF00000F0F000064 +:10DFA00000FFFFFFFFFF00FF107FBFB99F9F000032 +:10DFB000000010767610000FF0FF0606000000004B +:10DFC0000FFF0000FFFF000000FF000000FF000047 +:10DFD00000FFFFFFFF00000066690FF06699FF0079 +:10DFE000000000000000A0A0000081180000888848 +:10DFF000000000000000FFFF000000FF00000F0F06 +:10E00000000000FFFFFF99990000000000000000E1 +:10E01000000000000000000003E303018C0D92C02B +:10E0200003E303058C0D82C000610026004D000053 +:10E03000A061002F800D000000610E24804D0001C2 +:10E040000061001C800010C003E3031C8C0992C017 +:10E0500003E3031E8C09820000008065202A804DA6 +:10E06000000800100026000D120600E300078000E3 +:10E070008A000061001E800D30C003E3031E0C0DFA +:10E0800092C0038203180C00820000000000000010 +:10E0900000000000081810000000BE823C000000D4 +:10E0A000000002025757280028000000000000006E +:10E0B000000000000000000000800F000000C3C34B +:10E0C0000A5FFFFFFF00FF00FF007D7D555500F058 +:10E0D0007DFDAA0000000005FF05000000000055BE +:10E0E000FFFDFFFD0000555500000000000000008E +:10E0F0003CFCFC3C000000000000000000000000B0 +:10E10000000000000000000000000000000000000F +:10E11000000000000000000000008C008EB20C30F7 +:10E1200000000000020257572800280000000000ED +:10E130003C00000000000000001400800F00000000 +:10E14000C3C30055FFFFF70000FFFF007075705557 +:10E1500000F0FFFFAA3000000004FFFF00000000F5 +:10E160000055FFFD00003C3C55550000000000003C +:10E170000000FC3CFC3C000000000000000000002F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000010000413D +:10E1A0003C7D00000000AA69AAAA82838282000046 +:10E1B000000003000000000000000000000000005C +:10E1C000000000000061CFCDCFCFA05FA05F000FA7 +:10E1D0000000FFFFEBEBFF0000000000FF0000006D +:10E1E00000FF0000010000000000507DF0F0000082 +:10E1F00000000000FFFFFFFF000000000000000023 +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000040BE +:10E220003C7D004100000000AA69AAAA000000008D +:10E2300000000000000000000000000000000000DE +:10E240000000000000000000CFCFCFCFA05FA05F94 +:10E25000000F00005555FFFFFF0000000000FF0009 +:10E26000000000FF00000000000000005055F0F02A +:10E2700000000000000050A000F0000000000000BE +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B0000000000002008400000084000000000054 +:10E2C00000000000000084000000000002000000C8 +:10E2D000000000002000000002000000000000001C +:10E2E000000000000000000020000000000000000E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000900000000000000000000000000B4 +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E43000000000000000000800000000000000884C +:10E4400000000000000000000000000000000000CC +:10E45000000000000000000800000000008800002C +:10E46000008800000000000000000088000000009C +:10E47000000000000000000000400000000000005C +:10E480000000000000000000008800000000000004 +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E0000000000000000000000800000000000024 +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E53000000000000000000000000000000000C01B +:10E5400000000000008400C0000000000000210066 +:10E55000000000C0000000000000000003000000F8 +:10E560000000410003000000000000000000000067 +:10E570000000030000002100000000002100000056 +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000400000000000000000001B +:10E5C0000000000000000000000000008800900033 +:10E5D0000000000000009000000088000000000023 +:10E5E000000008000000080000000000000000001B +:10E5F000000000009000000000000000000000008B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E65000000000000000000000004000000000007A +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D00000000000A00000000000C00000000000DA +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E710000000000000000000080000000000C00031 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000210000000000000000000000B8 +:10E740000000008400000000000821000308000011 +:10E750000000000000C000000000008400840000F1 +:10E760000000000000000000210000000000000088 +:10E7700003000000000000C00000000000C003848F +:10E78000080000002100000008000300080000004D +:10E790000000000000C000000000000000000000B9 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C00000000000900000000000000000020000B7 +:10E7D00040000000000000080000000000000000F1 +:10E7E0000000880000210009000000000000000077 +:10E7F0000000000000000000880000000000900001 +:10E800000000000000000000000200000000000006 +:10E8100000000000000000000000000000000000F8 +:10E820000000000000000000C00000000000000028 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA30000000000000000000800000000000000056 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000004000000000052 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F050000000000080000000000000000000000030 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D000000000000004000000000000000000002C +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F15000000000000000000000004000000000006F +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F21000840000000000000000000000000000006A +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000001000008D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F410000000000000000000A0000000000000004C +:10F42000000000000000000000008200C00000009A +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000400000004000000E3 +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000800C3 +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F620000000000000000000000000008200000058 +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F650000000820000000000000000000000000028 +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000020000000C9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000080000000000000000000000017 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000200000000000000000015 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD8000000000000008000000000000000000006B +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040001F9 +:1000000000040000000000000000000000000000EC +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000004000000000000000000030 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:10010000000000000000800000000000000000006F +:1001100000000000000000000000FFFFFFFFFFFFE5 +:10012000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF +:10013000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF +:10014000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF +:10015000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF +:10016000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F +:10017000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F +:10018000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F +:10019000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6F +:1001A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5F +:1001B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4F +:1001C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F +:1001D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2F +:1001E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F +:1001F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0F +:10020000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:10021000FFFFFFFFFFFFFFFFFFFFFFFFFFFF0000EC +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:100260001200000012080000000000000000000062 +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000020000000200000000000000000A +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:100360000000000000000000000400000000000089 +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:10042000000000000000100000000000100020008C +:10043000000000008200880000002000C0000000D2 +:1004400000000000000090000000000020002000DC +:10045000000000000000200000000000200000005C +:1004600000000000000000002000900000002000BC +:10047000200000000000000000000000000000005C +:100480000000C0000000000000001000000000009C +:10049000000000000000000000000000000000005C +:1004A000000000000000000080000000000080004C +:1004B00080000000000000000000000000000000BC +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000010000000000000B +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:10053000000000000000000040000000000000007B +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:100560000000000000000000000000000003000088 +:100570000000000400000000000000000000000077 +:10058000000000000000000000004000200000000B +:10059000000020000000000000000000000000003B +:1005A000000010000000000000000000000000003B +:1005B00000000000000000000000800000000000BB +:1005C000000000000000000000080008000000001B +:1005D00000000000000000000000000000004100DA +:1005E00000840000008200000008000800000000F5 +:1005F00000000000008200820000000000000000F7 +:1006000000000000000000000000008280080000E0 +:1006100000000000000000000000000000000000DA +:100620000000000080000000000000000008000042 +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:100650000000000000000000002100000000000079 +:1006600000000000000000000009000090000000F1 +:100670000000000000020000000000000000000078 +:100680000000000000000000000000000000001159 +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:100730000000200000000000000000000000C000D9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B00000000000800000000000100000000000A9 +:1007C000000005001000100000080000000000A05C +:1007D00000000084080041820000000000000000CA +:1007E000000005000000000008A00000000041001B +:1007F0000000000000000000000000840000000075 +:1008000000000000000000000000000008000000E0 +:1008100000000000000000000800000021000000AF +:1008200000000000000005000000000000000000C3 +:10083000000800A0000000000000000020080000E8 +:1008400000000000000000000000000000000008A0 +:1008500000008200000000000000000000400008CE +:10086000000900200000000000000400000000005B +:100870000000000000000000000000000002000076 +:10088000000000110000002108000020000000000E +:100890000000000000000000000000000000000058 +:1008A0000800000000000000000000000000000040 +:1008B0000000000000000000000000000000000137 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000001000000000000F7 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:10095000000000000000000008000000000000008F +:10096000000800000000000000000000000000007F +:100970000000000000000000000300000000000074 +:100980000000000000000000000300000000000064 +:1009900000000000000000000000C0800000000017 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C000000010000500000041002000000005822A +:1009D000001000000082000000000010080000006D +:1009E00005822182050000000000080000000500CB +:1009F00005000084000000000000050000000082E7 +:100A00000000008202040000000005000000000059 +:100A100000000000000000000500000005080000C4 +:100A200000000500080010000000000000000000A9 +:100A3000000000004100000008000000000000006D +:100A40000000000000400000000000000000000066 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000300000000000000000000A2 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC00000000000000000010000004000000000E4 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000010000000000000000A3 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000001000000000000000063 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC00000000000000000000003000000004000E1 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D4000000000000000000000000008800000001B +:100D50000000001000000000000000000000000083 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000020000000061 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC00000000000000000000000000000018200A0 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E40000000000000000000000000000000008121 +:100E500000810000D000008100000000D0000000F0 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000021000E180010000001000E18000AC +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD00000000000020000000028000000000000E7 +:100FE00000000040000000000000000000000000C1 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000200BE +:101030000000000000400000000000000000000070 +:10104000000000000000020000000000000000009E +:101050000000000000000000400806020000000040 +:101060004008000000000000000000000000000038 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D000000000000000000000000000102A54087A +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000800E +:1012600000000005340000800000000000000000C5 +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000909000000000000010900000000E2 +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000090000A4 +:10134000000000000000000000000000000000009D +:10135000000000000001000000000000000000008C +:10136000000000000000100020001000000000003D +:10137000000000008800400000000000880000001D +:1013800000000000C0009008000084002000000061 +:101390000808000000000000020040002000880053 +:1013A0000800000000000000100000002000000005 +:1013B000000000000000A00000002000000000006D +:1013C00000000000000040000000000000000000DD +:1013D0002000200000000000880020000000000025 +:1013E000000000000000000000008000000000007D +:1013F000000000000000000080000000000000006D +:10140000000000000000000000000000000080005C +:1014100000008001000000000000800080008000CB +:101420000000800000030000000000000000000039 +:10143000000000000000000000000000800000002C +:10144000000000000000000000000000000000009C +:10145000000080008000000000000000800000000C +:101460000000000000001000000000000008000064 +:101470002140200020000100210801000105C000DA +:1014800020000008880804800411418021A0100475 +:1014900008840104100000202002200089040004B8 +:1014A000880440840088000000900200118001A0A0 +:1014B000000020841182008021A010A001882080DB +:1014C0000000000040A021800088204000100000A3 +:1014D00020880000000000000082000401090004D0 +:1014E000008000800400008041900000000040085F +:1014F0000008A001A000A00820004000200020005B +:101500000000A0000001000080208082881082116D +:10151000800880104009C0080085108580002008E0 +:1015200009012003800300040000000380089004E8 +:10153000400500088021C0030008A00580052004A4 +:10154000A0042008000800210420000480030005F6 +:10155000000080040000000800840002000102086E +:101560000001002000208000000801000020000889 +:1015700001210003010800C1210500020020002113 +:1015800000210000000000400000010500030020D1 +:1015900000A1000200210000000200400002004003 +:1015A000000500000021004000080000001000219C +:1015B000004004030084002100A000820000010814 +:1015C00000040004000000030020002000000000D0 +:1015D000008000040105000400000000004000003D +:1015E0000010004000000000002000051000000076 +:1015F00000000400000020000004C0000002000001 +:1016000000000000000000000300000020000000B7 +:101610000001084000010400030000010001000176 +:101620000000400000000000000000010000000970 +:1016300000000201800000210000000900210008D4 +:101640002001000110010000000010010001000055 +:101650000000000200002000000000000000000167 +:10166000000000010001000000000001000008006F +:1016700004000480010000000800080082009104BA +:101680000310000005000800110808082080010070 +:1016900001000910910841080820400011A19000A4 +:1016A0000108C0008288000383000100418400001B +:1016B0000883008401A100008400820005080480E2 +:1016C00001000500040020040011080483008304C5 +:1016D0000100100208041000080000002000208013 +:1016E00020040908008020880002C0000102088848 +:1016F0000000800880020400080080000000000054 +:101700000801A0010084A000000004000001880876 +:1017100020000400840104018883800991C0C02056 +:1017200000A004080000001000A00484200090091C +:101730000000A10408110208008200000800A009AE +:101740008004040090008000800100000301040078 +:10175000040904000001000100000800000080A04E +:101760008004800120000004802100890000200105 +:101770008021A00004058200822000408400080827 +:1017800082080440022104000821C000C002880031 +:1017900082050202041108200204000200000482F3 +:1017A00020009003C02108088408000840080000B9 +:1017B0000085000000100040100890050840C1009E +:1017C0004002842182004008040820050003A00490 +:1017D000842004004005820400089121004000207C +:1017E000000000000005080020000808002000207C +:1017F000820382080000802000000000000000003A +:1018000000000000000080008000000000000000D8 +:101810000000000000008000000100000000000047 +:101820008021800000000000000000000000800017 +:1018300000A0001000030001000080010000000073 +:101840002000800100000000000100008000000076 +:1018500000000001800000000000000120000000E6 +:1018600000000000000000000000800000000000F8 +:101870000000000000010403108300000003820048 +:101880000805840002090808100804000408002163 +:1018900010030008002182080008100490088483C7 +:1018A000840510418209000800210005010520007F +:1018B00000009008000500000000002108888485D1 +:1018C00000050085000308212004C0042104A08035 +:1018D000C021821008008420A021000082A10021E4 +:1018E0000003000020002000100300009000110001 +:1018F000010400848304010880000004000000004B +:1019000000008000000080840000800080008000D3 +:101910000000800000000000000000000001000046 +:10192000082000A0000041000000000000000408A2 +:1019300080A0000000000000000000000000000285 +:101940000020000008200000000880010001840140 +:1019500000040000000121000001000000004140DF +:10196000030800820000800080008000000000006A +:10197000C000040100210401200100010210200028 +:1019800082010910A02002008200000210900104D0 +:1019900090901190050940A0821000C005212001FF +:1019A0008800021000100010100900C001000000A3 +:1019B0000090008808202008A08400030289088283 +:1019C00020A0088800C000901000000001C0019114 +:1019D000C0000000100441838808000102009090BC +:1019E0000089900002C100080040A090080000217A +:1019F00000891008000101004000000000108082F2 +:101A00000002801021808080000000002085892154 +:101A10000889800002001009808980C01190A08888 +:101A200000200009C08009900211808800802100F8 +:101A30000000000000A08009048380090000A0C10C +:101A40009182110880020080118305000002118339 +:101A500009839141000283C141831103001080007A +:101A60008083119000058091002000110003000880 +:101A70000010048889910302050200020000400062 +:101A800091839003C1858980030311A003112188EC +:101A90008205828485C000808205118080050380D4 +:101AA0000510918340030900081189884110200026 +:101AB00021101180820810889120108510080000E4 +:101AC000904010000585C18009400900890382808B +:101AD000098009009100908040004000201085831B +:101AE0000020211100A0A00300000040000380009E +:101AF000000800020588808009808000890591C067 +:101B0000000010908300C10888A089000803010428 +:101B1000002102880282C091880210C0C0A0020089 +:101B2000000200C1A1A1021000020182A0408909A7 +:101B30002110211103A0094101C1108841A1011107 +:101B400000000900C1001182C1880001000084006A +:101B5000C090000800000300C108902002000000AF +:101B60009091900091010088C001000088008820B9 +:101B7000C000000140004104908890840820A0002B +:101B800088C003100100919002000021A0210021D3 +:101B9000000000021002409008020208080990416B +:101BA0002103010000088885001100088840A00575 +:101BB0000089011000A10810010302411000110169 +:101BC00009000000880021409008908800008800EB +:101BD000119100C000000101019001C1A0020002AA +:101BE000A00500880008A002A004004000000090AA +:101BF000049041C088100410088890C10108880032 +:101C00009001011191800820A08580000510000836 +:101C100000211020008905A00080048000A000821F +:101C2000408511410820028300A10211050009111D +:101C300000A1050820850003000511108003001194 +:101C40008221084000008010408210404011004175 +:101C50000040A1A105C000091110088311C10003B3 +:101C60000541839009910000058011800800000063 +:101C7000000080000280000011800000009120C060 +:101C800000210021119188821102A00010418510CD +:101C9000A19083008940219002A09180094080405A +:101CA000C0028509850800028010C000008000097C +:101CB000A183910088110008118811119005C1902D +:101CC00088828380101100000011A1028900A00207 +:101CD0008011802009001100A008112011000900C6 +:101CE000092121031100024191050000000010208C +:101CF000800500800000000000098980090080053F +:101D0000000540082180050011A001012088000184 +:101D100010000804038808008940028810C082016E +:101D200004000200A089A101104020000800C0109A +:101D30001001841010000900C000400502010202D9 +:101D40000385880808020200000010888801090243 +:101D50000800C001200001000000C00001000000D8 +:101D600088000021000900000101C12000000000DE +:101D70008900C00082400020200000012040024075 +:101D800010000000004001840000811100D00B0011 +:101D900000110000008100000000418100D00B0014 +:101DA0000041842100D00B020000600000D006003A +:101DB0000000600602D00B000000818100D00B0003 +:101DC0000000848100D00B0082000000888202D0D5 +:101DD0000B000000000A00600B000000818200D0B0 +:101DE0000B000021884100D00B00420A812100D065 +:101DF00081000000810000D000000000008200D0BF +:101E00000B000000880002D00B0000060F0FF0F05E +:101E1000C33CC33C0000000000004004343C3C3C98 +:101E200000F3F30000020406000042C33C3CFF063E +:101E300000000000C000C000C3C3999936363F3F80 +:101E400030000000F0C0F0000000000000000800BA +:101E50000000000000F0FF000000FF0000003C3C1C +:101E60000000FF00000000000000D8E4E4E45A5A3B +:101E700099990F00F00024420000609000000000DB +:101E8000080024003030000000000000C3C3F0F060 +:101E9000F0F0FF00FF00000100000000400CF6FF22 +:101EA000FFFFF300F30066264606000002033C3CF9 +:101EB000FF00F0000000301030000F0F00FF36363A +:101EC000363F30000000F090F000C3C3C3C30000F1 +:101ED00008000000000066F6FF000000FF000000A0 +:101EE0003C3C0000FF00000000000000D8E4E4E4F7 +:101EF0003C3C99990000020218810000060900008C +:101F000000000000183C280C000000000000C3C3C3 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F9000000066996699FFFF000F000000FFF7FF41 +:101FA000000020F00000909900000F0F0F0F0000BC +:101FB0000F0F00FFFFFF000000FF0000300099FF3F +:101FC00000663C3CFFFF663C0FFF0F0E0C0E00004E +:101FD00000000000FF66FF6600000F0F000000FF1A +:101FE000000000FF000000FFFFFFFF000000000FE7 +:101FF000363639390FF00FF00000CCCC00008844A1 +:10200000000000960F0F00009999999900000000B8 +:10201000C3F3C363666666660000FFF0000000FF5E +:102020000000000020F000006066F0FF0E0F0F0FB0 +:102030000C0F0C0F00FFFFFF000000FF000030003E +:1020400099FF00663C3CFFFF663C0FFF0C0C0C0C3C +:102050000006000600000366F3663C000F0F000058 +:1020600000FF000000FF000000FFFFFFFF00000076 +:102070000000363639390FF00FF00000CCCC0000EC +:10208000884400009600070F00009999F9FF0000AE +:102090000000C3F3C3F30361032C8C0942C0006149 +:1020A0004086009D00050061002FC00D0008006102 +:1020B0000E2E800800000561340A850B00A0056122 +:1020C0000A2F850D00A00561342E851100A0004166 +:1020D0000E2FC01D000100008065003F801F30C52D +:1020E00003E3033F0C0D92C003E303398C0082002D +:1020F0000061402D8009000010650027900D120638 +:1021000000E30002800FAA00A06150374099000050 +:1021100005410A0A850B00A0FF3CFC3CF050F05042 +:10212000FFFCAAA8003F002AC3C30000030300006D +:1021300000000000FFFFF0F1000000000000FFFFC2 +:102140000000080000F0000F20002000FCF03C0020 +:102150005A0A3C3C3020FFAA000000000C0000FF9F +:1021600080BF00000C0C5F000000FBAE5104D4D413 +:1021700014D700000028000000000000000000004C +:10218000000000000000000000000000000FFFFF42 +:102190000000000055550000FFFFFF3C3C3CF050A4 +:1021A000F050FFFFFFFF003F002A83C30000C3C3BE +:1021B0000000000000040000F0F10000A0A00004F6 +:1021C000FFFE000000000000000000000000FCF026 +:1021D000FCF000003C3C3020FFAA000000000C0096 +:1021E00000FF00FF00000C0C5F1C0000FBAE510460 +:1021F000E8E814D70000202828002C000000C3C302 +:102200000000000000000000000000000000000FBF +:10221000FFFF00000000005D0010FFBF6969414141 +:10222000A0A03C0000000000000083AFFFF0AAA0C7 +:10223000FFFBFFFB0000C3C3000500052F3F283C48 +:10224000000030008A008A00410004000000000005 +:1022500000CF55DFCFFFCFC3020000000000020215 +:102260003C005050003C00003C00C00000005A0FF1 +:102270000F0F300CF3CF0000000001000D00000034 +:1022800083830A0A0A0AF0F0300000000000807F11 +:10229000827D0055000000F000000000003C2C048E +:1022A0002C04A0A0000000000000000083AFFFF09D +:1022B000AAA0FFFB00000000C000000500052F3FA2 +:1022C000283C000000008A008A0041000400000051 +:1022D000000000CF55DF0CFF0CC300000000000021 +:1022E00000003C000000003C00000000C0000000B6 +:1022F0003C3C3C3C300CF3CF82C3C3C20F0E0F02F8 +:102300000000C3820A0AFAFAF0F030000000000070 +:10231000807F827D0055000000F000000000003C3E +:1023200084008400000040000000000088001000CD +:1023300084002000000020002000840040002000D5 +:1023400000002000C00020000000000088002000E5 +:102350008400A000840084042000000040000000ED +:102360000000000000008400400084000000000025 +:10237000000000000000000000048800840000004D +:1023800000000000A000A000A0000000000000006D +:102390000000000000000000000020008400000099 +:1023A00000002000200000000000000000000000ED +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000005000000D8 +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000005A7 +:1024300000000000000000001000C00000000000CC +:102440000000000000000004000400000000000480 +:1024500084008400000000118805000900000000CD +:1024600000000000000000002000000990000000B3 +:1024700000008400000000000000000020000000B8 +:10248000002100000000000084000000840000081B +:10249000000000000009000000000000000800002B +:1024A0000000000000080008400000000584000053 +:1024B000000000000000000400040004000005000B +:1024C000000000820000000405000000000005007C +:1024D00000040084000000100000009000820088CA +:1024E0000000000800900000000000900984000037 +:1024F000000000000000000400000008000400824A +:1025000000000004008200000000000400880004B5 +:102510000000000000880008000000820000008227 +:10252000000800820000000400000000000000001D +:102530000000000000000000000000000002004059 +:10254000000000090000000000050008000800115C +:102550000000000000000000004100110000000029 +:102560000000000800090041000000000000000910 +:10257000002100000000000900050000000000111B +:102580000021000000000000000000000000000822 +:1025900000210000000000000000000000400000DA +:1025A00000400000000000000000100010001000BB +:1025B00002000800200011000084038400A0000035 +:1025C00008A0082008840500008408000982000093 +:1025D000008805C00902008204A0098210C003001F +:1025E00000101104410003C00382000040000040BD +:1025F0000540108200842188219000000800080214 +:102600000500100005004000210010001000099096 +:1026100003000800080003000000000000000300A1 +:10262000000000000020000000000000A0000000EA +:1026300000110000821100000000080540000800A1 +:10264000080000080000000208000000002100212E +:1026500082410003000000110811904100000000B9 +:102660004009000000000002004100000000880056 +:1026700040000000401190000000000208008200AD +:102680000802A00282000800900000000000000084 +:10269000900240090008000000080000000000034C +:1026A00000000009004100000009001100090000BD +:1026B0001000080000000000000000820000000080 +:1026C0000000000005000082000000820000000001 +:1026D00000000000000000001000000000080000E2 +:1026E0000000058421A00000080000000800000090 +:1026F0000082000000000000000000000000000058 +:102700000000038800A00000000800080082000804 +:10271000009000A0110021A0000000000082000035 +:1027200000000000000800A0008400840000008277 +:102730000000000000000000000000000000000099 +:102740000000000300000000004000000003000043 +:1027500000000040000000410005000000090000EA +:102760000000000584000008000000000000004197 +:10277000000000000000000800400000000000040D +:102780000020000000000000000000000000000029 +:1027900000000000004000110000000000000000E8 +:1027A0000000000000080000000000000000000021 +:1027B000004000001088100405840084000400C05C +:1027C000038410A000A020A0008203820400000067 +:1027D000030803C0038400020084008400C0059045 +:1027E00000400840000005020084118221C0090059 +:1027F000210400004004080408A008C000000000F4 +:102800000084000009840000089000C0000010004F +:102810000800098203840300090003C0404040040B +:10282000210421002000000403900004000008009F +:1028300000842000000000000021000000000008CB +:102840000000000200080000000000410008000035 +:102850000000000000000041000000020002000231 +:102860000000080200110800000808000000001124 +:1028700000210005000000000400840000080000A2 +:10288000000088000000001100000802000800029B +:102890000000000082000000000008008800000224 +:1028A00000000000000040000000000000000000E8 +:1028B000400000090000000010840000000000003B +:1028C00000000508000000000000000800000000F3 +:1028D000400000080002000000000000000000822C +:1028E00000A011840000100005080000000008008E +:1028F0000090080000A00000000000080000000098 +:1029000009000002080800080000000000000000A4 +:10291000000000000000000000000008400000006F +:10292000030000900000000000C0000005840000CB +:1029300021000000000000A00000000000000000D6 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000040000006A +:10326000040000022000A0000400880020002000CC +:103270002000040000020400000000000010000014 +:10328000040004000000820088080010880010007C +:10329000A005C000C00200028400820000004000BF +:1032A00082000010881004008800200200008800BE +:1032B00000021000100000021000000000000000DA +:1032C00000004000000010000000000000000000AE +:1032D00000000002000000200000000000000000CC +:1032E00000000000000000001000000000000000CE +:1032F0000000100000000000000009004000000075 +:1033000000000000000000000000000000010000BC +:1033100000000000000000000000000000000003AA +:103320000000000000000403000000002000000076 +:10333000000041000000410000000000000000000B +:10334000000000000000000000000000000000007D +:10335000000000000000030000000000000000006A +:10336000000000000000000000000000100000004D +:103370008200008000C0008000000000048020A0C7 +:10338000000000000000821000100010000000008B +:103390002000820000004000000000100002012018 +:1033A00000000000000040000000000004000000D9 +:1033B0000000000000040840008082000009008432 +:1033C00000100000000000000000200000020000CB +:1033D00001200000000000000000000000000000CC +:1033E00000080000000000000000000000000002D3 +:1033F000000000000004000900020010000000208E +:103400000011000000002004000100000400201052 +:103410000000000000000000840800040082000496 +:103420002001000000000000008400840002000071 +:1034300000080002000000030001040200100084E4 +:1034400000210005008200080002000800080001B9 +:103450000004020800C0000000000000000000009E +:103460000000030000009000010000008200000046 +:103470008200000000000002004100008408A0104B +:103480008440002100050011101100000410080004 +:103490009000900800030400000584030011004020 +:1034A0004011000800000400000090089009000886 +:1034B0000041000000400000080200080005000074 +:1034C0000002A02100020800080004100010820081 +:1034D000C0080903000340020040900808080000EB +:1034E000000802080040000000001000000000007A +:1034F00000000000080000C00000050000000000FF +:1035000000900420000010000000A008000000004F +:10351000040040880000000000900000000000004F +:103520000000058400A00000000000000000000072 +:103530000000000004000001030000000001000082 +:1035400000000020000000A00000000000080000B3 +:103550000000000020000000000000000000000447 +:10356000000000200000000208000000C000010070 +:103570000000080011000010100000000000000012 +:103580000000000010040000C020000000C0000087 +:1035900000000004008508000000000000049010F6 +:1035A00000009000C01000880000C00000000080F3 +:1035B000002000000000820000A0080000100800A9 +:1035C00008000800000082000000820000000000E7 +:1035D0008200004000A00800000000000000000081 +:1035E00020000100000000000100008800A0000889 +:1035F000080041000388040200840000001000A0BD +:10360000101008840008000008840000041004035F +:103610000408000000880020108405104004049174 +:103620001085000400A0049000110000001000109C +:1036300010040008008200000010000500000000D7 +:103640000000000400C000000000000000000004B2 +:10365000040004000085210940900408000000884F +:103660000000000008000000000404040400100032 +:10367000840000000000900302080000A00502057D +:103680008203A011C0030840008500400000000034 +:1036900000000040084040052000409182110000D9 +:1036A0000803C004000808080008A0030000000088 +:1036B0004008000800000011900500000000001004 +:1036C0004002400500030000000000400803900095 +:1036D0004010C0114009000008054021000000040E +:1036E0000008001040210011040300000003000046 +:1036F0000000008400000000000000100000000036 +:1037000000000000000000000000000400000804A9 +:1037100000000000000011000000C0001140002067 +:1037200041000000040000002100000000400000F3 +:1037300000000000000100840000000010100008DC +:103740000000000004000800000000000800000065 +:103750000300100011000000000000000000000045 +:103760000000000008000000000000000000000051 +:1037700000000000830208001000000001101190FA +:1037800020000800000508080021840400088820A3 +:103790000021000000200010000884050000002126 +:1037A00000000803000808040000C00000038204B1 +:1037B0000000000800000008408000000021000315 +:1037C0000010000800410421002100030000000354 +:1037D00000200410902182000800A0000000C020FA +:1037E0000100080800030003824100881105012040 +:1037F000010011880010022110100000400220007A +:1038000002100400000400001084050000000010F5 +:10381000000208002100200005A020100010001068 +:1038200020100010000800212010200005000010CA +:10383000102000002004040208040808000008000A +:103840000004000100000000000000000000200251 +:10385000002000050000000020840000002000007F +:103860004001080021000090008420902008820080 +:10387000208308000000C1000089C1830100C0004E +:103880000140C109C001C0000002C0820400C100A3 +:103890000183C0000100C1000041C1830000C000DD +:1038A0000182C121C000C1000002C0A01100C101FD +:1038B0000141C00000000000C0000011C021010053 +:1038C000C0010140C0C1C000C1000004C0820300AB +:1038D00001000140C0000000C1000103C1830000DD +:1038E000C00000100505C000C0000010C08210001C +:1038F000C000014190000041C1410403C183414126 +:1039000080034190C0038010824100048080804188 +:10391000C140418380000841C1411183C1830241FC +:1039200080024182C10380088841009180004141AA +:10393000C12041908000000000418541088385033B +:1039400041418020418489038004884100108080A7 +:10395000C141410441C180000041C1410803C1830C +:103960000341804140848803800284410085808037 +:1039700005419141088300004100204100C1C04140 +:103980004141914100C1830502C141C100C1830091 +:10399000C1C0834100C180104100114100C190416C +:1039A0004141914100C1030410C141C100C18300E4 +:1039B000C109A14100C1802000004100094100C1AE +:1039C00090414141C04100C1030882C141C100C1D1 +:1039D0008300C14184C100C100084100024100C10F +:1039E0004041414191410041A02009C1894100C1AC +:1039F0008300C108C14100C180200000000100C156 +:103A00001101000120010009110008C1101100C1BD +:103A100083004011401100C182010000000100C17B +:103A20000201000140010041110020C1080500C150 +:103A300082004002200300C182010000000000015A +:103A400000C11101000121010009110102C1101181 +:103A500000C1830040912009008590910000000181 +:103A600000C11101000140010089020102C110855D +:103A700000C18300409140C100C1820100400000AC +:103A8000C140108200000000C10410830002000049 +:103A9000C140000000A10000C14000000040000043 +:103AA000C140048200000001C141038300A0000066 +:103AB000C140000000C00000C183000000000040C1 +:103AC0000000C183108300000000C18210830088C1 +:103AD0000000C140000000020001C14000000040A1 +:103AE0000000C140111000000000C0410883009098 +:103AF0000000C140000000110000C0400000008034 +:103B00000021C1C1809000000008C190808300C1E5 +:103B10000041C1C1000000400003C1C1000000809D +:103B20000021C1C1808900000040C190888300410C +:103B30000008C1C1000000040021C1900000000085 +:103B400000800003C183008300000041C1828083A4 +:103B500000410041C1C1040000890003C1C102004D +:103B600000800021C1C18502000000418590808352 +:103B700000410041C1C1000000080021C0C1000097 +:103B8000C1C1004190020941C10200C141C14003CD +:103B9000C141808080C1000041418008808003C015 +:103BA000C1C1804182111041C11080C190414011BA +:103BB0008841808080C10000414180418080038233 +:103BC0000000C180004190090341C10980C1414109 +:103BD0000385C1C1808080C1000041418002800016 +:103BE0001003C1C1800084881041C14100C109C1D6 +:103BF0004082C141008080C10000C141808080803E +:103C0000030540C1100141100091400002C10203B0 +:103C10000088400310C040C100009089201040027D +:103C2000010440C1080140880005400010C185C161 +:103C30000104411120C040C10000881120894002C8 +:103C400001C1000040C0020182C00091400010C1CB +:103C5000A0C101C0400982C040C1000088912088F5 +:103C60004010010540C1820040100109400102C11D +:103C700088C10003400502C040C1000008092002BD +:103C800040C000080000000000004842821200D03E +:103C90000B004842811200D00B0048420000000097 +:103CA000000048420000000000004842821200D09C +:103CB0000B004842811200D00B0048420000000077 +:103CC0000000484200000000000000004842881246 +:103CD00000D00B004842811200D00B004842000087 +:103CE00000000000484200000000000048428141FE +:103CF00000D00B004842810600D00B004842000073 +:103D00000000000048420000000000000000000029 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000757B00000000C2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000DEAE757B0000000016 +:103E30000000000000000000000000000000000082 +:103E400000000000000000015EAE0000757A8000F6 +:103E50000000000000000000000000000000000062 +:103E600000000000000000000000DEAE757B0000D6 +:103E70000000000000000000000000000000000042 +:103E800000000000000000000000DEAE781E0340CD +:103E90000000000000000231E0000000000000000F +:103EA0000C4000000000000002C0781E781E034095 +:103EB0000000000000000231E000000000000000EF +:103EC0000C4000000000000002C07B1E000078DEF5 +:103ED00003400000000000000231E000000000008C +:103EE00000000C4000000000000002C0781E79E6CF +:103EF000034000000000000002314000000000000C +:103F000000000C4000000000000002C0679E04207A +:103F1000200000000800000420002004040000002D +:103F200000000008040000000000200000008404DD +:103F300001040004000404050000018020000202C6 +:103F4000001100030100000004050000844000008F +:103F50002005000000008302200021002000200036 +:103F600001000020042000200000000000000003E9 +:103F70000080000000040005000020052000200053 +:103F80000000000882000002080841000000200034 +:103F900041010000000080000001000009018000D4 +:103FA00000000000000000000000200000000000F1 +:103FB0002001040100010001000000004002800017 +:103FC000800100900000400000008000000000011F +:103FD000000300000000000040018000900080000D +:103FE000A00020000091000100010000000000007E +:103FF00000000020000000000000000080008000A1 +:10400000800000000000000000018000C0000000EF +:10401000800000004000408000800000008001001F +:1040200002C000800004002004040084200020005E +:1040300020000000210000820000000500000008B0 +:104040000008000000008304004000000100400858 +:1040500020000000008000004004018000000000FB +:1040600088801080880000008200000000000000AE +:104070000000020000000000000008400080000076 +:10408000000840A100000000000203022080898097 +:1040900001002102000080008004102000000004C4 +:1040A00008000005002020012001A001004183003C +:1040B00080088008000002000011001000000000CD +:1040C00000840008000000820809000100040200CA +:1040D000A082808400000004008280014004200847 +:1040E0004000000400040088000009840000000271 +:1040F0000008008400002008038200082008004017 +:1041000000C00004800200000000000120098002BD +:1041100040104010C001008200800088C082000270 +:10412000000288080040000000210000002100007B +:104130000000004101000008000200100008000912 +:1041400000090000000400040508200820000021E8 +:10415000A00800210041000040024080400300050B +:1041600000040004000204050020002000000020DC +:1041700000041020002000100000042004400484EB +:1041800008000800082008020009000810000004C8 +:1041900088820003000800000005000400200004DD +:1041A0000000008509C00009080008082010000070 +:1041B000000800020000040000A11110000020000F +:1041C000410000C000C040A011099001C01080044F +:1041D0000008000000000384000080C1800480000B +:1041E00000000001100100098502000100010088A3 +:1041F00000010010800500010000000080A1808304 +:10420000C1210008000000850009000000008000B6 +:1042100000010010000000010090A040820020007A +:1042200082008400000208100190080004200800A9 +:104230000800C000210500001100002108800000D6 +:104240008202000000000802820220000402C00076 +:1042500020820400A0004000000000004002000096 +:104260004000400082000080000000218200000029 +:104270008220A0000100000000000800821004411C +:1042800004C10400204002030020000000000000E0 +:104290000100000282002108210401040001000045 +:1042A00000040000000000010001044100000001C2 +:1042B00000000300000002000000040000000002F3 +:1042C0000000030100000000008200008000840163 +:1042D00000A0A10400000004000000000000800114 +:1042E00000008000A1000000000400000090000019 +:1042F00000000000000004000000050009A000010B +:1043000080008040804080018000000100000000AB +:1043100000004000000000008201A0102000820088 +:1043200000808200C04190004041400002028200B3 +:104330000800080008008403C00000000202000812 +:10434000200000410840000010004008A0020021A9 +:104350008504102108000000C011000000020000C8 +:1043600000000000A08384850000001000054002CA +:1043700000001000C0000003A00400412005A000C0 +:104380000480A000038490000040A02100032000CE +:104390000003000284044005211002080200020804 +:1043A000000000200200000800048020800082013C +:1043B00000000000000011002000088410004001EF +:1043C000009040080900009100202000840400882B +:1043D0000400409100880500090011840584000054 +:1043E0000002000800004002000202000008000075 +:1043F0008485000000A000840000400900884088F7 +:10440000408480200000844102020084200000A03B +:104410001110004000010085A00088000004210068 +:104420000905000300108204C040000400000821B8 +:10443000080208000410080290050002000382022E +:104440008840001100210009020010080805000939 +:1044500008000000C091848400100041404108041D +:10446000401100000000820800080008000500213B +:10447000002102C10805000400208208002008086D +:104480000008880302002000C04000410221001003 +:104490004000C000000800000808000090088900E3 +:1044A00000080008000000010000000100000000FA +:1044B0008300200000000800000000A000010010A0 +:1044C00000010001000000000000800000900000DA +:1044D0000000000000000004000500C10000A00072 +:1044E00080000000000000A00000000000000000AC +:1044F0000300030080200000000000010000000114 +:1045000000010000000000000000000100A0800089 +:104510000001000003000000000000000004000093 +:1045200010000000000040C000890000A090A1A180 +:104530000084C004000208C1400820004091040229 +:1045400011042005020340C040888802829008C1FF +:1045500085838382000404C090200000081100C0FD +:104560004082A040C083000040C100A000A0900392 +:1045700001008800C100000000C0009000000000A1 +:1045800000C18990820000014100A02000882040E5 +:10459000890400202090408200C000000041900368 +:1045A000A001010988201089008500401020050520 +:1045B000400500830883008409C0C08340090003CC +:1045C000858021A01088009000801100108080005C +:1045D00011C021908411000900830000080080822E +:1045E00003808811048800A0000000A0001040048F +:1045F0000040200005008300000000A000890000AA +:10460000000910A0908480200003090080800480AD +:104610000000A18000809100000002800020034083 +:104620000002804141219000804080C021100004A0 +:1046300000088041210590C1808003001089082175 +:10464000400005091083008385A0031140A0850464 +:10465000C1030400C0408400A00080090088808459 +:104660000000200010A00590881000000083800248 +:10467000C0419109000908210285A000008089003D +:10468000111191A0038089000411C0031080022041 +:1046900011100341004080A000A08000110540409F +:1046A000A0858408804009040220A0210803C1904D +:1046B00082A0C01184018921A00208C0000000204E +:1046C00001210184900803209085C0030084000923 +:1046D0000984C140000421000800C18204000403D1 +:1046E00082A00400A002110401A1C02100009003D7 +:1046F00010000901C00000000040010390020040CA +:1047000082000001C090004003000001C101018847 +:10471000000500010184000110030005C0008408A9 +:1047200001000940C000088900010085C101012085 +:10473000A000104002C04040A10202040500000297 +:10474000010203050404C0880205000520110820A9 +:10475000844004402101000200100011C0C10091FA +:104760000804C00941C110010002A08510A1000089 +:1047700000082008A00110C0000002002001010173 +:1047800000000100009000C000010100009000C185 +:10479000008800021040900002410402902102C1F2 +:1047A00040088310031141C11088A021049100C169 +:1047B000410005008300090000401080A0C1830073 +:1047C0000411028420A100A0800308901083040239 +:1047D000804000C0804004020004000200A1008369 +:1047E00000408080008511C1801000C185088011C3 +:1047F0000000008080C1800284890011000900103F +:10480000114100001100118000800010110400808F +:1048100000C11003008900A0001180900083801166 +:1048200090838080038002A120840011210808A1C8 +:1048300009410800900220000008A02180204000CB +:104840000020210821118000090810888805908027 +:104850001003100040002000C008A108030210004F +:104860000411A14000009000000021004000200041 +:1048700011200000A14005202000030080820008D4 +:104880000000000280080908110002C0C0080405E9 +:1048900020000011A09004020800830900200020DD +:1048A000000000009080101108009084C103840073 +:1048B0009000030020880308900488000211400142 +:1048C000C00040008320090002004101C10202042F +:1048D0008308A1012182080203000401C100C02154 +:1048E000C08821001100C0A04102C000C182100098 +:1048F000830010000000C1010200C14021000240FD +:1049000040018400C000C020900000000082C1006F +:10491000000100008801C0094100840004019000EA +:10492000C08490004000C1C00289018405908500C8 +:10493000029090008400000000D00B004200008232 +:1049400000D00B044242810000D00B000000008127 +:1049500000D00B006000001200D020000000810099 +:1049600000D000000000841200D02000000041129E +:1049700000D0200000000000000000D000000082F5 +:10498000818100D00B000021418100810B000000DB +:10499000888100810B020000820000D00B00000023 +:1049A000818200D00B000000000000D000008100D8 +:1049B000602100D00B004100FFF4F4F4000000007F +:1049C000FBFBF0FF00100010FEF67E6630F03000BA +:1049D000FFFFFFFF00006000FF669900000000007D +:1049E00030C00000A0050000FF66FFF76000C3C3F1 +:1049F000FFE7FF67F00000000000300C0000A0A0FF +:104A00000000FFFFF2F000000000FF000000FF00C8 +:104A100000003C3C0000FF000000000030000000EF +:104A200091F38080FCFC0F2F3C7EA500000033003A +:104A3000000000000000000060600F040404007E1D +:104A4000003CFFFFF0F7001000109890180030F0C5 +:104A50003060FFFFFFFB200040007F7F1919007CC2 +:104A6000007C0C030000A0050000006600F70000B9 +:104A7000C3C300E70067000000000000C00300009F +:104A8000050500000F0F020000000000FF000000FD +:104A9000FF0000003C3C0000FF0000000C00300064 +:104AA000000099FF8080FC802F2F7E7E00A50000F3 +:104AB0000033000001000000C300FF6000000000A0 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B3000000000000000000000000000000000FF76 +:104B4000E7E7DFDFF3F040C9F0FF0000FFCF666664 +:104B5000C3FF000000006FFF6FFFF0F0DBFF0008F5 +:104B60000000000099660000821400006006187EB4 +:104B7000FFFF97979191069FFFFF0000CCCC0000AC +:104B8000000088440000030CFFFFFFFF0000FFFF50 +:104B9000000000FF00000F0F000000FFFFFFF0F01B +:104BA000083C000000000000000000000000969695 +:104BB0000000050500001824003C003C0000A5A5ED +:104BC00000FFE7E7DFDFFFFCC0CFF0FF0000FFCF13 +:104BD0006666C3FF000000007FFF6FFFF0F000FF7C +:104BE0000909000000009966000082140000900985 +:104BF000187EFFFF09000900BDBDFFFF0000CCCCFF +:104C00000000000088440000C030FFFFFFFF0000EC +:104C1000FFFF000000FF00000F0F000000FFFFFF7C +:104C2000F0F0083C000000000000FBE302830000FD +:104C300096960000A0A000008142003300330000DF +:104C4000A5A50561340F051D00A000613027000DEA +:104C500000A00561340D850900A000613027001D0A +:104C6000000800615E6F100D120600E30007800966 +:104C70008A0000615E6FD098000000615E6E90094E +:104C80001206000000E30007000D8A000061303FBB +:104C9000C00910C003E303B88C0592C003E303B856 +:104CA0008C008200A5615A1F859100A00061002739 +:104CB000C04D120600E30007000D8A008061002746 +:104CC000C00D160605000000000000000F0F0000D8 +:104CD000140014003C3CFF00E1E1F5E10CF30CF39F +:104CE0000000FF7F28C0EBC00020FFEF4140FFF035 +:104CF0000000080F0F0F00003C3CFF003D7D01410C +:104D00000082CFCF0000FA000000000000F0000099 +:104D100000000C0055555F5C5F5C000000000F5503 +:104D20000F55000070700D3C05000003000F0000DF +:104D300000000F050F0D008000A000FF000000FF25 +:104D400055559A9A000000000000000000000F0F67 +:104D50000000140014003C3CFF00E1F5F5F5AAAAA0 +:104D6000FFFF0000FF4328FCEBFC00200020414037 +:104D7000FFF000000B0F0F0F00003C3CFF003F7FD7 +:104D800003430000CFCF0000CF000000000000F080 +:104D9000000000003C305555505C505C00000000A5 +:104DA000FF550055000020200D280D280003000F9E +:104DB000000000000F050F0DFF7FFF5F00FF0000E8 +:104DC00000F041419A9A00FF00020000A0A0A0A0BC +:104DD0000FF0A3FF5505550540007FFF05010000BA +:104DE000020D020D0000000000000000FFAA0C0CE4 +:104DF00003030F0300000059C3C3000000000000BC +:104E000000F300F3000000240000000000C0FF00D9 +:104E1000000000000000000000AAFF3C003C00FF72 +:104E2000AFAFCF550C55AA30AA3F00000000282094 +:104E30003C3000000000000000000000B7FF000050 +:104E400000000000828200F0FFFF00000000000070 +:104E50000000FFF0A3FFFF0FFF0F000000000F0393 +:104E600000000A050A05505054540000000000AA32 +:104E70000C0C03030F0300000059C3C30000000023 +:104E80000000C3F3C3F3002400000000000000C0D2 +:104E9000FF0000000000000000A000AA5555555575 +:104EA00000FFA0A0CF550C55AA30AA3F000000007B +:104EB00028083C0C00000000000000000000B7BF04 +:104EC0000000000200FF828200F0FFFF00002004CB +:104ED0004000000088008800000020000000020060 +:104EE000A0000000000000032000200082088400D1 +:104EF0002000840000000008881002050000200047 +:104F00000205020300050400040040000000000048 +:104F10000000200020001004001002118404000092 +:104F20001000000000000000A000000000000000D1 +:104F3000400000000000000000000002000200002D +:104F400020000000000000000000001100004000F0 +:104F500000040000212000000000020020022000C8 +:104F60000090000000000000000000004100000070 +:104F700000000000100000040000000010001000FD +:104F80000000090000000000000403000000000011 +:104F90000000009000000000000000000000000081 +:104FA00000000202400000000000000000000000BD +:104FB00000000004000000000000004000000000AD +:104FC000400220000000000000041010210010002A +:104FD00002110004000000000000000000000000BA +:104FE00000000000001100000000004082040200E8 +:104FF000000000000000000000000010000084001D +:10500000000002000000020000000000000000009C +:10501000000000002000000000000000000400006C +:1050200000000000820000000000000000000000FE +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000858 +:10505000000021400004050011840002400200020B +:1050600000000000000400000000020000880000B2 +:1050700000080090000840C0000000000000000090 +:105080000000000000000408000000000008020802 +:1050900005080000000800100000040000000004E3 +:1050A00000100010000000000008020000002004B2 +:1050B00000020002000800000008000202040002D2 +:1050C00000000000000000000008000000000000D8 +:1050D0000000000000000003001000000040080075 +:1050E0008200020088400000000000040000000070 +:1050F0008200000000000800000000000000900096 +:1051000002000200840040008400000088000000CB +:10511000080000110000000004410410000800080D +:1051200000000020000000004000400000000000DF +:10513000000000000000000040000000C0088800DF +:1051400000000200000002008400000002200200B3 +:1051500090000000000008080308410400000884D3 +:105160000888218805100804028409000800080442 +:105170000588000021820082008841400088218249 +:10518000108210880200402021A0048404A0400066 +:10519000002008A00300000008840088408204C0AA +:1051A000418403080000210000000000080003A063 +:1051B00000004100400008C000004040080000829C +:1051C00000082108020800080084110002A00084E1 +:1051D00020000010028800000000000010050041BF +:1051E000000000090809020000090000000000009A +:1051F00000100010A0110002000000051040004047 +:10520000004008100000081000000000880088001E +:1052100000004040004008000000000088400040BE +:105220002000000000090840820000000240000049 +:10523000004000000000004100100011004000028A +:105240000002000000000009000000110000000042 +:105250000008000900000002000000000000080033 +:10526000030000000000000020000000000000001B +:10527000001000000010000000000200104000C0FC +:10528000000000000000000000000000000000001E +:1052900020000000000000000000000000000000EE +:1052A00000080000110000000000082000000800B5 +:1052B0000000080000000000000002000090030051 +:1052C00008000400000000000000000009000000C9 +:1052D000000000000000000002000000000000408C +:1052E000000000000020000000000000000000009E +:1052F0000000080000000000000090000000000016 +:105300000041000000000000000000080040000014 +:10531000000000200000000000000000000000006D +:105320000800001100000000002000000000000044 +:105330000400A0000000C000000000000000000009 +:105340000000000008000000000000400400000011 +:105350000000C00000000000080000000000200461 +:10536000100410084020020004040084000000041F +:10537000000003840088080008040900034010901E +:1053800040400820024008200088000802C01000A9 +:1053900005C040002040020003042190408200002C +:1053A00000000000030003840000400000900000A3 +:1053B00000000300008800C0028400000002039087 +:1053C00011001004210010A0080010004104081072 +:1053D0000208004020C00040000241A00902024033 +:1053E000000000001000000000000000004000006D +:1053F0000011000010084000000000080008000034 +:10540000200000000000000000002011000000004B +:1054100000000000080000009000000000040000F0 +:10542000000000000000000008000011000008005B +:1054300000040040000000000000000000008200A6 +:1054400000000002820000000000000000000000D8 +:105450000000000000000000000000080000000044 +:105460000020000003000000000000000200000017 +:1054700002000000020000000000000000004100E7 +:10548000000000000000000000000200020020C038 +:10549000000000900000200000000000000000005C +:1054A0000004000021000000000000000000004097 +:1054B0000000030000000040000000000000001099 +:1054C0000840000000000000000000000004200070 +:1054D00008000000000000000000000000000000C4 +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E0000000000000000000004000400200000006A +:105E10000000000000001000000000090000C000A9 +:105E200000004000001000000000C0000000000062 +:105E3000840040000010000000000000000000008E +:105E40000000000000000000000000000000000052 +:105E5000000000042000020000000000000000001C +:105E6000000800000000000000000000000000002A +:105E700000000000C0000000000000000000001052 +:105E800000000041000000110000000000000000C0 +:105E900000000000000005A040000000000000001D +:105EA000000040C020000000000000000000410091 +:105EB00000000000000000200000000000000000C2 +:105EC00000000000200000000000000000000200B0 +:105ED000000000000000000000000084000000003E +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000C000000000000000000000D1 +:105F10000010000000100000000000000000000061 +:105F20000000000000000000000000000000000071 +:105F3000000000000000000000000003000300411A +:105F40000010000000000000000000000000000041 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F800000000000000000000000004100000000D0 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000080000000000E9 +:105FB0000000000000C0000000000000020000041B +:105FC00004000000000000000000000000000000CD +:105FD00000000082000000400000000000000000FF +:105FE00000000000000000000000000000100000A1 +:105FF0000000000000000000000000000000001091 +:10600000000000000000008200000000000000040A +:106010000000A00088000000400008009000000080 +:106020000800900088000000820084002000020028 +:106030000000020008000000A000820000009000A4 +:106040002002000088000000400390008208100039 +:10605000000000000000400000000000820000007E +:106060000000000090008400000084008400840090 +:106070008408000000000000840000000000000010 +:106080000000900000000005200000008400900047 +:106090008800000000000000080800000000000068 +:1060A00000000000410000000800000000000000A7 +:1060B00000000000000800000000200010000500A3 +:1060C000000000000000000000000000000000C010 +:1060D00000200000000000000000000000000000A0 +:1060E00000000000000000000000000000000000B0 +:1060F0000000000000000000004000000000080058 +:10610000000000000000000000000000000000A0EF +:10611000000000100000040000000000A0000000CB +:1061200000000000000000000000000000008400EB +:10613000000000000000000000000400000000005B +:106140000200000000000000000000000000080045 +:10615000000000000000200000000000000000001F +:106160000000880000000000000000008402000021 +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:10619000000000000000110004102100040000882D +:1061A0002004008800000000008400104040080027 +:1061B0001104000000840804040004100082008818 +:1061C0000020000408040000009002000800000005 +:1061D000000000000000000005000000040011C0E5 +:1061E0000000000011002000210205002000022014 +:1061F00004000520090021901000020020A00310D7 +:106200000088400408000000002000000000000496 +:10621000000220000000000000000000000000104C +:106220000000000008000000000000000000000066 +:10623000000000000000001100000000000000004D +:106240000000000000020000000000000000080044 +:106250000000000000000000000000000800000036 +:106260000011080000000000000000000000000015 +:10627000000000000000000000000000000000001E +:1062800000000000200008000000000000000000E6 +:1062900000000000000000000000000000000000FE +:1062A000000000000000009000C00008080000008E +:1062B0000400000020000020001011A000000002D7 +:1062C00000000000000200A0000400000000000028 +:1062D000000000000000410010000000000000006D +:1062E00005842000000000001100000000000000F4 +:1062F00000000010009000000000000000000000FE +:106300000000110000000000000020000000000854 +:106310000000000000401108000000088400002078 +:10632000000000000000000000000000000020004D +:1063300000000000080000410000000020000000F4 +:10634000000000100000000000000000000000003D +:10635000000000000000000000000000000000003D +:10636000000000000000C00000000040000000002D +:1063700000000000C000000000000000000000005D +:1063800000000010000000000011000000000000EC +:1063900000000000000010000000000400002020A9 +:1063A00000200400040003002004200040041182A7 +:1063B0000304004002200010210004C00510210049 +:1063C00008200400040800A0110200001190000041 +:1063D00011000000030400900000000040000000D5 +:1063E000100400000000000000000400022020084B +:1063F000030000000090044000C01102038440101C +:1064000000042084040040402000110040041002D9 +:106410004100412000000404000000002000200092 +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000020080100040029 +:106A4000000020000480200021001188C000000404 +:106A50004082A10001882000000500114000048050 +:106A60000400000088020108200020112005000514 +:106A70000040884020022080000000020000010049 +:106A800020842080008204000480040004800480AC +:106A9000050000A021A089000020010000800480E2 +:106AA00000842000000800000000000000020080B8 +:106AB00000000004202188042005000000000400DC +:106AC000809000A0800000048000840084052000E5 +:106AD0000001000520A0200480001100000000003B +:106AE000804000820000000102010020000000C080 +:106AF00000000008000480050002000300010000FF +:106B00001000000200040021008400048000A04066 +:106B1000800482000003200920000001040000100E +:106B200080020021000000000000000000000001C1 +:106B30000002000000C0000400008000000000000F +:106B4000010000800000008000000080008001043F +:106B500000000000000000000000000000800000B5 +:106B6000010000052000200020800005200000001A +:106B70000000002001802000010001000000000052 +:106B80000000110800000000008400002000000048 +:106B900002400100000002A0004004A00800010023 +:106BA00000000000010000000004000000000000E0 +:106BB0000000000000000000000000800000000055 +:106BC000000020000004000000040008000200048F +:106BD0001091000000840008000002900082004034 +:106BE000090840000000800880008008200800009C +:106BF0000000000000012008800040082000000084 +:106C00000000000890010000000402400408800811 +:106C10000000A0C1040400C000090085802180C0DC +:106C2000040005080000040000000001001009082D +:106C30000000000000002000000000000002000032 +:106C4000020802804010400000050021C000010839 +:106C50000000410020020300200440024000000226 +:106C6000000502020000000800000021008500026B +:106C700040214021908000088482002040200408A8 +:106C800000000020002008200020004005C00180F6 +:106C90001102010405000400010000008408080836 +:106CA00001040040C1000200000000090040000390 +:106CB000080000C101040000004000000000824103 +:106CC00020000000800200018000000000000000A1 +:106CD000040000009000800184008000880088008B +:106CE0000001008200A00900000100000000001067 +:106CF00000048000000200200082082100018401BD +:106D00000000000000010001000100010001A005D9 +:106D10004004A00104008200A00004000000000163 +:106D2000800010010001040080000000000000004D +:106D30000000000000024000000800010000000008 +:106D4000038480888200820440000884900500004B +:106D50000804094103001188050020208400000078 +:106D6000C10000809080900082048204000008022C +:106D700000021004020000880400820000000800E5 +:106D8000A002048800000000000402A0A00020046B +:106D90000300838289040000080090402000002046 +:106DA000402020004020A00082000000820000025D +:106DB0000000C000004100000002000000000400CC +:106DC00000024000000000000009210080110000C6 +:106DD00004840001A00004048211A00080010000CE +:106DE0000000200000040002000000000800000471 +:106DF00000080808800100000008030800000000E7 +:106E000010000001802000000000000008040000C5 +:106E100088012000200940210000410000000004FA +:106E2000000100010008000100000900000000004E +:106E300000010000000008000090000100000000B8 +:106E4000000000018088000840000103841008024F +:106E50008211A0000183A02120034082A004080326 +:106E60000080001000040040000208411041004171 +:106E7000000300040003040500050408C003000823 +:106E800008020888A0200021000004050400040076 +:106E900004404003400208800302000008C10811BA +:106EA0000805A100A0008203080000008402100071 +:106EB0000803004100094021A00908008240002089 +:106EC00008000008042082004003000004000000C5 +:106ED000000100000000081000000000830900000D +:106EE000000000040000000000000000000000009E +:106EF00003000000000000008090000082000000FD +:106F0000000000000002000100000000800080007E +:106F100080008000000000000002880000000040A7 +:106F200000008000080000000000000000000001D8 +:106F30000000004000080000800000000000000089 +:106F400000010000000080090808000040028802DB +:106F500090218202A008400502104000040440086D +:106F6000000904A0000000201009020040030021D5 +:106F70000000008000214005000508089010014035 +:106F8000C02100A10021080300054000000082216B +:106F900000050203820900110221041040000800CC +:106FA0002040A00404048200C000C021018400032A +:106FB000008200038220020040200802820220009A +:106FC0000800081001020000000008200020000056 +:106FD0000000000008010000001003040000000091 +:106FE0000001040000030000000000000000000099 +:106FF0000000000002040000000000820001030104 +:107000000400000002040000001000004002000024 +:10701000000000000300000000000000418984A07F +:10702000000000010001030000000000080020042F +:1070300000000040000020000000000000000001EF +:1070400000000000000104010000210000014101D6 +:1070500000010100C001A00002054182C0A090040F +:1070600002C1900100C0000004C000028800C0827C +:1070700089051008908908899084088500400003DC +:1070800000000008200800010000009184110000A9 +:107090000001000900901101101089C02110A120E9 +:1070A000400503C020040004C08200410540A01038 +:1070B000208220409008A040204102C00101002011 +:1070C00088090041000500A000A000000003100294 +:1070D0000000000240101020004005822108110528 +:1070E0000085C084851110030005108321851040A0 +:1070F0000000402080A100208020030080401082FA +:107100000009000800800090000800020991108525 +:107110000040000000C10091910880804080C19033 +:1071200010100083090000800080008003C18911D5 +:1071300000040004038302900911000280C10320AF +:107140000008002000C00082008000C0031000087A +:107150000003000020809010200510058000A0A1F1 +:1071600085C011880020212121409100054109049A +:10717000020582850880C10905A0918509200011BA +:10718000008400802000910511038580100508808F +:10719000A040C18900008880218040008588830844 +:1071A000C020800588214180A1004011831020006B +:1071B00020209185C18940000210088490002000A1 +:1071C0004040400490A0118021A088A00511050036 +:1071D000A0000440048300A0020401A0C1040840F0 +:1071E0000304820882C00000C1020191C020C005D2 +:1071F000C0010001C00201C01084001008C00001DD +:1072000000C0008200C000000800004090900020F4 +:107210000190100191900000080289900000C1C007 +:107220000301020502850309040221908201C0883E +:10723000000400038582C191010088050141C0C09E +:10724000830091000001C14104C0830203A1C084F6 +:1072500000008300010002900008830485110110E2 +:10726000081184000089831141A18803080285A1C7 +:10727000100188C0058510C0C00201881183031168 +:1072800010028820110083100041000990090201BA +:107290000140C0119109C000000001080001919156 +:1072A000000004C00888A00008C0209000020884E4 +:1072B0001108A021A12108854005A1C10003820079 +:1072C000C04090880021010083C0049100000204A6 +:1072D000A10000400002900302010091200540211E +:1072E00002C080C020100321208841210290A0C14B +:1072F000884180080011102190840000208040A166 +:107300000811808011000800848000C0088880A1D6 +:1073100080411180828491828400000011800540A8 +:10732000898400008008008080118891100000800E +:10733000808084800990C090900909C1A0020083D8 +:1073400003058902002010020509408980200020E1 +:1073500000809020008000208521801121001008ED +:1073600009000441A1900500040089090405C0102A +:1073700000110540A084A091C00004020040410219 +:1073800089808940008221090200404000000041BC +:1073900000800011918090089080101000009111E1 +:1073A000800883802000410041000500001000009B +:1073B00004101000028041C091050209050520401B +:1073C000840440408411A0801100040040209140BA +:1073D0000311200009412184052111000804838242 +:1073E00041014040210109C0820440820401411052 +:1073F00008044001A000C109204110104100040010 +:107400008200840810008805A000C10002004088A6 +:10741000A001200882019008110109080001000064 +:1074200008212001101001002182840082008200C6 +:107430000088004001A0010483898301C18985017E +:10744000C184050090088500C1C091888920410150 +:10745000040201208390900101C10002000001009C +:10746000004200D00B000006488102D00B046042AD +:107470000000006006008200000000600000810043 +:10748000818200D00B048242002100D00B04004214 +:10749000001100D00B004100000600D00B0050127C +:1074A0000000000640D00B000012481200D020005F +:1074B0004200000600D00B000000884200D00B0004 +:1074C0000000810600D00B000011600002D0000017 +:1074D0005000008100000B044206881100D00B0010 +:1074E0005000010300000000000000020003FF0044 +:1074F00018003C3C3C3C0302030030C00000690023 +:1075000000000000FFFF6666FFFCF7FFF7FF7676DE +:107510000000003CFF00CC3CCC3C0FFFF000CC3C1A +:10752000CC3C00000FF0FF00C33CC33C3C3C3C3C67 +:10753000242400000000000018999999A4C3A48194 +:10754000303C303CFBB924243F3F818133000000B4 +:1075500022220000FFFF9898C300C3000000000033 +:10756000CC3CCC3C0000000000CFCFCF000000009E +:1075700000000000F666F000030203000C030000A8 +:10758000006900000000FFBF0000F0F0FFFFE7FF10 +:107590007676000066F00000CC3CCC3C0FFFF0009B +:1075A000CC3CCC3C00000FF0FF00FF00FF00F9F0E6 +:1075B000F0F02424F0F0000000000C0F0F0F80C347 +:1075C00080813C3C003CF0F0F0F03F3FBDBD00331B +:1075D000000011110000FFFF1818C300C3000000D5 +:1075E0000000CC3CCC3C000000000000000000008B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:1076700003000300272700C366660F0F00009696DD +:107680000000182400004444FFFF000000000F0F1A +:10769000FFFF677F00000000666966690F0FF0F06A +:1076A00006F906F900FFFF00000000FF3CC30F0FC2 +:1076B000CCCC6081FFFFC3C000009898000099996E +:1076C000F0F0C0C04040FFFFFF00BD992D09000051 +:1076D0000F0F0000050500004444FFFFFFFFF333D8 +:1076E0009111666966690F0F69690000000000006A +:1076F0000F0F03008300000000006666FFFF00001C +:1077000096960000814200008888FBFF0000000080 +:107710000000FFFFFFFF00000000666966690000CF +:10772000FFFF06F906F90000FFFF000000FF3CC361 +:107730000F0FF0F0F981FFFFC3C0000098000000B8 +:107740009999FF3CC00040000000FF00FFDB6F4B39 +:107750000000F0F00000A0A000008888D0D0F0D099 +:10776000FFFF9999666966690F0F696900690A2FB9 +:10777000050D00A100613027800D00A00561340FC8 +:10778000050D12A600E30007000D8A0000613026F7 +:10779000801900A100613027000D00A00061032EB8 +:1077A0000C0910C00361032F0C0D10C00000032151 +:1077B000032E0C0742C000615E6FD09D0001056181 +:1077C0004A2E051700A505400A3C85000008856182 +:1077D0000A3F851912A600E30004800D8A000061AB +:1077E000302E050D04A00061032E8C0910C03C3C16 +:1077F0000808FF555D5DFDFF0D05FBFB51513C0089 +:1078000000000F0F00005555CF0F00000A0A0000BE +:10781000E72400AAFFAA00000C00000000000000FE +:107820000000C0C0AA008800440055FF55FF0000BA +:107830002C2C0C0CA050A0500F000F0F00000000CB +:10784000F7D7F7D700000000CF0CD7D7FF3CF0AA3E +:1078500000300000BAAABABEFF03FF00FF003F00DD +:1078600002000000CFCF00000C3000000050555542 +:107870003C3C2808FF555F5FF8FA0800FAFF5055B6 +:107880003C0000000F0F003C0000000000400A0810 +:107890000000242400AAFFAA00000C000000000041 +:1078A00000000000C0C0AA0000880044050F050FBA +:1078B00000003C3C3C3CA050A0500F0000007DFF6D +:1078C0007D7D0000000000000000CF0C1414003C7F +:1078D000F0AA0030000000000000C300C300FF0059 +:1078E000000000000400CFCF000003C000000050E3 +:1078F00055550000000000B000F0DFFFDFFF550528 +:10790000000033330D015500050000F000FF0100B9 +:1079100000000000000400001000000020201414EB +:10792000141406069090F5F5F5F1003C003C0C0CA3 +:10793000005500000F0FF0F0FFC0FFC30000F30C74 +:10794000C3C3C3C300000000FFFCFF000020000011 +:107950007D7DA0F53C0FFFFF00001000A581818117 +:1079600055545454003C00000FFF04140F00F00065 +:10797000000400004000000000000000032300207D +:107980005555000030300D01FF000F00F0F0FFFFF3 +:1079900001000100000000000000100000002C2089 +:1079A0000000000006069090555555511000103CFF +:1079B0000C0C0000000005005000FFC0FFFF00009D +:1079C000F30CC3C3020200000000FFFCFF3C0000F8 +:1079D00000042828A0F53C0F00FF00000000A5A52A +:1079E000A5A541404040003C0000FF0F1404000FDB +:1079F00000F0000000000000000000008400000013 +:107A000000008800000000008400000088000000E2 +:107A10008400000020008800020000002000880090 +:107A2000880000038400C00388000200C00000003A +:107A300000000000200000002041840000008800B9 +:107A40002000000002000000000000000000820092 +:107A50000040840000000000200000000000000042 +:107A600088000000000040000000000000038400C7 +:107A70000200000000000200000000000000000002 +:107A80001000412000000000000000000000000085 +:107A900000004000000000000000000000000000A6 +:107AA00000020002000010021000000000000000B0 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000C00000400000000000B6 +:107AD000000000C0000000002000000000000000C6 +:107AE000000009000000000000000000000000008D +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000020000000000000073 +:107B1000000000000000000000000000080000005D +:107B20000000000000000000000000000000000055 +:107B30000000000000000200000000000000000043 +:107B40000000000000000000000000000000002114 +:107B500000400000000000000000020000000000E3 +:107B6000880000400000000000000000000000004D +:107B700000000040000000000000000000000000C5 +:107B800000080084000000080082000000000004DB +:107B9000008400A0000200400040004000000000FF +:107BA000000200000908008800000000000000003A +:107BB0000008000000000000008400000000000039 +:107BC0000000000000000084000000000000000031 +:107BD0000000008800080000008400000000000091 +:107BE0000000000000000000000000000000000095 +:107BF00000000000008800100200000000000000EB +:107C0000000000000000904100410000000500005D +:107C1000000000080041000000000008000000050E +:107C20000000001000000000000000000000020042 +:107C300000000000000090000000000000000000B4 +:107C4000000000119011000000000011000500006C +:107C50000000000000000000001100000000000013 +:107C60000000000000000000000500080000000007 +:107C700000000000004100000000000000002000A3 +:107C8000410003820088002041C0009040A0030012 +:107C900009A00082410000C0008400840900001097 +:107CA00000002110008400200584000408C04084E6 +:107CB000029000A0058200C00000082000000082A1 +:107CC0000000008808900300002003000800000066 +:107CD0000000089041820000219041A01002008421 +:107CE00003002100038403A0210000C0030003104F +:107CF000210000000302000000000000000000005E +:107D000000020000000000000800000084000000E5 +:107D100000000800900000000000080008000000BB +:107D200000000000820000000000000000000000D1 +:107D300000000000000040000800C000000000003B +:107D4000040000000000840000000800880000001B +:107D5000000082000200820040002000A000C0005D +:107D600090000000000008009000080000000000E3 +:107D700000000000000000000000C0000000000043 +:107D800000000000210000021100000004001100AA +:107D900009000000100010000000000000000000BA +:107DA00000000000000021000000000000000000B2 +:107DB0000000000000000000000000000090000033 +:107DC00040C00000000000000000000000000000B3 +:107DD00000001100009000000000050200000000FB +:107DE0000000000000000000000000000000000093 +:107DF00000000000000000000000000000000088FB +:107E0000000000000000000090410000000000059C +:107E10000000000008050000000000000000004114 +:107E20000011000000000010000000000000000031 +:107E3000000000000400000008000000000800002E +:107E40000008000500000000000000000002000023 +:107E500000000000A00000008400080008000000EE +:107E6000000800000000000000000000000000000A +:107E700008002000000000000000000000000000DA +:107E800000000000000000000000080003A0000047 +:107E900004080000030000020884080000840000B9 +:107EA000000211820084000200C040C000C00084B3 +:107EB00000000004008411840088009000A0058266 +:107EC000000020A02184000000000004008400C005 +:107ED000210400000984000000902000000421001B +:107EE000038803000000000021020300002009A015 +:107EF000000009021102080209880000000003A026 +:107F00000300000200000002210000030000000046 +:107F1000000200000000000000000011000000004E +:107F20000040000282000002000000110010000268 +:107F30000000000300000020001100090000C0093B +:107F400000410000000000000200000000000400EA +:107F50001041000000000009400008000800000374 +:107F600000400800880000000000C0000000000081 +:107F7000001182000000000200000000002100004B +:107F800000000800000000000000000000000200E7 +:107F900040400000040000880302090000000000C7 +:107FA000008200020000030000000000000000004A +:107FB0001100000000000000000000000090000020 +:107FC00000001100000000001190000000000000FF +:107FD0001100004000C000002100000002C00002AB +:107FE00010001100400000000088000000000000A8 +:107FF000000000000000000000000000020041003E +:108000000000110000020000080011000000000044 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B0000000000000008200000082808904008521 +:1089C000048000A084000000210004008800200032 +:1089D0000000200040000400008020008880890002 +:1089E0004180888220400200048020802000000016 +:1089F00000002000000020900280C0802080000045 +:108A000088830011000040800080040000200004E2 +:108A1000881000800080000000002004008000001A +:108A20000000000020000400000020000485000079 +:108A3000000300002000000000000000000420856A +:108A400000208920001100000000200080000000AC +:108A5000800000008000000000000004000000040E +:108A60002000A0020003008300020002802000001A +:108A7000008400000000000380050004002080083E +:108A800000000002008200008002000205000001D8 +:108A900000010000000400040000000000010004C8 +:108AA00000000008000880080084000000000004A6 +:108AB00000A0000000A080000200000000A0000054 +:108AC000010041004000040800008800020000008E +:108AD00001000000008404000400040004000400FD +:108AE00004000100048000800200040004002180D2 +:108AF0002000200020002000000000000000018075 +:108B000000000000880004000000048000000080D5 +:108B100004008300828000008200000000008800C2 +:108B20000000880000000400000020000000000099 +:108B300000000000200000008880000000000040CD +:108B400000C0040084008008808400000584890837 +:108B500000000400000020038000800080008000EE +:108B6000808480000400A0020302800080C0801086 +:108B7000040480008008800000080000000000005D +:108B800020200000000008000000000080400008D5 +:108B9000000480000400000800000008000000003D +:108BA0000084000000840000800000000000000835 +:108BB000000000080000090000000004080800048C +:108BC00020040020040508020000900200000000BC +:108BD0002000C01000032004000220080021002112 +:108BE0002008000001000000410201000408040800 +:108BF00004100040000000000000000800000821F0 +:108C00000010000083008210C004851084054020FD +:108C100003200000002000080011000000000008F0 +:108C200000000000048000000000A000040000809C +:108C300000A1408500000021000000000821000183 +:108C4000211180010001800080010000000000006F +:108C5000000080A00008000080010001800010A03A +:108C600000008000001020000000C00120008000F3 +:108C700080008082000100821100000000000000DE +:108C80008040000000002000090000002084000057 +:108C90000001840100000009000100000000000044 +:108CA000000000A000008020000000000000800004 +:108CB0000020002080200000000000A00084800030 +:108CC0008400088008800080080000002000000068 +:108CD0000000210001A0C0000800098083000800F6 +:108CE0001000A0000000820000004000830001800E +:108CF0000000200008002000A00808008400008078 +:108D0000000008000900A0800000040040000800E6 +:108D1000000010008389900020000800010000007E +:108D2000090008000000080008000080088090008A +:108D300083008200000000008200010008000000A3 +:108D40000000000000200040000840002100080052 +:108D500000000000040020050000030004040400DB +:108D600000080000002000000000000000002000BB +:108D70004020000000000008800000010300000007 +:108D800000080000000020A0004000000004800057 +:108D900000C000048000104000008000000020207F +:108DA0000020200000000000000000000020002043 +:108DB000000004A00000000000000000020000000D +:108DC000000000080884204090408205200001082F +:108DD0008200840040030008002108050000000014 +:108DE000A005C0042000C00000000804000000002E +:108DF00000000004000005000003000008008205D8 +:108E00008204000000000002018004880811840828 +:108E100001020810100009000805080000052100E3 +:108E2000020000000000000200008200000200803A +:108E3000008001001000000000008200820002217A +:108E4000000082200010000980110001000880004D +:108E500010010000000000000000000021000000E0 +:108E600008080000000100000000000000010000F0 +:108E70000000000000A109A0822000000000000006 +:108E8000000000010000000100000840A0040000F4 +:108E9000030020000000000040A0000000000800C7 +:108EA00090008000000008000000080000000000A2 +:108EB000002000200400100000000000000000005E +:108EC00000000000000100000120900500030111D6 +:108ED0000020840508218203000208040440C00425 +:108EE000000020050221029011000820202121000D +:108EF0000800200020200200030000200080004025 +:108F0000000801102104202000009000C00500038B +:108F1000002108110810C10908040205A040080535 +:108F2000A085000400040088000400000020040064 +:108F300000002100C005000020008800002008007B +:108F400000009005200083A1002004010000000023 +:108F500020000000000000000000000100000001EF +:108F600000080000800008000004048200000000E7 +:108F7000200000000000000000008400000000103D +:108F800000000001200020000000000000000000A0 +:108F9000000000000000000020000401800000002C +:108FA00000000004000000000005000000000000B8 +:108FB000000041000400000000000000000000006C +:108FC0000000000000000000040200000201900404 +:108FD000208290911085A041004010030809029062 +:108FE0000000000100C100900001020300C0A09039 +:108FF0000802000000C000900200000000C0A000B5 +:109000000400080088C100030101C01000000288AC +:1090100084010090040288C00482000890080001C6 +:1090200089008200000088410510C0110001000085 +:1090300000000000000000C001900000000001C01E +:109040008590880000008802000210020002801152 +:10905000C08009C080891011802000908088001095 +:10906000808500050010008200C100118090008002 +:1090700080808080000000802080800000000480CC +:10908000C0000000800000910003041100090000EE +:1090900080000008094000850080808000000000FA +:1090A00040099003830800050041898380820008FD +:1090B00040000000410000004080108000000000DF +:1090C000408021800000000080000300008000003C +:1090D0002000C100C091C189880090800300080071 +:1090E00041002102839188901180000083208585B2 +:1090F0004100200085918384834105000510900084 +:109100009000108910028300008080101180C189B6 +:1091100000000091800300418003A0000910400975 +:10912000830090008000834180808300A01185052A +:10913000C1830000000000108000000080000000DB +:1091400080800080110003008910028021008300CC +:10915000000001000400A089C1918900100483006F +:1091600084A0084001008483C19000C000008400F6 +:10917000C090000001008403C191040100000001BF +:10918000C188110000040020C1081010100001085F +:10919000C1890000000808000001C0011100080199 +:1091A0000010C12089A004088400C0904120100153 +:1091B0001001C19100000000000190000000820039 +:1091C0000000C090000800000100C00000884100BD +:1091D0000400400020088991030801054100008433 +:1091E000C089C00800000000029001C0000000001B +:1091F000009100C000000003009100C10404880039 +:10920000009100C0094000C0880101C0080201109F +:10921000000010C0000000A010008590108300081E +:109220000200841108C00005000101040001401083 +:109230000110910001030000000000000000000088 +:109240000000009000C100000000009000C140003C +:1092500000A0029089C180C0910285404091020225 +:10926000118300200080000040004080408000000A +:10927000050040A10080000000830084059180C0AB +:10928000004000A109C088200000000511C00000B6 +:1092900011804100028000000000C00002800091A7 +:1092A0000085000000A10080208905400883054159 +:1092B00080830890890311C1000000000000400075 +:1092C0000000002000A00091000500020082009133 +:1092D000800000A000800891C185C0A185800300A6 +:1092E000030020C1008020104080A0008200882060 +:1092F000A19182118980111180C0008000001105A8 +:10930000801180110080000588020841C10083207F +:10931000C1891180410009090000804041200900F5 +:10932000C0008291008000000011084008400480C5 +:10933000030085C0C041100005100040004000003F +:10934000000080030021000000008408118509004E +:109350001105208503C000000902C188408805C0AE +:10936000900200000085001000000140820009000A +:109370000501C1C1210102020101C0C000020000BB +:109380009100C0012001000240000400A0010490EF +:109390000400C1891182900200000000C001100089 +:1093A00091100900C0402020108800010100010830 +:1093B000018400001088102109C000010000000095 +:1093C00000000000C000000000009000C0A00002EB +:1093D000A000000001C0010800100000484200D0B9 +:1093E0000B000041004200D00B004200484200D078 +:1093F0000B000000484200D00B008100818100D0AA +:109400000B004200004200810B008100824100D02D +:109410000B004200500000D000000000000081005E +:1094200000D00B000082000000D000000000810A84 +:1094300000D00B020000488140D00B004121814246 +:1094400000D00B000000884200D00B000000888193 +:1094500000D00B000000008100D00B004200CC00C7 +:109460005600FFFFFFEF00000000F6F6F6F60000E2 +:109470001000990000000F00000000000000181804 +:1094800000000F000000C3000000C3000000000047 +:109490000400C3C30C0C6006000022220000000080 +:1094A000C0C000FFC3C3C3C360060000241800008F +:1094B000000000000000C3000000F0FF7F7F7C7C04 +:1094C00000000000000000003C3C0000FF00000025 +:1094D000F0F00000FF000000000000000FF09666B2 +:1094E000CC0056000000000000000000FFF00F005C +:1094F00000000000990000000F000000FF000000C5 +:10950000181800000F000000C3000000C300000096 +:1095100000C00400C3C30000900900001111000046 +:109520000000000000FFFFC3FFE790090000428138 +:109530000000000000000000C3000000F0007F7F7A +:109540007C7C00000000000000003C3C0000FF00AC +:109550000000F0F00000FF000000000000000FF02D +:1095600096660000000000000000000000000000FF +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E00000000000330033009090F000C3C3C3C3F9 +:1095F0000C6C9CFC00FF0000000000C3000000C3D6 +:1096000000000000000000F00000009900000000D1 +:109610000000C3C3FFFF000000003C3C00004224E8 +:109620000000050500004242020200000F0F00008A +:10963000A00500004444000000000000FF00F0000E +:10964000FF0030F00F6F000000000000FFFF00007F +:1096500000FF00000F0F000000FFFFFF99990000BE +:109660000020006606660F000F006060F000C3C3B4 +:10967000D2C3FCFCFCFC00FF0000000000C30000A3 +:1096800000C3000000FF000000F00000009900008F +:1096900000FF00000000000000000000C3C3000045 +:1096A00081180000A0A00000424202020000F0F079 +:1096B0000000A00500008888000000002020FF00B6 +:1096C00000000F0030000F6F000000000000FFFFDF +:1096D000000000FF00000F0F000000FFFFFF99993E +:1096E00000000000666666661040002980010008E0 +:1096F00005650A2A051D00A0A06103268C0592C0FD +:1097000003E303248C09B2C003E303268C0192C057 +:1097100003E303A60C0DC2C00561342EC59912A641 +:1097200000C2000180058A000000A06100078009D6 +:10973000160600E3000600098A0005610A2E8098DB +:10974000200500200028800000080061003F800FF5 +:1097500030C003E3033E8C09B2C003E3033E8C092F +:10976000A2000061002E004920003300F300002019 +:1097700000DF3C00000000300000000000FF00009F +:10978000000050705050FF00FF003C3C3C3C000586 +:10979000F5F500000000000000000C0330C055FF8C +:1097A0005555FE01FEFF000000000000000054546B +:1097B000FFFF00000000FFFF3C003C00103050F0B5 +:1097C000F2F2C3FF40000000F3F382BE000000008D +:1097D0000000000000000000000000FF24008100E5 +:1097E0000808FF0F144128825050555533000C00D3 +:1097F000000000FF3C00000000300000008000FF7F +:109800000000000050505050FF00FF00000000001A +:109810000005F5F500000000000000040C0330C056 +:1098200055D75555FE010100000000000000000062 +:109830005054FFFF00000000FFFF3C003C001030D0 +:1098400050F0F2F200FF00000000303082BE000055 +:109850000000000000000000000000F0FFFF0024F6 +:1098600000810000FF0F14412882000055550B0BAA +:10987000040400CF00CCC3FF40FF00000020448858 +:109880000000BC3C000000000080D700D7000000B2 +:109890000000003C003C000000000000000100004F +:1098A000000000001122FEFF0100FFAA55AA0000DF +:1098B0000000BFAB00000000000000007D7D7D7D4A +:1098C00000000000003C00003C55F0F0FF3CAAF016 +:1098D0000000000000000000000000000000000088 +:1098E00000000000FFF0AAA00000000020802080FF +:1098F0000F0F0000003000CCC3FF40FF000000202D +:10990000000044883C3C0000AAAAAAAAFF00550017 +:1099100000000000282C28FC0000000000000001CE +:109920000000000000001122FFFF0000005555AAB2 +:109930000000000097830000000000000000555563 +:10994000550580000000003C00003C550000003C34 +:10995000AAF000000000000000000000000000006D +:10996000000000000000FFF00000000000001040B8 +:109970001040000000000000000000000000200077 +:10998000000084008400200000000000000084002B +:10999000000000008802000000000000000020001D +:1099A00000002000200000008400000000000000F3 +:1099B000000200000000840000000000000084009D +:1099C0002000000000000000000000000000000077 +:1099D000000000000000840000008400000000007F +:1099E0002000000000000000000000000000000057 +:1099F00000000000100020000000000000004000F7 +:109A00000002000000000000000400000000000050 +:109A100000840000000000000000000000000000C2 +:109A20000000000000000000050000000000000031 +:109A30000000009000002100200000000000000055 +:109A40001000000000000000000000000000000006 +:109A500000000000100000000000000000000000F6 +:109A600000000000000000000000000000000000F6 +:109A700000000000000020000000000000000000C6 +:109A800000000000000000000000000000000000D6 +:109A900090000000000000000000000090000000A6 +:109AA0000000000000000000000000009000000026 +:109AB0009000000000000000000000000000000016 +:109AC000000000090000000000000000000000008D +:109AD000000000020000000090029000000008005A +:109AE00000000000000000000000000000008400F2 +:109AF0000000000000000000000400000000000062 +:109B00000000000000000000000000000500000848 +:109B10000000050000080000050005000500000029 +:109B2000000000000000000200000000000000082B +:109B30000000000000880000000011000800000084 +:109B40000000000000000000000000000000000015 +:109B500000080000000000000000000000020000FB +:109B6000008800000000000000000000000000006D +:109B7000008800000000000000020000000000401B +:109B800000000000000004000000000000000000D1 +:109B900000000000000000000000000004000000C1 +:109BA000000000000000044104000000000000006C +:109BB00000000000000000000000000000000400A1 +:109BC0000000000004000000000000000000000091 +:109BD0000400000000000000000000000000000081 +:109BE0000000002000000000000000000000000055 +:109BF0000000000000000000000000000000040061 +:109C0000048408000400000003000020002000C0BD +:109C1000080000200000000000A00000000021005B +:109C2000000000C003000000080000004000000029 +:109C300008000000002000C008000004000021C04F +:109C4000001004C004C00320008200040084080047 +:109C500008822182000000000000000000000000D7 +:109C6000049004000000002000000000002000001C +:109C7000030000000000000003000084000000005A +:109C800000000000000000000000000000000000D4 +:109C900008000000000088000041000000000000F3 +:109CA00000000000000000000000000000000000B4 +:109CB0000000080000099000000000000000000003 +:109CC0000000000000000000000090000000000004 +:109CD0000000000000000000000000000000000084 +:109CE0000000080000000000000008000000000064 +:109CF00000000000000000000000900000000000D4 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000009000000000000000000000000073 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000011000000000000C2 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC000000000000000040000000000000000008F +:109DD0000000000000200000000000000020002023 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E0000000000000320000000200000008400008B +:109E10000000210800900000040004C000000000C1 +:109E20000800000000000000000000000000210009 +:109E30002184218400000084400004C0000000044C +:109E400002000000000000000208031000084100AA +:109E5000002040000420110000C000C008100004D1 +:109E60000390058400080000210000002100040088 +:109E700000000000000000000000000021840084B9 +:109E80000000000000000000000000099000000039 +:109E90000000004100000011000000000000000070 +:109EA000900000000000000088009000900000007A +:109EB000000000000000000000000002001104008B +:109EC0000009000000000000000000000000000089 +:109ED00000000000900000000000000000000021D1 +:109EE00000004000000088000000000000090000A1 +:109EF00000000000000090000000000000000000D2 +:109F0000000000000000001100020000000000003E +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000400000000000000000004000000000070 +:10A8E000000000000000000002000400040000005E +:10A8F0000000200000000000000000000000000038 +:10A900000011020004000000000000000000000030 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A9400040000000000000000000000000000000C7 +:10A9500000000000000000000000000000000000F7 +:10A960000000000000000000000000900090400087 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900002000000000000000000000000000000B5 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000004000000001083 +:10A9C00000000000000082000000040004000000FD +:10A9D0000000000000000000000002000200020071 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000004000000000053 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000400000032 +:10AA20000000000000000000000000000000000026 +:10AA300000000000000000000000000020000000F6 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000020000000000000000000000C4 +:10AA90000000200000000000000000000000000096 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000008200000014 +:10AAC0000000000040001000000000000000000036 +:10AAD0000000000000000000000000000000000076 +:10AAE00000000000000000008400840090000000CE +:10AAF0002000000000000000000000000000000036 +:10AB0000000090009000900088004000A00000002D +:10AB1000000000000000080000000000000000002D +:10AB2000000000000000000000000800000000001D +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000002100A4 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000002055 +:10ABE0000000002000000000000000000000000045 +:10ABF0000000000400200000000000000000000031 +:10AC00000040000000000000000000000000000400 +:10AC10000000000000400020004000000000000094 +:10AC20000000000000000000000000000000000024 +:10AC3000080000000000000000000000000000000C +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC90000040000000000000000000000000000074 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD400000000000000000000000000000004000C3 +:10AD500010000000000000000000000000000000E3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD80000000000000000000000000C00000000003 +:10AD900000000000000000000000000008000000AB +:10ADA000000000000800000000000000000000009B +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000110000000000000000000072 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE1000080000000000000000000000000000002A +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000020000000000000000000000D0 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000001100040000B3 +:10B8800000040000000000000000000000000000B4 +:10B8900000040000000000000000000000000000A4 +:10B8A0004000000000000000000000000000000058 +:10B8B0000000000000000000001000000000001068 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F00000000000009000000000000000000000B8 +:10B9000000000000000000900000000000000000A7 +:10B9100000000090009000040004000400040000F7 +:10B920000000000000000000009000000000000087 +:10B930000000000000000004000000000000000003 +:10B9400000000000000000000000000000000000F7 +:10B9500000000040000000000000000000000000A7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000200000095 +:10B9B000000000000000000000000000000900007E +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000200000000000000000000000300000031 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA300000000000000000000000000000001100F5 +:10BA400000000000000004000000000000000000F2 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000002000000400000000000000054 +:10BAB0000200000000000200000000000040000042 +:10BAC00000008800100000000000000000000000DE +:10BAD000C0000000000000000000000000000000A6 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000004000000000000000042 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000200020000000000000000000000000011 +:10BB30000000000000000000008200000000000083 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB90000000000000000000000000400020000045 +:10BBA000000000200000000000200020002000090C +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000200000000055 +:10BBD00000000000000000000040000000000040E5 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000040000034 +:10BCD000000008000000000000000000000000005C +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000A00000000000000000000063 +:10BD4000000000000000000000000004004000406F +:10BD500000000000000010000000000000000000D3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE1000000000000000000000000000000400001E +:10BE20000000000000000000000000000000000012 +:10BE300000000000000000002000000000100000D2 +:10BE4000000000000000000000000000000000C032 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000200000000000000069 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000002000000000016 +:10C8200000000000000000000000410000000000C7 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C850000000000000000000410000000082000015 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000002000000F5 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C980000000000000000040000000000000000067 +:10C99000000000000000000800000000000000008F +:10C9A0000000000000000000000000000000000087 +:10C9B00000000000000000000000000000008400F3 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E000000000000000000000000000900088002F +:10C9F0000000000000000000000000000000000037 +:10CA00000000000090000000000000000000000096 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC00000000000000084000000000000000000E2 +:10CAD00000000040020002000200020000400000CE +:10CAE0000000000000000000000000000000020044 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000040000000000000000000000000001 +:10CB300000000000020000000000000000000000F3 +:10CB40000240020000000000020002000000040099 +:10CB500000000800080008000000000000000000BD +:10CB600000000000410000000540004000080000F7 +:10CB700000040000020000000000000000000000AF +:10CB8000000003400200000002002000000000003E +:10CB9000000000040000000000000000020000008F +:10CBA0000040000002000000000000000000000043 +:10CBB0000000004000000000000000000000000035 +:10CBC0000000000000000000000020000000000045 +:10CBD000000000000000000008000000000000004D +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC8000000000000000090000000000000000009B +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000200F1 +:10CD400000000000000000000200000000000000E1 +:10CD50000000000000004100000009000000000089 +:10CD600003002000050020000000004000000340F8 +:10CD70000300038803400204020002900000000048 +:10CD80000000000020000008000000000940000032 +:10CD90000000000000000200020402002000022047 +:10CDA0000000000000000000000000000000000083 +:10CDB000000000000000000000000200030000006E +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D450000000000000000000000000800480008048 +:10D4600000800000008000800080000000800080BC +:10D470002080000000000000040020042080200420 +:10D480002000080000800080008000004000002094 +:10D490002000008400040008018800002080208013 +:10D4A0002080218000800084008000840080008033 +:10D4B00000000008000000000005000504002080B6 +:10D4C00020002080000000000000400088000000D4 +:10D4D0000000000000002000200020000004800860 +:10D4E00000040004000000040004000400A0000484 +:10D4F00000040004000000000000000080C180045F +:10D50000C101800080000020002000200000800079 +:10D5100000090000000900010001040500030020CB +:10D5200000200020840400040021000400030020E7 +:10D530000040410000010084008400C0000080849D +:10D540000008000000040084000000008084000047 +:10D550000000000000000000800080008008000043 +:10D5600000000000000000000005000001000000B5 +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000800000000000001B +:10D59000010000000000000000000000000000008A +:10D5A000000000000000000000000000000000007B +:10D5B00000000000008000000000000200000000E9 +:10D5C000000000800000008000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000008000004002F +:10D5F00000000000000000000000004000000000EB +:10D6000000000000000000000000004000000000DA +:10D6100000001000000000000000000000002000DA +:10D6200000020000000000000000000000000000F8 +:10D6300000000000000000040000000000010000E5 +:10D64000000000840008000000040000000000004A +:10D6500000000000000000000000000000000000CA +:10D660000000000020000000010020210121002115 +:10D670000000100400000000000300A002200020B1 +:10D680000000000000000000200004000100000075 +:10D6900000000000000004000000000000802040A6 +:10D6A0000004000000000000000000000021000055 +:10D6B0000400000000000000000000000005000061 +:10D6C000000000040003000000000000000400044B +:10D6D0000004000400080000000000000021000019 +:10D6E0000000002100008000000010008000040005 +:10D6F0000000000080010000000000000021800107 +:10D7000000010800000000000000A10080001000DF +:10D710000000000000000000800000000000000881 +:10D720008001000100000000000000000000000077 +:10D730000000800000000000000000000000000069 +:10D7400000000000000100000000000000000001D7 +:10D7500000010001000100000000000000000000C6 +:10D76000000000000000000020000400040004008D +:10D7700010000500088005000400822083000000DE +:10D7800040000000000040009000400040001000F9 +:10D790002100000001000000000001000200000064 +:10D7A000880000009180000000000000000082005E +:10D7B00020802000210089002000010000000000DE +:10D7C000000004000000000008000000000000004D +:10D7D00001000000000000000000000000800000C8 +:10D7E0004000200000000000000080008000800059 +:10D7F00080008000A0000004900080000001040070 +:10D800000000000000000000000000000000000018 +:10D8100080008400000004000000410004000000BB +:10D820000000114000002004000000000000000083 +:10D830000800802080008400200000000800000014 +:10D8400000000000000000000000000000000000D8 +:10D850000040040000000000000000000000000480 +:10D860000000800080000000000800210000C000CF +:10D87000902100000000A00082000000A000000431 +:10D880000000820004050840A0000000080000001D +:10D89000000010008200202020000000C0000008CE +:10D8A0002000001020000184002000000000A008DB +:10D8B00000000005090082000000820000000080D6 +:10D8C0000000080000000000000020000000000030 +:10D8D0000000000000000000000000080000000040 +:10D8E00000000000400000000000000000000000F8 +:10D8F0000000000000000000000000000000000028 +:10D900000001000000008000000100000000000095 +:10D910000000000080000000800180000000000086 +:10D9200000008000000180000211000100000000E2 +:10D9300000000000000004000000000000000000E3 +:10D940000004000000000000000000008000000053 +:10D9500000000000000000000000000000000000C7 +:10D96000000000000000800000000000000020C057 +:10D97000000582009121A000080582000000080037 +:10D98000C00500030400C0004040400882000000C1 +:10D9900020042000000000022020A00090000004CD +:10D9A0008900C00002040005A010000300850000EB +:10D9B0000008A00500000008000000090000002188 +:10D9C000000008000000C00000000002000000008D +:10D9D00002410220000400000000000000000000DE +:10D9E00000000000000200409021000020002021E3 +:10D9F0008020000000001000000000000000000077 +:10DA00000000000000008000000000000001000095 +:10DA10000000000000000200000080000000000084 +:10DA200000001000000000000000000000000004E2 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA60000000000000000000000000000000800036 +:10DA7000800000C101900040000100C0009000043F +:10DA8000000001C041902000000140040090008887 +:10DA90000000828200040040024000008900000073 +:10DAA0000000010311840084A021109088C101822C +:10DAB000A004000300C001900000000000C090908E +:10DAC000000000000000000101000000A0C0008272 +:10DAD0000008A00100C001900000000000C00190FB +:10DAE0000100000000C000900100000001C0009093 +:10DAF00000010000009109840305000900800080F6 +:10DB000009800000119182A103050008858000852D +:10DB10004080000080000080050080001100890026 +:10DB200002000000048889001103004082808010F8 +:10DB30000980008000000080208000000000038039 +:10DB400080804100000000030002020300400090BA +:10DB500000A0008300040080098000000000008015 +:10DB60000880020000000080008008000000208083 +:10DB700000890911000040000900910080890041DE +:10DB80000000800380801180C1030502C183000072 +:10DB900000410089800000050020002080004180B5 +:10DBA00010890311C183020005400380830800032C +:10DBB000401100058000000000008000000080800F +:10DBC0000008000080088080000000000003800939 +:10DBD0000200050210008520000080000000808007 +:10DBE00000058000000980800900A00000048980F1 +:10DBF0000080A00011008989000001008540C091CB +:10DC0000000100008400C090001002000001C1C1AA +:10DC1000200008000041C000400040010890C00002 +:10DC2000004090210105C109C0049000A004C0017A +:10DC300000000000000090000000000082000000D2 +:10DC4000C090000100008401C0A00000000020007E +:10DC5000C0019100A0004102C0020000820000004B +:10DC6000C090000082000000C09000008200000010 +:10DC7000C090000883000100C09000000140904166 +:10DC800001C100008840900400C002020100019020 +:10DC900000C1400400009090000008A02000008215 +:10DCA00002C0010000008801010140A001A1C041A3 +:10DCB00083C1A04082A0844103C100000001000094 +:10DCC000009101C10000C000009000C100000000F0 +:10DCD00001000000C0400140840103C000000000BA +:10DCE000009101C100000401009000C0000000018B +:10DCF000009000C000000001019001C000401180B0 +:10DD0000800511C10003008080C00580808511401E +:10DD1000028500918080000080800000800000006B +:10DD20000000858008100000000511410000030379 +:10DD3000802111C100C0000080888941000000409E +:10DD40000004008511820000800000800091020024 +:10DD500020002000000000414108A02111C0000265 +:10DD60000003008511C100028010008009820009B3 +:10DD7000001000A000890011000305A01182C1114C +:10DD80009100050020118011801180800000899190 +:10DD9000910005000000804080210000800080008C +:10DDA000008000000000898991050500821109A109 +:10DDB000214009008941800903058000800000009E +:10DDC0008011800500000000801180050000000027 +:10DDD0004121110041000000A109C00008001010FD +:10DDE00080040000000000008040000000000000EF +:10DDF0008011800000000011A1919100200002021A +:10DE0000C101214000000101C00120010404000003 +:10DE1000C189210000880000C0004000A00002006D +:10DE20000200A00284088200898891840500100104 +:10DE3000C003200540900400080100001000080005 +:10DE40000000C001200000000000C0012000000010 +:10DE500000000101010400000000C10809A0000049 +:10DE600040019000000000000000C0000000000021 +:10DE70008800C001200000000001C1C12100000095 +:10DE80000000484200D00B000000004200600B0080 +:10DE90008100484200D00B000012000A00000B0075 +:10DEA0000000480000D000000000810000D0060003 +:10DEB0000000884200D00B000011884200D00B0007 +:10DEC00000000000004200D00B000000500A00D00B +:10DED0000B008200488200D006000000810000D0C4 +:10DEE00000004100810000D083000000810000D0CC +:10DEF00083000000820000D083000000880000D072 +:10DF0000830084009900000000F000000F00000072 +:10DF100000F0000000000000C300000044600000AA +:10DF200018241824204004022400FFFF204004028B +:10DF300000000000201004083000FFFF0000000077 +:10DF4000280000000000FF000000FF000000FF00AC +:10DF50000000FF0000000000000000000000241886 +:10DF6000000028280000FFFF0000FF0000003C3CEC +:10DF70000000FF000000FF000000FF000000FF00A5 +:10DF80000000FF0000009900000000F000000F00FA +:10DF9000000000F00000FF000000C300000060600F +:10DFA000666618241824108008010024FFFF1080E2 +:10DFB000080100000000408002010030FFFFFFFF69 +:10DFC000CFCF002800000000FF000000FF0000008D +:10DFD000FF000000FF000000000000000000000043 +:10DFE0004281000041410000FFFF0000FF000000EF +:10DFF0003C3C0000FF000000FF000000FF000000AC +:10E00000FF000000FF000000000000000000000012 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E0800000000000000000000000000000F00000A0 +:10E090000099000000F000000000000000000000F7 +:10E0A000F0F000000FF0FFFFCCCC40022004FFFF97 +:10E0B000800000000000FFFF969610200804FFFF7C +:10E0C0000000000088440000FFFF0000000000FF87 +:10E0D00000000F0F000000FFFFFFFF000000000026 +:10E0E00000009966000060060000A005000000FF27 +:10E0F00000000F0F000000FF00000F0F000000FFE6 +:10E1000000000F0F000000FF00000F0F000000F0E4 +:10E1100000000099000000F0000000FF000000FF78 +:10E1200000000000000000FFFFFFCCCC80011008C1 +:10E13000FFFF000000000000FFFF969680400102F4 +:10E14000FFFF0080884400000000FFFF0000000087 +:10E1500000FF00000F0F000000FFFFFFFF000000A6 +:10E16000000000009966000090090000A005000072 +:10E1700000FF00000F0F000000FF00000F0F000065 +:10E1800000FF00000F0F000000FF00000F0F03C38F +:10E1900003218C0092C003E303270C0D92C003E21D +:10E1A00003218C01C2C01061002E000D1206006117 +:10E1B0000007800D820005600A0D850012A600612F +:10E1C000003F850882008000403F800810C00000AA +:10E1D00003E3033E4C0992C003E3033FCC098200F2 +:10E1E00005410025800D120600E300068009AA0003 +:10E1F000036303068C0892C003E30307CC0D92C0AF +:10E2000003E303078C0192C003E303058C0192C072 +:10E21000030C030F3C003C00000000003C00000029 +:10E220000A050F00002000DF0000000000000000D1 +:10E230000000000000000000FE01FF00FF3CC33CA6 +:10E24000AA00A200FF0FF00F0000FF003C3CFF00FF +:10E25000000000000000C3C3C3C300000000FFFFB4 +:10E260000000F00FF0FF00000000FF3FFFFF3CFF49 +:10E270000C0C00000000C3FF0FCF00000000F3F300 +:10E28000000000000000C0FC000055AAFF000CCFF9 +:10E290000C0C030C0C00003C3C00000000003C0097 +:10E2A00000000F000F00000000FF00000000000051 +:10E2B00000000000000000000000FF00FF0000C39D +:10E2C000C33C5500510000F0F00F0000FF003C3C43 +:10E2D000FF00000000000000C3FFC3CF00000000EB +:10E2E000FFFF0000F00F0F0000000000FFFFFFFF26 +:10E2F0003CFF0C0C0000000000FF0F0F00000000AE +:10E300003030000000000000C0FC000003030303E5 +:10E310000CCF0C0C0B0F0400201C201C0000000074 +:10E32000000000000B040F0000CF00CC000000FF35 +:10E3300000000000000000000000000000000000DD +:10E34000FFF73C3644448888000000800000FF004E +:10E35000F0F00000000000000000000000000000DD +:10E3600000000000000000000000000000000000AD +:10E3700000000000802000000000000000000000FD +:10E3800000000000000000000000B2B20000000029 +:10E390000000FAFA00FF0B0F0400201C201C0000F4 +:10E3A0000000000000000F000F00003000CC000053 +:10E3B00000FF00000000000000000000000000005E +:10E3C000000000083C36444488880000000000003B +:10E3D000FF00F0F00000000000000000000000005E +:10E3E0000000000000000000040000000000000029 +:10E3F000000000800000401000000000000000004D +:10E40000000000000000000000000000B2B20000A8 +:10E4100000F000F0FAFAFF00000000000000200009 +:10E4200002000000000020000000000000000000CA +:10E4300002000200000004000000000000002000B4 +:10E4400002000200020020000000000000000000A6 +:10E4500020008400000020000000000000000000F8 +:10E4600002002000200020008400000000000000C6 +:10E4700000002000880000000000000000000000F4 +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000800000000000000080000000000006A +:10E6A0000000000000000000000000000000210049 +:10E6B00000002100000000000000000041004100B7 +:10E6C0000000000000000000000000000000030047 +:10E6D00003000084000000000000000000000000B3 +:10E6E0000000000000000000000000000000210009 +:10E6F000030000000000410041000900000000008C +:10E70000000000C000000000000000A000000800A1 +:10E7100008000000000000000300210000000800C5 +:10E720000000000000008800000000000000000061 +:10E730000000000000008200000000000000000057 +:10E74000A000200000000000900000000000000079 +:10E7500000000000000000000000000000000800B1 +:10E760004000000000000000000000000000000069 +:10E770008200000000000000000000000000000017 +:10E7800000000000000000000000C00000000000C9 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000008000800C8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E87000080000000000C0000800000000000000C8 +:10E880000000000000000000080000000000000080 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B00000C0000000000084000000840000000090 +:10E8C00000840000000000000000000003000000C1 +:10E8D00000000800008400000084210000C0030044 +:10E8E00000C02100000000000084000000C0000003 +:10E8F0000000000000000000008400000000000094 +:10E900000090000000000800000021A000000000AE +:10E91000000008000884000000C000002100000082 +:10E92000000000C000000000000000000000000027 +:10E930009000000002000000000000000000000045 +:10E9400002020000000200000000000200000000BF +:10E950000000000020000000000000009000000205 +:10E960000000000200110000000000000000000094 +:10E970004000000000020000000000000000000055 +:10E980000000000240000000000000000000000045 +:10E99000000000000000020000000000080000026B +:10E9A000000000000000080000000000000000005F +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA000000000000000000000000400000000000C6 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F360000000100800000000000000000000000085 +:10F37000000000000000000000000000000000008D +:10F38000000020000000000000000000000000005D +:10F39000020000000000000000000000000000006B +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000008001000000000000000000009C +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000010000000000006B +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F570000000000000008200000000000000000009 +:10F580008200A00000000000000000000000000059 +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000100000000000004B +:10F5B000000000000000000082000800820000003F +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F660000000000000000000000004000000000096 +:10F67000000000002000000000000000000000006A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000A0000000BA +:10F6B0000400000000000000000000000000000046 +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000005000000000000000064 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000008000000000000000000000041 +:10F7C000000000000000000000030000000000082E +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F830000000000000000000000000004100000087 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000400000000000000000074 +:10F890000000000000000000000000000000000068 +:10F8A0000000002100000000000000000400000033 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000001155 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000004100000000000005 +:10FAC0000000000000000000000000000000000036 +:10FAD0000011000000000000000000000000000015 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000100000000C4 +:10FB4000000000000000000000000000A000000015 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000001300000000000000000000000060 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000010000000000000000000071 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000020000000000EF +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF8000000000000000000010020000000000005F +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040002F8 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:10013000000000000000000080000000000000003F +:1001400000000000000000000000000000000000AF +:10015000000080000000000000000000000000001F +:10016000000000000000000400008000000000000B +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000002000000000000000000003D +:1001C000000000000000000000000000000000022D +:1001D000000000000000000000000000000000001F +:1001E00000000000000000020000000040000000CD +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100008000000000000000001000000000000D5 +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000100000000000AE +:1002400000000000000000000000000000000000AE +:10025000000000001000000000000000000000008E +:1002600000000000000000000000000090000000FE +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6E +:1002A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:1002B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:1002C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E +:1002D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2E +:1002E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E +:1002F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0E +:10030000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD +:10031000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:10032000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10033000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10034000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10035000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10036000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10037000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8D +:10038000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D +:10039000FFFFFFFF00000000000000000000000061 +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D00000000000000092000000900800000000F3 +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:100450000000000000000000000200000002000098 +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A00000000000000000000000000010004000FB +:1005B000C00000002000000000000000000000005B +:1005C000000000000000000000000000200000000B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:10061000000040000000000000000000400000005A +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:100650000000000000000000000000000000000298 +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000100000000000000000000000000E8 +:100710000000000000004000000000000000000099 +:1007200000000000000000000008000000000000C1 +:10073000000000000000000000840000000800002D +:10074000000800000000000000820000000000001F +:1007500000000000000000000000200000820000F7 +:1007600000080000000000000000008200000000FF +:100770000000000000000000000000000000000079 +:100780000000000820000000000000000000000041 +:100790000000000000000000000000000000000059 +:1007A0000000000800000000000000000000000041 +:1007B00000000000000000000009000090000000A0 +:1007C000000000000000840000000008000000009D +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000030003D2 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:100920008400000000000000000000000000000043 +:1009300000000000000000000000000000001000A7 +:1009400005000000000000000000000000000000A2 +:10095000000000004100000010000000080000003E +:100960000500000000000000000000000000000082 +:10097000000000000000000000000000080000006F +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000001000000000000000000037 +:1009B0000000000000000000000000040000000033 +:1009C0000000900000000000000000000000000097 +:1009D0008400000000000000000000000000000093 +:1009E0000000000000000000000000000000000007 +:1009F00000000000040000090000001100000000D9 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A2000001100000011000000000008000000009C +:100A300000000000000000000000000000000000B6 +:100A40000000000000000090000000000000000016 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000284 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000082000000A0000000000000000093 +:100B40002000000000000000000000000000410044 +:100B500020880504050000000500000010000000CA +:100B60000500000000000800000000001000000068 +:100B70002000000000000082000010820000000041 +:100B80004100000000000000000000000000000024 +:100B900000000000000000000000000000820000D3 +:100BA0001082100000000000200000000000000083 +:100BB0000000050000000000000000000000000030 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000002000000000000000000000041 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000020000000000000000000000000000DF +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000200000000BF +:100F30000000000000000000000000000000004170 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000001000000000000000000000000000020 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:10102000000000000000008200000000D0008100ED +:10103000008100000000000000000000000000002F +:10104000000000000042000000000000000000005E +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000001000E180004E +:10113000000000000000140180000000000000001A +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:10123000400800000000000000000604000000005C +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B0000000000000000000000000000000000429 +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:10143000000000000000008000000000000000002C +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000101000000002A +:1014C000000000808000000000000000000000001C +:1014D00000000000000000002000040004000000E4 +:1014E000400040000000A00000000000000040009C +:1014F0002000000040002000C000A0000000A0006C +:1015000000000200000000000000000000000000D9 +:1015100020002000400020000000400000000000EB +:101520002000020002000000000000000000000097 +:1015300000008800000004000400400000000000DB +:101540000000200000002000020008002000000031 +:1015500040002000400020000400000080008000C7 +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:1015800000000000800000000000000000000000DB +:1015900000000000800080008000000300000000C8 +:1015A000000000008000800000000000000000003B +:1015B000000000000000000080008000000000002B +:1015C000000000000000000080008000800080001B +:1015D0000000800000008000000080002000040067 +:1015E00005000584090041080404040001002000EE +:1015F00021081008010021000004010000080480F7 +:101600000002008000800084010000020080400091 +:1016100000902040010001000000210000001000A7 +:101620002100050000001000000009040100100066 +:101630001040200020002000000001000800008071 +:101640000000000001000008010010020108010074 +:1016500000030100200000000400010020008000C1 +:101660009002840084218800880080018000100896 +:10167000A0008408A000040004000009400020012C +:1016800089020001090400400011400040010020CF +:10169000800000038085200040000008400000001A +:1016A0000000C000C000000080000084820102042D +:1016B0008000800180848084000020000890A08445 +:1016C000004000000000020800904000800340003D +:1016D0002000000020008000000080000400800046 +:1016E00000000000000400040008001000002100B9 +:1016F00000200020002000020120012000024120E3 +:1017000002000000000001000000001100100100B4 +:101710000005000900080000002100210000200051 +:10172000000000030000000300080002000400089D +:1017300000A10002000000400000000000090000BD +:101740000010000000000010000400040000000071 +:10175000010800020000201100000000000000004D +:101760000000000000000000000000000001080070 +:10177000A0000001000100010001040104010301B7 +:10178000C0018000000000002000000000000001F7 +:101790002008000000000000000000000000000021 +:1017A0008000000000000040000000000001000177 +:1017B0000000001000000000000000000000000019 +:1017C0000000000100000000000100010000000016 +:1017D0000000080000000000800010000000000071 +:1017E0000000000000000588010001880000008062 +:1017F000050005201120042004200420042004807A +:1018000004000480000009200800A14000840804AE +:1018100020840984000000404021080090058200D7 +:101820000100100000000120008000200020048042 +:10183000110800200180C004000400000000040022 +:1018400000000180850000000000000004802000EE +:1018500084001000200084080000040004800400BC +:101860000100000082000000820440000804000023 +:1018700000109000A001A0018301A101800180015E +:10188000802080008004000002010000040108059F +:10189000000180110809000000018000000800001C +:1018A0000000200005000000040100040001000108 +:1018B0008002040100010404410100010000000055 +:1018C00080000000021002000000000000008020E4 +:1018D0008000000080008000000100008000800483 +:1018E00083001000000008004020000400210011C7 +:1018F00000084003822000200083200004000480B0 +:10190000A1200800000000021000A000A0040000B8 +:1019100004000440820000004021042084210004CF +:101920000020900540000008000008020804A021E3 +:1019300000040008088421000008A0002020900076 +:1019400004000000000008204000080020200404DB +:1019500040038200A0051002900200000511401112 +:10196000824000210000C021A0058000000100008D +:1019700000000000800000010001000280008000E3 +:1019800080040401000000000001000000000000CD +:101990000000000000010000000000000000000046 +:1019A0000001000000000000000000000000000135 +:1019B000000000010000000920000001000080017B +:1019C0000000000000000000800000000000800017 +:1019D0000082000000000000000100000000A000E4 +:1019E000000000000000000000000300000404806C +:1019F000008400A101210021208500A1040004052C +:101A0000040510804000C021C0208200000900A110 +:101A10000500900210001008A00982880003100041 +:101A2000080810A100090080080800000000000458 +:101A300010A0908400A010080021000000000040C9 +:101A40000200002000000000000008002000000844 +:101A5000C00510210800820008050182000801006D +:101A6000842100001000C0050021840382210001B0 +:101A70008002002100024000000080040004800079 +:101A8000830080008020800008000001000000002A +:101A900008208400000100000082210000410000B5 +:101AA00003008082000400000002008500000000A6 +:101AB0000001802100210005000100000008000055 +:101AC0000001800000010000000000000000800014 +:101AD00000000000000000000000000040050000C1 +:101AE00040000000000000000000000000000000B6 +:101AF00088A040910202C08800A10000A010000050 +:101B000001C140902001000100C1049120010101A8 +:101B100088C0009009020810A1C120820110208312 +:101B2000000240820091008200C0002010001003DB +:101B3000000300000000200000000000010100017F +:101B40001000898989910001000000200090910215 +:101B50001010009021409190900900210003000294 +:101B600000880009009088000040000801900002F1 +:101B70000000008311C01185004100C10900000967 +:101B80000002119003A11011001100C08091020306 +:101B90001102008840C0904080C00991A0820811C5 +:101BA00000890085800500080083038000059041BE +:101BB00080900000000000000800000000400909BB +:101BC00005118000909189891011002100000010FA +:101BD00090880088000210908303801011410083D8 +:101BE00000A00005208805881041001000800880B2 +:101BF0004080000089C0090809039111100041804C +:101C000091118380000800008003808004802140BF +:101C10009002899120800500A000C1858380030087 +:101C2000901183090800000280A180021041830006 +:101C3000902085C000000203210008100208C000A7 +:101C400009109102C109808009001111410311831B +:101C500008A0880008800080118083051000100013 +:101C6000088005402180914000050083808080002D +:101C7000808000200091100800000291C0900000B8 +:101C800000408401C090000000008401C090010267 +:101C900001018501C091890203008500C1900840BF +:101CA00010000900C0110120209104A1C001A02151 +:101CB00010008541C0080002002001C00101008819 +:101CC00002882109A100C141024001000101030174 +:101CD00082900110A0000002409000C0A00001000E +:101CE000000000200001010209010000000890909E +:101CF0000802829000010090882004020891840864 +:101D0000000000011091900000010800009100C047 +:101D100000010000009101C020908940100289C09C +:101D2000001001010000A008090300400041000468 +:101D300010088808A08883C0000000002001C000AF +:101D4000010120080140020901C1400001009091F9 +:101D500001C102039010410810100200000011019F +:101D6000200910010100010002010008A10091906A +:101D7000014001080002909000010002A08210A121 +:101D8000C01100201108008888100008110009887F +:101D900020800010030805A1109180408485A120B7 +:101DA000108540A011104120918041901100102118 +:101DB0004080008000C18802089100000900800373 +:101DC0000040114103C00300C18511C18000030020 +:101DD000C18511C1881080088888800880000003B0 +:101DE00005080590801020082011C0100085080902 +:101DF00090820811118000C080800041900009008D +:101E000009918909000080118200080380110021D6 +:101E10001000001089A040204111050005A121C03B +:101E20000300104041021180411111858321882156 +:101E3000094003028300021105801010000002080F +:101E40001120400008004189110500000011098996 +:101E500011000000111189C0080508000800088859 +:101E60000300100008031011082110000311802046 +:101E700080218000800091118985A000200002103F +:101E8000200088A184008800040084000100C000B4 +:101E9000000000000800C0050001000100000083F0 +:101EA0000041000201000140018800080002850194 +:101EB000821020908900C1902101200809000000B3 +:101EC00000000001000000000189019000100001E5 +:101ED0000189010000000101C02000000000010094 +:101EE000014000000100010001100100000001009C +:101EF000100010000240080008010202400000002B +:101F0000848200D00B000000842100D00B00000070 +:101F1000418200D00B000000488200D00B0000007E +:101F2000414200D00B010000418200D00B000000B4 +:101F3000410A00D00B004800000000600000810052 +:101F40000000604200D00B000000820A00D00B00AD +:101F500000006041006082000000488202D00B0057 +:101F60000000488102D00B004200480000D0000071 +:101F70000000000600600B000000601100D00B00A4 +:101F80000000FF04FF24FFFFE7F00000000FFF0048 +:101F9000FF003C3C0000FF000000FFFF0000FF00CE +:101FA0000000000000006FFFC3C30000000099990B +:101FB00081812004E3C73300003322110000330085 +:101FC0000000000000000000000000000000FFFF13 +:101FD0000000F0F00000000000FF99660000FFFF25 +:101FE00000300000000000000000FFFF00000000C3 +:101FF0000000000004180000C33CFF000FF0F0F0E8 +:10200000CF30CF3000000000E7F0E7F00000000F15 +:10201000FF00FF003C3C0000FF000000000000004B +:10202000FF000000000000006000C3C300000000CB +:10203000999999990420C7E33300003322110000D5 +:10204000003300000000000000000000000000005D +:10205000000000C3F0F00000000000FF99660000DF +:102060000000000000000000000000000000000070 +:1020700000000000000046180000C33CFF000FF005 +:10208000F0F0CF30CF300000000000000000000072 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:102100000000000000000000FFFF3C3CC3C33CC3D4 +:1021100000009090000000FF000000FF000000FFA2 +:10212000000000FFFFFFFF000000626E9696187E21 +:102130009090C30000660000F0FC000C00006006F8 +:102140000000182400000033000000000000000020 +:102150000000FFFF00003C3C0F0000FFFF003C3C84 +:1021600000000000FFFF003C003C0F0F0F0F3CFF82 +:102170003CFF3DBDBDBD0000000000FF3CC33C3C3A +:10218000C3C300FF0FF02B2B2B2B3EFF3C3C3C3CF2 +:1021900000FF00009090000000FF000000FF000022 +:1021A00000FF000000FFFFFFFF00FFFF626EF0F086 +:1021B0003C3C9090C300FFFFFDFDFCF00C000000D4 +:1021C0009009000081420000330000000000000080 +:1021D00000000000000000003C3C0F6600FF00FF14 +:1021E0003C3C0000197FFFFF003C003C2FEFEFEF6D +:1021F0003CFF3CFF3CBCBCBC0000000000FF3CC3FB +:102200000000FFFF00FF0FF02B2B2B2B006100279E +:10221000800D00A50061002E8C0112C003C2032FA7 +:102220008C0D92C003E3032F8C0D82C00061502FF0 +:10223000809D000800415021801D00080571302656 +:102240008009120600E30007000D8A000000056106 +:102250000A2F850900A015402A3D854000A800608E +:1022600000219040000115653039850320A00065EC +:1022700030218013200000613002800F20000060B8 +:10228000032F0C0D10C00361032F8C0810C0FBFF3F +:1022900004000020FFDF30303030A2FFF7FF0000E5 +:1022A0000F0F280028000401080228280800480011 +:1022B0006C2402800820FB04FBFF3C0C3C0C04084F +:1022C00000003CFF3CFF0C00040005055500000029 +:1022D0000F0F0F0FAA000F0FAA55AAFFFFC33CC391 +:1022E000EB14FF00FF00FF005F5C505CAA0CAAFC2F +:1022F0003F553055FAFA0AFA000000F300003000AA +:10230000000000005F004300FF55AA0000000F0F0F +:10231000FFFF00000000FFFF30303030A2FFA2FFBF +:1023200000000F0FFF00FF00104020802828080049 +:102330001200938101400410FB040400FF0FFF0F03 +:10234000000004083CFF3CFF0000080005055500A4 +:10235000000014000000AA000F0FAA555500003C11 +:102360003CC3FF00FF0000FFFF005F5C505CAA0C55 +:10237000AAFC3F5530550A0A0AFA000000F3000093 +:102380003000000000005F5F43432D2D2D2DFFFF27 +:102390000F0FFF55FFD7000000201B1B6969FFFFCF +:1023A000C2FF04080102AAAA0000C3C3C369000057 +:1023B0000030000000003CCCAA9AFBFF04000000A3 +:1023C0000000069000001414141400000C300014D7 +:1023D00000BE0000000000000303C3000500000071 +:1023E000FFDF20DFFBFF0400FF5FA05FFFF3FFF3D1 +:1023F000FFFF3CF0FFF3FCF0AAF0AAFFF0FF82829F +:10240000D7D00000000000003C3C000000000000AD +:1024100000000000DF55DFD7000000001B1B6969CA +:10242000FFFFC2FF10204080AAAA00003C3C3CB63F +:1024300000003000008000003C3CAAAAFFFF000022 +:10244000000000010000069014141414000003C0E2 +:1024500000A000A00000000000000303C300000073 +:102460000000002020DFFFFF000000A0A05FCFC31E +:10247000CFC300003CF00F030C00AAF0AA0000003C +:102480008282FFF0000000000B003C3C00000000D6 +:102490000000000000000000000084000000200098 +:1024A0000000200020000000000090009000C0000C +:1024B00000008400400000004000A0000000200058 +:1024C00000000000200000009000A00000000000BC +:1024D000A000200020000000400020008400000038 +:1024E000040000000000C000000000002000840084 +:1024F0004000200000000000200088008400000050 +:10250000400000000000400000004000400040008B +:102510008800400040004000000021000900000049 +:1025200000000000000000000000000000000000AB +:102530000000090000001000000000000000000082 +:102540000000050000000000000000000000050081 +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:1025700000000000000020004000000000002000DB +:1025800000000000400000002000000000000000EB +:10259000210000000000000000000000000000001A +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D0008400000000000000000000000000000077 +:1025E00000000009040000000000200000000000BE +:1025F000900000000000000000000000000000004B +:102600000000840000000000000000000000000046 +:1026100000000000880000000000000000004000F2 +:10262000008400000000000000000008000000021C +:102630000000000400000000000000000000009006 +:1026400000000004000000000000008800000000FE +:10265000000000000000000000000000000000007A +:102660000000000000040000000000000000000066 +:1026700005000000000000000000000000880000CD +:102680000000000000000000000000000000410009 +:1026900000000000000000000088000000000000B2 +:1026A0000000000000000000000000000000020028 +:1026B0000000002100410000000000210000000097 +:1026C00000000003000000000000001100000000F6 +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000800000000D2 +:1027000000000000000000000000000000000000C9 +:10271000004000080004000000000000004100002C +:1027200005400008004000A0008400000282214013 +:102730000000008202A000040800008210A00040F7 +:102740000400090040000300050020000800090003 +:10275000038209020920210008000004030200028C +:102760000000038405840000009000400000000089 +:102770000000400008200020410000040982000001 +:10278000030010A0084041A0000440841000008411 +:1027900000040004000008900582104008C0058471 +:1027A00003000000004100000000000010000002D3 +:1027B000880000050041000008000002000200023D +:1027C0000000100900008841824100000002C01191 +:1027D000000040090000000000000000000000119F +:1027E00000000000001100000011000200000021A4 +:1027F000000088000000C00000410000000200004E +:102800000000004100000000000000000800000976 +:10281000000088000000000000000009A000000087 +:10282000C008C000008200C0090000A00000000035 +:1028300000001100000000000002000200880000FB +:10284000000000000000110000000008000000006F +:10285000000000000000000008000890008400C094 +:1028600000000090000000000000000000001000C8 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000080040 +:1028900041000000000000000000000000000000F7 +:1028A0000000000000000000000000000000000028 +:1028B00000000000A04000000040000000000004F4 +:1028C0000000000000000040000000008200000046 +:1028D0000040000000000000000200002000000096 +:1028E00000000000000800000000000000000000E0 +:1028F00008000000A0000000000000000000000030 +:1029000000000000000008000008000010000000A7 +:10291000000000000000000010000000000008009F +:1029200000000000004000000088400821A040088E +:1029300000400002004002040000000400A04040EB +:10294000008809000984030000C002840882200076 +:1029500021841000008240840304400003200320EF +:102960000290028841840084000000C009000040F9 +:1029700010C005001100000000000000000000C0B1 +:1029800021001188098800901188028809A005009B +:10299000090821400000404040C00090400440042D +:1029A000008800000000000400000000000000217A +:1029B000880000000000000000000011000000007E +:1029C000000000090000000000009000000000036B +:1029D000000000000009000040000011000000009D +:1029E00000020000000000000000000000110000D4 +:1029F0000802001100020021000008000000000091 +:102A000000000000000000000000000010000000B6 +:102A100000000000000000000000000004080000AA +:102A20000008000008000000000000000090000006 +:102A3000400000000900000200A0400000880000E3 +:102A4000410000020000080000000000000000003B +:102A500000000000009000000000400000000000A6 +:102A60000000000000000000000000000000000066 +:102A7000000000000000000000A0000000000000B6 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000A00000000096 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000002000000000030000CA +:1033E000880090000000880000002000C00020003D +:1033F000A000000000000000040000000000C00069 +:1034000000000000000000000000C10011000000EA +:103410000400000040000000000010000400040050 +:10342000200000000000000200000000200000005A +:103430000400C00220000000000220004000100034 +:103440000000040082008400100040002000C00042 +:103450000000040000000000000041000000000027 +:103460000900000011000000000000001100210010 +:1034700000001000000041000000200000000000DB +:103480000000200004000000000000001000820086 +:10349000000000000001000000000000000000002B +:1034A0000000000000000900000011000000000002 +:1034B00000002100000000000000000040000000AB +:1034C00000000000000000004100000010000000AB +:1034D00000000000000000000020000000000000CC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000040000000000000000000000B7 +:10351000000000100100000000000000000000009A +:1035200000000041000000008200000004000000D4 +:103530000000000008000000000000000000000083 +:103540002000040000000000000000000000000057 +:10355000000000100000000000000000000000005B +:10356000000000000400000000000000000000084F +:103570000090000000000088000000000000000033 +:103580000000000200000000000000000000000831 +:103590000000000020000400000000000000000007 +:1035A0000000000000000300000000000000000018 +:1035B0000002000000000000040000000000000005 +:1035C000000020002084000800000000000000002F +:1035D00000000082008200820082200020000000A3 +:1035E00090104000000000100400200000002000A7 +:1035F0000000A0000080408000008200A0000000C9 +:103600008400000000000000900084008404042076 +:1036100000000085000020000805000090009000D8 +:10362000100020002000C00000000000000000008A +:103630000000000000020800040004002000C00098 +:103640000020040000009000200000000000900016 +:103650000200001000000020000000000000000038 +:10366000000000000000000000000000090000024F +:10367000000000000000000880040000410000007D +:103680000000000000000000008800000082000030 +:10369000000000000010048800200000000021004D +:1036A000000000040882000000000000000000008C +:1036B0000000000000000000000004000000000006 +:1036C00000000000000011000000000009000000E0 +:1036D00000002100000000000000000004000000C5 +:1036E0009009A00000000000000000000000880019 +:1036F0000020000004000800000000000400A000FA +:103700000000A000A00000004000000082000000B7 +:103710000004080000000000080000000000000095 +:103720008400000000000000000000000000040011 +:103730000000000400000000000000000000000085 +:1037400000000010C0000000000000000420100075 +:103750000000000082000000C00004008400C000DF +:103760000000000000100000000004080400200019 +:103770000010000404040000910404000000800014 +:1037800004000000001000100404040020002000C9 +:10379000008400C0000400000000000000200000C1 +:1037A0000000000000000000000000000000009089 +:1037B0000000000000200000000000100082000057 +:1037C00003000000000000000000100000000000E6 +:1037D0000900200000080508008200000010080011 +:1037E00000000000000000000000000000000000D9 +:1037F0008800002000000010000000009000000081 +:1038000000000000400000000002820000200000D4 +:103810000000820000118202C0000000C00000050C +:103820000011000000000000820000000000000005 +:103830000008000000000000000040000000000040 +:103840004000080000090000000000000000001116 +:103850000000000090009000000000000000000048 +:103860000008820800000084000000000000000042 +:103870000000000000000000000000000500211012 +:103880000000000005000000000000000000000033 +:1038900009000000210040000000000005000000B9 +:1038A00000020000000020000000000000000000F6 +:1038B000000800002000008800000000000004084C +:1038C0000008400800080500000240000000000059 +:1038D000000000000000058400004000000000001F +:1038E0000000000000000000880000000000000050 +:1038F0000003000000002004080084000002100300 +:103900000900040008000000200000032000C0009F +:1039100000000000000000410000000082030804D5 +:103920000000012001000000000400100004000459 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000008000000000000000000000857 +:10396000000800000000000000002000000020000F +:10397000008400200000110400040404410420001D +:103980008020020080008510040004000020030055 +:10399000008421040082008208000400008404C026 +:1039A0000000038420010800000000040000200043 +:1039B000200004A00300000000000002000010A08E +:1039C0000000000000004100001000000000008224 +:1039D00000A00000000004000584408200000000F8 +:1039E000040005000000038408000100000000003E +:1039F00000000100C00000010089C000C10000C03B +:103A0000C082000008000109080001000000000059 +:103A100000000100C00000010009C000C000000853 +:103A2000C082000000000103200000000000000030 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000004100000015 +:103A700000410000410080000020090380109041B7 +:103A8000008080800041004103850000410000006B +:103A9000004100004100800000040283A00984412D +:103AA000000080800041004102850000000000000D +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF000050000000000000085000000040004C173 +:103B0000204100C183004100C14100410088000004 +:103B1000410000020000000085000000050085C192 +:103B2000844100C183004100C141004100C0000048 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000020000000000025 +:103B8000C0C1894100C1830000004001000100C1A3 +:103B900000000000000000000000200000C0000045 +:103BA00010C1200900C1830000004001000100C0D5 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF000000000000000000000000000000088013C +:103C0000108300040000C140000000000000C1005B +:103C10000000000020000000000000000000000183 +:103C2000058300900000C140000000000000C000BB +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000010808300410041C1C1000000000041DC +:103C90009041000000000000000000000000000053 +:103CA0000020048300410041C1C104000000002144 +:103CB0008341000000000000000000000000000040 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF000000000000000000000050080000000003F +:103D0000804102000000C1C1008080C1000041412B +:103D1000000080804103000000000000000000025D +:103D20000041C1000000C1C1808080C1000041414C +:103D3000000080800305000000000000000000007B +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D700000000000000000000000000000820000C1 +:103D800000004001C10000004009C0C040C1000067 +:103D90000001000004C000880000000000020000D4 +:103DA0000000820184000000401182C040C1000078 +:103DB0000001000040C00082000000000000000080 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000048000000000000004800811200D00B00B4 +:103E1000484200000000000048420000000000008E +:103E200048000000000000004800818200D00B0024 +:103E3000484200000000000048420000000000006E +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F8000000200000000000000000000000000002F +:103F90000000000000000000000000000000DEAE95 +:103FA000000200000000000000000000000000000F +:103FB0000000000000000000000000000000DEAE75 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:104000000000000000000000000000000000600050 +:104010000000000000000C0000000000000000C0D4 +:10402000679E00000000000000000000000040004B +:104030000000000000000C4000000000000002C072 +:10404000679E00000000000000000000000000006B +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000080000000000028800040595 +:10409000010010800504040004020400200521052D +:1040A0000010002000000020400000080000000078 +:1040B0000000200421102000200021020000200820 +:1040C0002000000000000000000000000008204068 +:1040D00000002000200021004000410240040102B5 +:1040E000890004002100010004000100000000001C +:1040F0008900210009084100032005000400004058 +:10410000200020100000000000000000000100005E +:10411000800020009120C001840080018000000008 +:1041200020000001000100000001800000010000EB +:10413000000000000001080180000000C001200014 +:104140008000800000000003000000000004000068 +:10415000890100008000A000080080008400800128 +:10416000040110008000840004000900040009001C +:10417000200020002000A000840084018400C000F2 +:10418000000100000001000000000402040005001E +:1041900004000000110800080000000000000004F6 +:1041A00000000020840000080420020010880000A5 +:1041B00000002000000000040080002000A000801B +:1041C00088204080010020000000008000040000E2 +:1041D00000080008000000100100000000000100BD +:1041E00000000041040000000088000005000105F7 +:1041F00004000182010001200580218805C0200003 +:104200002100040800000220008400008089A00032 +:10421000A000800000008405208500080000000048 +:1042200000010090009141082002808380008003FB +:1042300000001108A0080000000900100009000398 +:1042400000080001890210088084000000080001B5 +:104250000000090100840082000108080000000439 +:104260004000000000008082208400040000A000C4 +:10427000208480844021400002018202C0208441C9 +:104280008200840080000000800100210000840082 +:10429000000010830008000800000008830000826E +:1042A0004008210088002088000301080000002148 +:1042B000100300000003011100032084010004200A +:1042C0000420000201004000028200000000000003 +:1042D000000000C1008000000004008000084000D1 +:1042E000000000000000012140800000000000A14B +:1042F00000040021010040020000080340004002C9 +:1043000004A0042004200000000000000821009008 +:104310000000000080020082000009000000200070 +:104320000803800084842100C020000004200000D5 +:104330000000A1000000090020000000800520000E +:1043400080018001410120008000804100000000C8 +:104350000000008800040004000000000008008342 +:104360008000000000A00800400080020000000063 +:104370000002000100000408880121088300800079 +:1043800088018541830180010000200010000000A9 +:1043900040008405840040000000082188090000D6 +:1043A00020024000408040009000052008000004EA +:1043B0000008820083008800900220000000200492 +:1043C0000003080841004080A0090840000040A008 +:1043D00000000084821108090008000020410180CB +:1043E0000080C02104008300050000000800C00018 +:1043F000010300002100202140214084200085008D +:10440000408041800880042008A082000000A000B5 +:1044100082008800000000088000000000A000006A +:10442000000000018008000280000000A001800060 +:1044300000830000000004000000000000000000F5 +:1044400080010900000120000004000000010000BC +:1044500080210000000200000000000000008082B7 +:1044600020100304000088A010008200000000005B +:1044700000002000009090A0800800008041008291 +:104480000800800484200004C185080300020000A5 +:1044900011000008000082028440C0840002A100D4 +:1044A0009121008284088421400820080000C02156 +:1044B0008241002182004020822102008204010307 +:1044C00000009000A01085200409080504000000E9 +:1044D000002000210000000220840000900800005D +:1044E00002000800820000030000002140050021B6 +:1044F00000054021880540042085000490210080AB +:10450000010382040800A02002A004208403C0004C +:10451000840000084011000000010000030500C1F4 +:104520001000400000021100000280008800008896 +:1045300009040000002000000001000080000000CD +:1045400020000000009000012001800211008090F6 +:1045500000000003000000000001800900002101AC +:1045600000008000800000000000000200008000C9 +:1045700000000000000000008090800800010000A2 +:104580000004208409000000000180418001000037 +:104590000000000000000000000882000008010088 +:1045A0004000A021C0040021840004050000820016 +:1045B000A000820584090004C0044005100882217F +:1045C000081182058400000084110005020000101B +:1045D00000008208850404080000000200000008B2 +:1045E000000800000000000000000008000808218A +:1045F0000003000500030003000382112021202195 +:104600000021400000050109C000002040C08420B6 +:1046100004208200C0100021A00000000000000063 +:1046200020008000000000010000000000200000C9 +:10463000000000000000088400010000000080016C +:104640000000000000820000000000000000800068 +:1046500000000000000002008000000000010000D7 +:10466000030400040000000000000000000000003F +:1046700000000000000000000000000000008000BA +:104680008000000080000000200000000001804148 +:1046900008018083000000000000000000018490F9 +:1046A00004000203020300900402000002020291CF +:1046B0008205000102C09183A0A0089010C0912043 +:1046C0008420A01000C1042040048288202004908F +:1046D0009008A0901008911009828210000340C138 +:1046E00089C100018240080084010100080001C165 +:1046F0009020020282C0010800908800009000C053 +:10470000889000200000020400A000080190001121 +:10471000902002849083024004A0004104200008FD +:1047200002918009808380C1048511A000109184CA +:10473000118402C00040804188C080A080000580B4 +:10474000C12100050000038821050002800300004C +:104750008080028080009080C182C08980830000B8 +:104760008084890905118000820000400341800097 +:1047700009C1919000A080004082219100050011A4 +:1047800000418089100200028089000510050800A0 +:104790000085904009C080918083800309208005B6 +:1047A00000000000002080908000108090A0918088 +:1047B000A0842080C140A002090003800903834136 +:1047C000800000031080800085008300100090802E +:1047D00020400211400304101040211188A0C08025 +:1047E0000000040089031111C18900410000800309 +:1047F0000000C10008030902918080008080000849 +:1048000000800000108091029108004080058083A4 +:1048100080110284020890408288108021000020CC +:104820009010008840000000909102000190030366 +:10483000909003C101900800C09100900290848282 +:1048400091030800040901A0C09008008200C184FF +:10485000C10883219101A0004001A1012100821122 +:10486000C0820000858805010101C189A0014000C6 +:10487000A00008000890010000C0909008008290FD +:10488000000000900088C0900900C090880908844A +:104890008804081090C088018501C1911108034067 +:1048A0000200820500010041909003000101000315 +:1048B00091910001010001419104000004059182E1 +:1048C00082031005820500100091C1C19005008887 +:1048D000021100C108088840A09003019011A02097 +:1048E000101100880000880101A0008503C100109C +:1048F00088080040011020080040912111C00109E2 +:1049000000019090019101C1004090200000090831 +:104910002004040420C088880988A0910290200205 +:1049200088208403C0A0002009088082C1101140A3 +:1049300010C0C184004111034082A09141088084CD +:1049400083A00221911120A0028502A18491000878 +:1049500021038521009100110041808588418088D4 +:10496000108208881009000080040300410591C1ED +:10497000008000800080208000824091842141914D +:104980001184090389A00441114000838040000084 +:104990004080000000891089001189C180A08240F8 +:1049A0001180A18903A18009410520110880098097 +:1049B0008008A10509000010A105A0218080111127 +:1049C00085A111A1A0800511800802C08900831172 +:1049D000A183401103008500008421809100032100 +:1049E00085918020859180000000C1890000808031 +:1049F00003110008109109800000A1048804100030 +:104A000000098211890800000900219111419100DB +:104A10001120A11189088900890021918384110046 +:104A20008804A184030402000209010001000102BC +:104A30000082900004010100000091400400040481 +:104A40000000024185040210080102018382898866 +:104A50008301C1401085C100100000C1000491A075 +:104A60000001C0032000109184000000C18940A013 +:104A700002848401000100110108000011002120BE +:104A8000020020000801200190900000C1A1212116 +:104A900040000000C100109110881000830320889E +:104AA00001A00501C120100801000001811100D002 +:104AB0000B000042480600D00B000006481100D051 +:104AC0000B008200484200D00B000081814200D0E0 +:104AD0000B005011480000D00000000000420060B0 +:104AE0000B008200844100D00B00600000004100F8 +:104AF00000D0000000000000006000008100504273 +:104B000000D00B000042501100D00B000000410605 +:104B100000D00B008200810000D00B00000000825A +:104B200000D00B008200410000D00B00008200008A +:104B300000000FF00FF099FF66009F609F600FFF6D +:104B4000F00096F096F0E6C0C0C0C3CBC0C8F0F04D +:104B500030F03C3C990922110000330000000000B5 +:104B60000000991099109F603C3C566666660000F4 +:104B70003300000060900000000000000080000191 +:104B80003F306060CCF0C3FF0FF0FF00C33CFF007C +:104B90000FF0F0F0CCF0CCF0000000000018F0F0C6 +:104BA000C33CC33CCFF30C300000FF0040000000CA +:104BB00000000000FF00FF0099FF66009F609F60FB +:104BC0000FFFF00096F096F0E6C0C0C0C0C9C0C9A3 +:104BD000F6F676F600009909221100000033000075 +:104BE0000F0F0F0F99009900FF003C3C6666666648 +:104BF0000000003300000609000000000000000073 +:104C0000000000000000CCF03C000FF0FF00C33CAF +:104C1000FF000FF0F0F0CCF0CCF00000000800181E +:104C2000F0F0FFFF0000FFC33C000000FF000000A9 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB0000000000024DB24DB0F0FF0F000FF0FF0FA +:104CC000003CFFC300FF0FF000FFC33CEFFF8F0F5E +:104CD00000FF0FFF667EFFFF000044440000300C21 +:104CE0000000884424F42424104812480F0F0F3386 +:104CF0000000030C0000000000A500004444000078 +:104D00000000001E001E000000FF2424DBDB00FF6B +:104D10003CC33333333300FF0FF000FFF906FFFFCE +:104D2000FFFF00FC00603C3C3A3A3639FFF0FF3CA4 +:104D30007E3CF9C1000024DB24DB0000FFFF00FF04 +:104D40000FF0003CFFC300FF0FF000FFFF00CFCFCC +:104D5000CFCF00FF66FF627AFFFF00008888000067 +:104D6000C0030000884400F00000A005A0050F0F5C +:104D70000F0F0000C03000000000A5000000888870 +:104D80000000000000000066D0D000FF2424DBDB20 +:104D900000FF3CC30F0F0F0F00FF0FF000FFF906DD +:104DA0003600F600000C006000FF00FF36390F00EF +:104DB0003F3C7E3CF9C100000061032E8C0D30C0E9 +:104DC0000361032F8C0D10C00361032F8C0D42C0B3 +:104DD00000610E2480090000057134268209320624 +:104DE00000E3000480098A0080610027000D0000B4 +:104DF0001061002FC00D12A6000000C20005800047 +:104E00008A0000610007001D00010061532E8C9D87 +:104E100030C00361032E8C0930C00361032D8C0563 +:104E200042C0A561300F854D00A00061002F000D2C +:104E300000081561300F851900A114140F00AAA2F3 +:104E4000A0A00000F000F05A3C9C140014000000E8 +:104E5000FFDFCF55CF55FF3CFE3C0000C0C30050E4 +:104E600000D0C3C0C3C3FFFFFEFE00003400003CFF +:104E7000A0A0F0F000000000001000006969EBEB5A +:104E8000FF3CC33C00000F00000000000000A0AA8F +:104E9000287D0055C3000000F00FE2E2782D7D2848 +:104EA00030CF00000000000000FF0000A020202004 +:104EB00038003C043C3C0034F000F0FF55550F0036 +:104EC000AAAAA0A00000F000F0F03C3C14000014DE +:104ED0000000FFFFCFFFCF55FF00FA000000C0C366 +:104EE0000050D7D7C3C0C3C3FF00FE000000F500C9 +:104EF000003CA0A0F0F00000000000000000696984 +:104F0000141400C3C33C00000F00000000000000A8 +:104F1000A0AAA8A80000C300000000FFA2A27D284C +:104F20007D2830CF0000E000000000FF0000A0005E +:104F300000003C003C003C3C0014F000F0FF051475 +:104F4000050500000000F00F828200550505FFFFF7 +:104F5000FFFFF0F8F0D0000AF5FF551445450000BA +:104F6000000000550055000080000000FFCF000049 +:104F7000000002080E0B00000E003F3F3030000022 +:104F800000000500FF7F807F00000F000000000090 +:104F900055550F0040000000000000000000000018 +:104FA0000C0000000AFAFA0A000000F000000000FD +:104FB00000000020303034340002000000005C5C4F +:104FC00005140505000000000F0F8282005505053D +:104FD000FFFFFF7F00000000AAAAFFFF55145555F0 +:104FE00000000500005500550000F0F00000FFFF34 +:104FF000000000008020B0E0000004003F0F30F00F +:105000000000000000000080807F00000F00000012 +:10501000000000000F00400000000400000000003D +:1050200000000C0000000AFA0AFA000000F000007C +:105030000000000000203034343400000000000084 +:10504000555500000000A00002002000A000000054 +:10505000200020008200000002000000200000006C +:105060000000000040000000000000000000000000 +:10507000000020001000A0000000000020008400BC +:1050800000000000400020000000400000008800F8 +:1050900000000000400020000000840000008400A8 +:1050A0002000100020002000100000004000400000 +:1050B000400004004000000000000200A0000400C6 +:1050C0002000020000A0009000000000000000008E +:1050D00000000000000000000000000000000000D0 +:1050E0000000000000000000000000400020000060 +:1050F00000000000400200022100000000900000BB +:105100000000000000000000000009000000000096 +:1051100000000000000000000000004021004000EE +:105120000000008821000000004000001000000086 +:1051300000000008000000002000000009004000FE +:1051400000001000200000000000000000000040EF +:10515000000000000000000002000000000000004D +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000200001D +:1051900000000000004000000000000000040000CB +:1051A00000002000000000000009000800000000CE +:1051B00000000009000000000000000000000000E6 +:1051C0008400000000000000000002000500004014 +:1051D000000000000000000000000000000000903F +:1051E0000000020004900000000000000000000029 +:1051F000000000000000000000000000000000406F +:105200000500000000000200000000000000000097 +:105210000000004000000008000000040000000042 +:105220000000000000880000009000000000000066 +:10523000000000000000094000000000000800001D +:1052400000000000020005000090000000020000C5 +:10525000000002000000000000000000000000004C +:10526000000800004000000040000000C0000000F6 +:10527000000000000000000000000000A00040004E +:1052800000410000000000000000000000000000DD +:105290000000C0000000000000000000000000004E +:1052A0000004400000000000C000000000000041B9 +:1052B00010000000000800080000000000000000CE +:1052C000100500000000000000000000000000C009 +:1052D0000882000008004000400040840000108860 +:1052E000400410C0030003842100000000004100BE +:1052F0000800004008200800000800000000030229 +:1053000040820000000000000084008200000000D5 +:1053100000C000A00084110000004100000008004F +:1053200000080800000000C000A00384000840003E +:1053300011081000400000080510030010000800CC +:10534000044010A008C040A041000800050800006B +:105350000040A0000000404002000041004000006A +:10536000000000400000000000400020001100008C +:105370000200000000000000000000020000000029 +:105380000004C00002000000C0090000000000008E +:10539000004000090041C01100000000C0000000F2 +:1053A00000400040004082400040004110004000AA +:1053B0000000000400008208000000000004824198 +:1053C000001190000002020004020808844100025B +:1053D000004000400040000000000000000000000D +:1053E00000000000000002000000000000000000BB +:1053F00000000000000000000000000000000000AD +:10540000000010000000000000000000000000008C +:10541000000000000000020000000000000000008A +:1054200000000000000000000000000000C00400B8 +:10543000004000000000000000000000088800009C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000004100000B +:1054600000000000000000000000000000004000FC +:10547000000000000000000000000000A00000008C +:1054800008000000000004000000040000100000FC +:10549000000000000000000000208200000000006A +:1054A00000000000000000000000400000000000BC +:1054B00000000000000000050000000000000008DF +:1054C0000000A00000000000C0000000000000007C +:1054D00000004000210000404004004008884000D7 +:1054E00000C0000000000000030000004000008831 +:1054F0002088100000002140100000A000080420B7 +:10550000401010C0410808002184020440C0000877 +:1055100000002188000000000000002000C0000002 +:1055200000C000840000000000A00040100000A0A7 +:105530000000038200844008000021A000C000C0D9 +:10554000020021C0008800C005A0218405040000DD +:105550000000108203040000000400090021000084 +:105560000000000000000000000088000041000072 +:105570009000000000000000400200000000000059 +:10558000100088000000000000000000080000007B +:1055900000000002000000000000000000210000E8 +:1055A00000000000000000200000000000020000D9 +:1055B000000000004000000000000000100308028E +:1055C0000000000282000000000000000000000057 +:1055D00000000000000300000000000000000000C8 +:1055E000000000000040000000000000000000007B +:1055F0000000000000000000000040000000080063 +:105600000000000000000000000002000000000098 +:105610000000000000000008000009000200000077 +:10562000000000000000002000000000200000003A +:105630000000000008000000020000000000000060 +:1056400000000000000000000000000000000984CD +:10565000000040000000000000000000000000000A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000200001 +:105F80000000000000000000000000000000000011 +:105F900000000410040000000000040204000000DF +:105FA00000000000000000000000000004000000ED +:105FB00000000000200090008400000000000000AD +:105FC00000000000000000000000C000040000000D +:105FD00000000000C020C000000000000000000021 +:105FE00000000010840002000000200004000000F7 +:105FF000C0008400000040000400400000000000D9 +:106000000000000000000000000000000000000090 +:10601000000000820000000000000000000200906C +:106020000000000000000000000000000000000070 +:1060300000000000002000820000000000000000BE +:106040000000000000000000000000000000000050 +:10605000000000000082004000000000000000007E +:1060600000000000000000004100000000004000AF +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:10609000000000000000000000000000820000007E +:1060A00000000000000008000000000000000003E5 +:1060B00000000000000000000000001000000000D0 +:1060C0000000000000000000000000000040000090 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F000000200000000000000000000000000009E +:10610000000000000000000000000084000000000B +:10611000000000000000000000000000400000003F +:10612000000000C0000000000000000000000000AF +:1061300000000000000000000000008200000000DD +:106140000008000000000000000000000000000047 +:106150000000000800100000000000000000001017 +:10616000000000100000000000000000000000001F +:10617000000000100000000000000000000002000D +:10618000000000000000000000000000000000000F +:10619000880000000000001000000000000820003F +:1061A0000400040000004000C000000000000000E7 +:1061B0000200000000000000840000000000000059 +:1061C0000000820008000000000000000000000045 +:1061D000000000002000040000000000001000008B +:1061E00000000000C00000000000C0000000A0008F +:1061F000C0008400840004000000000000000000D3 +:1062000000000002C0008400000000000000000048 +:10621000000000100000000000000000000000006E +:10622000000000000000000000000020000000004E +:10623000000000000000000000000000000000005E +:1062400000000090000000000000000000000000BE +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000100000001E +:106270000000000003000000030000000000080010 +:1062800011000000000000000000000000000000FD +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000004000000000000000000DA +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E0000000A000080008000000A000000000005E +:1062F00000008400000000000800000000000020F2 +:1063000000000000020000000000A00000A0052026 +:106310000020000000000004000000100004000045 +:106320000010000000000084000000100888001029 +:106330001110000000100084000800080088000010 +:10634000000002100400040400000000000000002F +:10635000000000A0000400102020000209400000FE +:1063600000C00000002000100800008804000000A9 +:1063700000100004000003100000000000000000F6 +:106380000000040020000482218404001120000089 +:1063900000000000000000000000080000000010E5 +:1063A000000000000000000000009000000000005D +:1063B0000000000084000000000000000000000059 +:1063C00000000000000000000000000000000000CD +:1063D000000000009000000000000000900000009D +:1063E000000000000000080000000000C0000000E5 +:1063F000000090000000820000000000000000008B +:10640000000000000000820000000000000000000A +:10641000000000000000000000000010000000006C +:10642000000000000090000000000000002000902C +:10643000000000000000000000000000000000005C +:106440000000000000000000000800000000000044 +:1064500000000090000000000000000000000000AC +:10646000000000000000000000000000000000002C +:106470000000000000C0000000000002000000005A +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000001000000000000000000000CC +:1064C00000000000001000000000000000000000BC +:1064D00000000000000000000000000000000000BC +:1064E0000000020000000000000084000000000026 +:1064F000000020000000001000000000200000212B +:1065000000000000000004000000080000008400FB +:1065100004000000000020020000001000000082C3 +:1065200005040900000041000000000000002000F8 +:106530000008200220000000000005000004000008 +:10654000410020002000000408000000209000000E +:106550000810200000002088200020A020880200D1 +:10656000410000000800208841C0021005C0111041 +:106570000584000000000010058420202000000099 +:1065800000004100051021001188200409040000CA +:1065900000200300211000000000000000000000A7 +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB000030020000500000000000080010040806C +:106BC0008911050020080500050004000500880063 +:106BD0004000040040000400040004000000010024 +:106BE00004410480890520804000008000000000EE +:106BF0008900000040044100110020002100100025 +:106C000088002080890020808300410000004180AE +:106C10002120040001900400000000008800000012 +:106C200000000180418088000020888004800000EE +:106C30000000C00080008200000000000010400042 +:106C40000002400010000001A000840080C0840009 +:106C50004000200080000000800080A08000000034 +:106C60000400809080020400802080000004000066 +:106C700000034000000000C1400084008000840048 +:106C8000800000000004080000020400040821083D +:106C90000804040180000811800040400000410009 +:106CA0000000400010200820000000012004802087 +:106CB00000200000000000000000000000000000B4 +:106CC0000020000000002080008001040005000872 +:106CD0000000000000000000000000000000004173 +:106CE0000004000000080000000000000180000413 +:106CF0000000000000000000000000000000000094 +:106D00000010001002020000000400200111010028 +:106D10000000400000000000010001800080000031 +:106D20000000000000000000000000400000000023 +:106D3000000000000008000000000000000000004B +:106D40000000008500000408000400040889110008 +:106D50000001000000040000000000840084000026 +:106D6000000000010000009000000000000020046E +:106D7000000100000000208200000082000000846A +:106D80000084000100858011080800820591400000 +:106D90004082000080080000000004000804200475 +:106DA0000008200009820000000841820001200440 +:106DB00000040008008400400041200082210002FD +:106DC000A005084102000000000040082004200047 +:106DD00020C1040010C000080021000300040008C6 +:106DE0000021100000080000000840080008080406 +:106DF0002008000000880000000000210040001171 +:106E000000080041002101030041001000030403B9 +:106E100000020110004041400440000301402108ED +:106E200000020004000500400040010000210100B4 +:106E3000080800410000040000000000830000007A +:106E400000010000800080000000000000018001BF +:106E50008000801080008803000000000000000116 +:106E600000004000800000000000000080100000D2 +:106E70000001800000000004000000000800000085 +:106E8000000000000000090004000000028400006F +:106E900080000001088800000400000103000800D1 +:106EA0000400410000000000000000092000000074 +:106EB0000800C10000000000800000000800010080 +:106EC00082000008010000048800A000000000808B +:106ED00010800041080410000800C00001000800F4 +:106EE0000400010020200020010008000000408074 +:106EF000000008020000010001020000080040211B +:106F000008000000088009400820040000008300F9 +:106F1000020202800000C0000400838000400000E4 +:106F20000480080008000200000408000200038832 +:106F300082008904080004009000418200000000E3 +:106F400020000000000040000001000000000000E0 +:106F500000048020000000098000030000000400FD +:106F600000008800200080A0000004000000000055 +:106F70008002000000010300200004010000000066 +:106F80008000000000820020040100850000004015 +:106F9000400000098002000003088000202000015A +:106FA0000000800410000000000000008000880045 +:106FB000A011100004018082A10004040404100048 +:106FC0000000020082410800080082410800100011 +:106FD0000400034000030000202100C10240000023 +:106FE000004108008205200520089000820500006D +:106FF000080508000800000008000810080000004C +:1070000085000000009000410000004100A002083F +:107010002000000008030205820800410008022148 +:107020000004108008200203002108000808000561 +:107030004010080000200088000082110220080291 +:107040000000000000000000080000000000000038 +:10705000000080002000000000008000000280018D +:10706000000000000000030080008000000000001D +:107070000000000000000000000008000000000008 +:1070800000004000000000040000000000000005B7 +:1070900000000000000000000000000000000000F0 +:1070A000800000000020800180000000000000003F +:1070B00000008000000000010004000000008001CA +:1070C00000008200C00010040008080400058204CB +:1070D0000000000004050000100000000805004149 +:1070E00000000041A005000884090000820540005E +:1070F0000241000890210021C089C0000091C00019 +:107100000002000082210821000908080020000870 +:10711000000900410000001000094000080008417B +:1071200000400002000340040809100002000005AE +:10713000000908080041901008100810011008000C +:1071400040082008000000000001000000000000CE +:1071500000000000000000000000830000000000AC +:10716000000000000000000000000000000000001F +:1071700000000000000000000000002000000040AF +:1071800000100000000000000000000000000000EF +:1071900000000000100000000000000000000000DF +:1071A0000000020000A1000000000000000000003C +:1071B000000000000800410000000001000104017F +:1071C00080000008000000C000200002004000888D +:1071D000020400020082008901029002101100A145 +:1071E000021001080002000102909020000001013D +:1071F00000918400000800000190C04000A0101120 +:10720000914009009091000200C0010284028409AB +:10721000880090000100200001C1C0A00008C0004B +:1072200000C190000203001000C091C04140000066 +:107230000001400000080010A0C0892008A1000043 +:1072400008A1902101058204000000000000000058 +:107250000590008308A00083004121048009008973 +:1072600000C10003088000200008008283020000A3 +:10727000104121C000030082000004808000000053 +:107280008090A003900480C0000003C1109100858D +:1072900000C01100800008000000081021111083B8 +:1072A00000020090800280080000118088000880A1 +:1072B00000000310800200C100201185899190C058 +:1072C00000099184800404900083000005801183EC +:1072D00080051000210500802080208021101100F1 +:1072E0008300200005802000048340808800022065 +:1072F000848302008483C00080910000008000200D +:1073000085000008000300C080A100008400044044 +:1073100040849002020080809100C109000000209A +:10732000218202830811088288000820200021029F +:1073300083000040100085838091200383000310A8 +:1073400008A00503048011898902089020A000C0CC +:107350000184C0400100010400A000C0110201002E +:107360000300100005888410850041080190110079 +:107370000001909101000290840008908800009024 +:107380000000C0C0880084010083C091000309048C +:10739000A0010041C10090021020A10003A10088BB +:1073A000008300A000C11084841008400421011053 +:1073B0004104A0C08285219002110205001108003D +:1073C000A00200A100A12040C020A001841008005C +:1073D0000000000010001000000100A1009090903B +:1073E000000291100003C001000010010000101104 +:1073F00090409021000103020001919101080100D9 +:107400000040904001A04002881090001108000048 +:10741000A08201C0900500C101040004089000048E +:107420000204010808C182A00101000002018401D8 +:10743000030000A008A100C0000101A09084018405 +:1074400000C00105888511C1010301048991010271 +:107450008000000000008000800010200504000073 +:107460008005080084850091894020108021100546 +:10747000808891828010000891A00003A0A111854E +:10748000110300848005110980090502890408821E +:10749000000000054109092000C0418000808000F3 +:1074A000008000104191C1218011412000118021F4 +:1074B00088104110001080054009001011A180A023 +:1074C00009200000200300211141410820800521EE +:1074D0000291C040840240009104A0410200C0001B +:1074E0001100419103A1C0090511410000031000E2 +:1074F000002108800005080004008800200509001C +:1075000083408041000500000091A11100000200AD +:10751000910000008280020011004020418911008A +:10752000410020000408840804008400A100100920 +:1075300040110500900585048200000009C0800804 +:10754000A000851100849108098011402102890260 +:10755000400009210801212103001000100103004F +:107560004100840003020240108903010304020168 +:107570000100000101200020010000009002000035 +:107580000000080090014040A0A00011850000A06C +:1075900088A004000000C040850400A041010189CA +:1075A0000100000001000300410105008300090003 +:1075B00020C002C1900010002001021010004041C4 +:1075C00010910884080182C189012010890085007A +:1075D000201082C08201824200D00B00420048414C +:1075E00000D00B046042484200D00B0400004882E7 +:1075F00000D00B008200481102D00B000000480AA6 +:1076000000D00B000000828100D00B006021488177 +:1076100000D0820400000000410000D000005000B3 +:10762000480000D082008200001100600B0441423B +:107630004100006006008200480000D00600820081 +:10764000504200410B000000828100D00B008400FA +:10765000410000D00600820006003E3C0000000011 +:10766000FFFFF500FF0000FFFCFC0CFCFCFFFC0032 +:10767000000000FF0000000000000000CCF0CCF093 +:10768000C3C33C3CC33CFF000FFFF0000FF0F0F021 +:107690003C3C666678787878000024180000300C4E +:1076A000000000000000F7FFFFFFF000F000CFFF38 +:1076B000CFFF3F363F300000000066666666F00090 +:1076C0000000FEFCF6F0FF00F0F0FE7EF666000023 +:1076D0000400000000000000000006003E3C000026 +:1076E0000000FFFFF5FFFF0000FFFC0C0C0C0CFF7F +:1076F0000C00000000FF0000000000000000CCF0C3 +:10770000CCF0FFFF0000C33CFF000FFFF0000FF0C4 +:10771000F0F0F0F066667E7E1818000042810000EE +:10772000C003000000000000FFDFFFFFFC00F600C8 +:1077300000FFFFFF3636303000000000FCF03C0058 +:10774000F00000000E0C0600FF00F0F0981890000A +:10775000000004000000000300040000000000001E +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D0000000000000000000000000000000FF00AA +:1077E000FF30C3C39999FFFFFFFF00000000CFC324 +:1077F000CFFF66663CFFF0C30000C00000000FF042 +:107800000FF00606F9F93CC33CC300FF0FF00CF380 +:107810000CF33C3CA5A5FFFF427E00004444000061 +:107820000000030C0000888800000000FFC30F0365 +:1078300006000F0F000000006666FCFC60400000C0 +:107840000F0F00FFF0000000FCFCFCFCC100C100B9 +:1078500000FFF6F60C0C0C0C00003FFF000F000FB1 +:10786000FF66FF76C3C39999CF0CFF3C0000000070 +:107870000C000C3C66663C00FFFF0000C0000000EE +:1078800000FF00FF0606F9F900FF00FF00FF0FF000 +:107890000CF30CF33C3CC3C30000427E000088881C +:1078A00000000000C0300000444400000000FFC39E +:1078B0000F030F000F0F000000006666FCFC0000C5 +:1078C00000000F0FFFFFF0F00000FCFCFCFCF900D3 +:1078D000F90000FFF6F60000000000003FFF0E0F69 +:1078E000060F0061302E80050008006130278017E8 +:1078F00000A00079002E8001040005014A29850DB1 +:1079000000A0006503298C0330C0036103288C09A3 +:1079100010C00361032E8C0962C000650027900D22 +:107920001216000000E3000680078A0005400A05E1 +:10793000850500A100613025001D00A00561340E01 +:10794000850D20A00561340F851100A0006130A8CD +:10795000800100000061342D851800A185610A0DA9 +:10796000850900A0BCBEBEAA000000000033CCFF09 +:1079700000F000F0550003030082C3C35F5FFFFF08 +:107980000F0003003C3C555582B28EBEFF303030B4 +:10799000F0F0E0F400000000BA3000000000000049 +:1079A0000000200000000100000000A0AA28A2089A +:1079B000A2AA00000000FFEFFFFFFF00C3000000CD +:1079C000000000080040AAAA0000FCF0F8F8FEFE43 +:1079D000AEAE3030003CC030C03005055500F3FB82 +:1079E000F3FB3C003CFFBEBEBEAA0000000000331B +:1079F000CCFF00F000F0550003030000C3C35F5F3D +:107A000055550F0003000F0F555582B28EBEFF3C37 +:107A10003C3C3030203400000000BA30AA20303026 +:107A2000F3F30000000000000000000000A00028A8 +:107A3000A208080000000000FFFFFFFFFF000F008A +:107A40000001000000080040AFA00000C0F0D0D04E +:107A5000545404043030003CF000F0005050550005 +:107A6000F3FBF3F33C003CFF00000000F0F5F0F501 +:107A700006009000FFFF3F3F3C3C50F0140F140005 +:107A80007D7DAA00000000000F55FFFF3F0F3FFF64 +:107A9000C3C3000000F200F20000000000005555D2 +:107AA0003C300C000000000000006D2C0000EFAA2C +:107AB000EF0000000000C300C30000000000FF0052 +:107AC00000000FF0000000000030000000000CFC7F +:107AD0000CFCCF0C5555BEBEB2B2BFBAB5B0FFFF5D +:107AE000FFFE000005F5C080C0D000000000F0F5EA +:107AF000F0F500060090FFFF3F3F000050F000FF50 +:107B000000007D7DAA00000002000F55FFFF30003D +:107B100030F0C3C3F0FFF082F082000000000000EC +:107B20005555040404040000000000004504000052 +:107B3000AAAAAA000000000000C3C3000040000081 +:107B4000FF0000000FF00000000000300000000007 +:107B50000CFC0CFCCF0C55558282B2B2BFBAB5B04A +:107B60000000000000003535C080C0D020000200B9 +:107B700020000200020000000000200000000000C1 +:107B80008800000000002000C000C00020000200AB +:107B90000000200020008400000084008400880091 +:107BA0002000840000080000200002000000000007 +:107BB00084000000840000002000A0008800200055 +:107BC00084002000000000000200000020000000EF +:107BD000200000000200000000002000840090004F +:107BE0008800000000008400200000002000000049 +:107BF0000000000000002000000000000000000065 +:107C000000000000000000000000000000900000E4 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C3000000000000000050000000000000000003F +:107C4000000005000084000000000000200000008B +:107C50000000000000000500000009000000000016 +:107C6000000000000000000000000000000005000F +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000002000011E1 +:107C900000000000020000000000004000000000A2 +:107CA000000000000008000000000040000000008C +:107CB00000000008000000000000000000000000BC +:107CC0000000000000210000000000000000000093 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000020082 +:107D000000880000000000000000000000000000EB +:107D10000000020000000000000000000000000061 +:107D20000082000000000000400800000008000081 +:107D300000080000000000000000000000000082B9 +:107D4000008800080008000000080008000800087B +:107D5000001000080084020000000084008400007D +:107D600000080040004000000040004000080008FB +:107D700000400008000000000000000000000000BB +:107D800000410000000000020000000000000000B0 +:107D900000000000040000000000000000000000DF +:107DA00000080000000800000000000000000000C3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000030000B0 +:107DD0000000000000000000000000080000000992 +:107DE000000000110000000500000010004100101C +:107DF00000000000004100001000410411000000DC +:107E0000000000000008000040C0000009080084D5 +:107E1000000003A008000988008408000082000018 +:107E2000000003000000000000000000000011003E +:107E3000000000000800000000000082000021A0F7 +:107E4000000800000008000000000000030041C01E +:107E500000820084000800840300000203A0089050 +:107E6000008400C0098203A00882210000080008E5 +:107E700003840088200800A0000000000200000029 +:107E800000000000400000000000000000000000B2 +:107E900000000000080000000000080000000000D2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000088000000000000004000FA +:107EC00000000000000000000000A0000800C0004A +:107ED0000000000040000000A00000004000000082 +:107EE0000000C000000000000000000020000800AA +:107EF00000000000000040009000000000000000B2 +:107F000000001000008200000000000000021100CC +:107F10000000000000000000000000400000000021 +:107F200040000020050000000000000000000000EC +:107F300000000010050000840000000005000000A3 +:107F40000500080000000000000000000000000024 +:107F50000000000000900000000000000000210070 +:107F6000008240000000000011000000000002003C +:107F7000001000000000400200000090000000001F +:107F800000040000000000000000000000020000EB +:107F90000000000000090000840000000000000054 +:107FA0000020000000000000004100000000000070 +:107FB0002000000000000800000000000000000099 +:107FC000000000000000A002000000000800900077 +:107FD0002000000000000000000000410000000040 +:107FE0008200000000020000000300050000000203 +:107FF0000808000000000000A0410000004100004F +:108000000000000008000000000002002000000442 +:10801000008400000284000000000510008200843B +:1080200002C0000000840084000000C0080000843A +:10803000000000000000008420000008028400000E +:10804000410008002188000000C00504000009C0AC +:10805000000000C000A011880000000011A01188DD +:108060000000000000882082000009000084080051 +:108070000082000000820084000021A000820084B1 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000800000008D0 +:1080A0000021000000000000001000400000000857 +:1080B000001100000000000000400000880008419E +:1080C0000000084100000041000808000000000016 +:1080D0000000000000000000080000009000000008 +:1080E0000000000000050000000800000808000073 +:1080F00000000041020000410000C04000000000FC +:108100000002000000A000000000000000000000CD +:1081100000000000008211000000000000000000CC +:108120000000020041000000000000000008000004 +:108130000000000005000000008400004100000075 +:108140000000000000C0000000000000000000006F +:1081500000880000000040000000000041A0000076 +:1081600000004100000000000000000000000300CB +:1081700000000000000000000000110040A02100ED +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:108890000000000000000000821000000806000038 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000001000000040040 +:108B300002000000040082002000211009088800C3 +:108B400021000000040000000400000010080020C4 +:108B50000000048002802000200020A0208041002E +:108B600021800000200000008300000000000000C1 +:108B70000000000020000000200000002000010094 +:108B800082020100010003002008000089202080EB +:108B900011A0000040000000040300080080C00095 +:108BA0000402400020002080200020040400000077 +:108BB000000080000000000000008000040184002C +:108BC000000088000000800000008000000080009D +:108BD00000010000000280020000000000210020CF +:108BE00084002020000000000000040000030000BA +:108BF00000000002000000000400800005000000EA +:108C00002000000120000400040080900000040106 +:108C10008020A0210000000000008000000000046F +:108C2000000000018000800080208000800104009E +:108C3000A00004208900A00004002010200004806F +:108C400005000000090000000000081001000520D8 +:108C500005000180010001000400000840800100BF +:108C6000008040004000018400054010200000000A +:108C7000020020801000100810800080A0801000EA +:108C80000200020002000200038003000280028052 +:108C900020C000C010C0004000000000038005801C +:108CA0000800000000000480038040802080400015 +:108CB0008008000880012000001000100000090852 +:108CC0008040A00000088400000000C005012008CA +:108CD000C0090484202020000484008400848002D1 +:108CE00002000020808480000409000080008008C9 +:108CF00000008008802000088001000200020004BB +:108D0000000080008900830080009020A000802067 +:108D10008020802100218005040100840000904013 +:108D2000C008800000080000802084048004000443 +:108D30008000000000080008000000080000200873 +:108D40000021000500218303010200410089000287 +:108D500000200000012000080000000008000010B2 +:108D60000000000201210402008091210085010819 +:108D700000080000000801080010000000100002B8 +:108D80000000000200809000000000080480040041 +:108D9000042104001004040400080008000000037B +:108DA00004200002000000004000008000A00040FD +:108DB0000000A002000800080008000000000000F9 +:108DC000000000000000000002A0040000080020D5 +:108DD0000001000100000401000000000000080084 +:108DE00000000000000102008001002004000004D7 +:108DF000040000000000001004000000000000005B +:108E000000010000000100040008000000008008CC +:108E1000800080008000000180010001000000004F +:108E200000A080C1008300000000800000200041FD +:108E30000001000000018200820009008200200081 +:108E4000000000000800002008000800000020804A +:108E500001840000008003000200838009000200FA +:108E600000000280020083008200410002008204B0 +:108E7000028082008208000000808800000000401C +:108E8000000000000803000020200800080008007F +:108E900011202000200009002020200000000000F8 +:108EA00008A004000420008820002000080004001E +:108EB000032040C020002009008400000408002096 +:108EC00000000000000400000083020083A00000F6 +:108ED0000002200900000004C08883000420020072 +:108EE00080000000A102800040000000820080009D +:108EF00020018004000008110000000200000008AA +:108F000000010000000000000800800100000000D7 +:108F10000000A001800880000400800180000000A3 +:108F200000000421000088010020800000000000F3 +:108F3000800040010003802080820405200040085A +:108F40000280002100410800C00388020004A12023 +:108F500000040008A0880002001040212000080042 +:108F60000000000820089021C0000005040204044D +:108F7000820010050221C003001000000010080844 +:108F8000040882040808080091080000002100215C +:108F90004011000084802002400420020002A00052 +:108FA000102010050404C100020805050805001082 +:108FB000A008C01182200803000200058000800084 +:108FC000800080400000000000000000000100015F +:108FD0000401000100000009000100008000801071 +:108FE000000004000000000000000000002000015C +:108FF0000000000080008000000000000000000170 +:10900000000100000011001000002000000000849A +:1090100000000000008400040000000580A00000A3 +:10902000000080010010910020A09100820000004B +:1090300000010000000000010000000900004005E0 +:10904000C0050008000040218408C000820504001B +:10905000C085080208050010000500210005000079 +:10906000200503210009C000A02100030000002109 +:109070004021C0218200020804054100A021000017 +:1090800040104084000410400800000520A10000AA +:10909000A02000050021C000000808058208200566 +:1090A00020032020000882030500000502100008AC +:1090B00082002005C0000483020502054008041058 +:1090C00080000000000000008000000000000000A0 +:1090D00080000820000100000001000000000000E6 +:1090E000000080088408000000000000000000006C +:1090F0000000800008000000800080009000000058 +:1091000000008000000400010001000000000004D5 +:10911000000000000000000000000000000003004C +:1091200080000000800041000000820000008001FB +:1091300000A100008000000020208020800080002E +:109140000001000800020040000800C003100002F7 +:10915000C089C04000A10588880400A0880309894F +:10916000400000C091010010000000C0000010107D +:10917000000100C0100000110000890901900241A7 +:109180009090000200C001884100000210C0002041 +:109190000811001101C020000400001100C10003EB +:1091A000C000000100C100020000000003041082A2 +:1091B000008504409011010282101003C00002904B +:1091C0000021080311A0004102C100050382080527 +:1091D0001040800380A00008C00000891184A19085 +:1091E00083050000009089080940000000C00003CA +:1091F000800000020483800500100011894108905E +:10920000918880A000001185090589000000C085B3 +:1092100020C0008200C011A0804080200083009107 +:1092200000C08421000800C100850005004089803D +:1092300005C10003800080110884090800848002B1 +:10924000A082090800881100058005118900C0006E +:10925000C01182808980100540A0A0C0890220052D +:109260008000880009004100090011118000118070 +:109270000200090490008500200088008308110086 +:1092800003038900C1890000118009219100800930 +:10929000008083001180900009008400090089206B +:1092A000008010001100C1000002C000400080409A +:1092B00040000321C0901102C0804000840282411E +:1092C00082A0888080409103020002C01000C0008C +:1092D000040220002084C0100000000488082090B0 +:1092E00000000200A1000010000091040001C0A0D5 +:1092F000004090000001C100100082C04100C1097F +:1093000008A000018490C191000040104100850038 +:10931000C021080204000108C10000A010000000E4 +:10932000C000001008900100C10800908290000069 +:10933000020800A00082A002011102408400A000E7 +:109340000890880505041001C00110000089101163 +:1093500090C10002008400A191C0408800901005D7 +:1093600011C0000000880003000901010000C011C5 +:1093700001C100000000C01100C001000101041182 +:1093800001C040400089910503C0000000000000BA +:10939000022101C100100003002002C0000100886A +:1093A000010100C101000088A00001C00100008887 +:1093B000400000C020092088A09008C008050102D4 +:1093C000A0058310001004410011A100110000034A +:1093D00000A102890080008300A11180100000001C +:1093E00041A1099000030011058800C1412100112D +:1093F000800511C100090009002100800800100843 +:109400000021418380A0038389A191910000000382 +:109410000000800511C1000900844108808000100F +:109420000010212100914109000202091185050067 +:109430001100A0000980110500C1801100898088F9 +:1094400004C100A18404208903080521040021002F +:10945000030400000980A100838080000000A102B5 +:109460008011058000000321214108008005218032 +:109470001140110003118821210403002002A110D2 +:10948000C01120000900418083859000110900006F +:10949000418011C105808511A10200001000051155 +:1094A00020001100210000028591912120110385E7 +:1094B0008803000309004180C12091000900084091 +:1094C00011080811118010210811100910800200E4 +:1094D0000100010000C00190C100109008000000D0 +:1094E000C1010200409040000401200111880200E7 +:1094F00001A0010000000101C10190010100010073 +:10950000C1002000000000009110888891008800B0 +:1095100000009102411088080401C1094000400088 +:1095200010000088010001000000C0881100010146 +:109530000010C001900100000004C140210009207A +:1095400091009002900200848801000101410140D5 +:109550000000480002D00B004800480002D0000084 +:109560000021480002D00B008400484202D00B04C6 +:109570008200484200D00B008241002100000B0015 +:109580000000604200D00B040042484200D00B00B3 +:1095900000000000480000D00B000000480000D090 +:1095A00000000000480600D006000000480000D07F +:1095B0000B004121480002D00B00000041428060B6 +:1095C0000B040000488100D00B000000004200D0D6 +:1095D0000B018100000000000FF0FFF00906FF669C +:1095E00000CCFFFC2828FF000066CCCC03F3C0CFE2 +:1095F00000F0000F00000000FF000000FF0000006E +:10960000FF000000FF000000FF00000000005666A1 +:1096100000CC00560000FF00FF0000FFFFFF2841C4 +:10962000FBC12841FBC100000000F0F00000FF007A +:109630000000F0F00000FF000000F0F0000000006B +:10964000FFFFFF66FFFF3C3CFF3C201004080000CA +:1096500000000000090B000000000FF0FFF0906018 +:10966000F660CC00FC004141FF000066C3C303F379 +:10967000C0CF0FFFF0FF00000000FF000000FF0060 +:109680000000FF000000FF000000FF0000000000DD +:109690006666003C00660000FFFCFF3C00FFFFFF29 +:1096A0002841FBC12841FBC100000000F0F0000090 +:1096B000FF000000F0F00000FF000000F0F00000EC +:1096C0000000FFF4FF66FCFC3C3CFF3C40800201D4 +:1096D0000000000000000F0B000000000000000070 +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:10975000000000000000000000006E0C76303FFFAB +:1097600030C0267F2211267F221101010100000056 +:1097700000FFFFFFFF0FFFFFFFFF00000F0F0000C4 +:109780000F0F00000F0F00000F0F00000F0F00FF62 +:10979000333304FB00004281C3000000003CFFFFA4 +:1097A0001DFF0500E0F7A0050C0C0C0C00003C3C74 +:1097B00000000F0F000000FF00000F0F000000FF6F +:1097C0000F0FF0F0FCFC3CFCFFFFFFFF00820014D9 +:1097D000000000053CBC3CBCC3C309060C6E3076DF +:1097E0000C0F0C03267F2211267F22110909090084 +:1097F00000000000FFFFFF0FFFF0FFF000000F0F61 +:1098000000000F0F00000F0F00000F0F00000F0FE0 +:1098100000FF333300FF00004281C3000000003C22 +:10982000FFFFBDFFA000E0F7A0050C0C0C0C000032 +:109830003C3C00000F0F000000FF00000F0F000075 +:1098400000FF0F0FF0F07C7C3CFC00FF00FF82006B +:109850001400000000003CBC3CBCC3C39060056128 +:10986000900D8509B20000E300058009A200156192 +:109870004A0D859920A00069002D80092000457BB4 +:109880008A258520960248F38026002D920245FB0A +:109890008A2E852DA41200010029804900080000AD +:1098A0008041570D80A0920000E3000680058200F1 +:1098B000407380208029920245E28A09852192A284 +:1098C00045A78A088525800215713024809D0401F2 +:1098D00000610021800D00A005710027009D000897 +:1098E000FFC3FFFFFFFFFFF055FFFFFFFFFFFFFF7D +:1098F000FFFFFFFFFF55FFFF0FFFFFFFBFAAC3FFE3 +:109900000000F00CC303C0030000FBFF00080008C8 +:10991000F3F300F300FF0FFF004200C250440000C9 +:109920000000800280824100410004040000504099 +:109930005040FA00FFFFC0C0FFD5FB04000000004C +:10994000AA9AAFAF0F0F00000000FF000C0C000040 +:109950000030FB00FF0000020000000006000030A5 +:109960000030FFC3FFFFFFFFFFF055FFFFFFFF0FBA +:10997000FF0FF0F0FFFFFF55FFFF0FFFFFFFBFAA34 +:10998000FFFF0000F00C00C0C0030000FBFF000858 +:10999000000800F300F300FF0FFF004200015050E9 +:1099A00000000000800202000041410004040000A9 +:1099B000F0C0F0C0FA00FA00C0C0FFD5FB04000000 +:1099C0000000AA9AAFAF2F2F00000000FFAA0C0CD6 +:1099D000000000C004000000000000000000900033 +:1099E00000000000C003CFF3CF0C3F03C0FC30F3F6 +:1099F0000FC3C30F00003CC3CF0C3F03000F00F0A8 +:109A0000C35555C3F0F000F0000000003C0000001A +:109A100000200000C2FAC3FF00C000008002808264 +:109A2000A0A0003C0000FF000000000000000C00AF +:109A30000000000050F00F3F003C0000000000C09C +:109A4000003CAA0AA00A0FFFF0F00F000F00000070 +:109A50000F00000011220B0F040000080020060078 +:109A6000000000003030C003CFF3CF0C3F03C0FC38 +:109A700030F30FC3C30FC33CFFFFCF0C3F03F0FF16 +:109A80000FFFC35555C3F0F000F03C9C3C9C3C00DC +:109A9000000000200000C2FAC3FF00C000008002E6 +:109AA0000200A0A000000000FF00000080438043EF +:109AB00000000000000000F03F3F3C3C00000004BC +:109AC00000C3003000A0A00A0F2FF0500F000F00BD +:109AD00000000F00112200000B0F040000020080A4 +:109AE000900000000000303000000000200800005E +:109AF00020000000840020000000000000000000A2 +:109B00000000201088008800000084002010000061 +:109B1000200084002000200004108800880000003D +:109B20000000000020000000000084002000200051 +:109B30000400000020001011000020002000200080 +:109B40008400000000008800000084004000000045 +:109B5000200000000000200000000000040084003D +:109B600000000000000020000000000000000000D5 +:109B700000000000000000000000000000000000E5 +:109B800000000002000200020000000000020000CD +:109B900010000000000000000000000000000000B5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000002000000000000A3 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000200000000000083 +:109BE0000000000000000000000000000000000075 +:109BF000000800000000000000000000000000005D +:109C0000000000000000840084000000000000004C +:109C100000000000000000000000000000009000B4 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C4000000000000000000000000000000090097B +:109C50000000000000000000000000000000000004 +:109C6000000084020000000000000000000000006E +:109C7000000000000000008800000000000200005A +:109C8000000000000000000002840000000000004E +:109C9000000000880000000000000000000000003C +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD00009000000200000000000000000840000D7 +:109CE000000000000000000800020000000000006A +:109CF0000000000000000400000000000000001050 +:109D00000000400000000000000000000000000013 +:109D100004000000000000000000004000000000FF +:109D200000000000000500410000000000000000ED +:109D3000000000000010000000000000000008000B +:109D40000000000000000000000000000000000013 +:109D50000000004100000000004100000000000081 +:109D600000000000000000000000000000000008EB +:109D7000000000002000000000201002038808847A +:109D8000000008C000C00800008200C0000000847D +:109D9000008400000000008400A0000011200020CA +:109DA000210020820020002003000020002021202C +:109DB00003000000009003002100000008000300E1 +:109DC0002100080008A00000009000A0000000048E +:109DD0004100000008840820000003200020080043 +:109DE00000000000412003C008A000C04100002086 +:109DF000218400C0000000000000000000000000FE +:109E00001000040000090000000000000000000035 +:109E10000000000000009000A0408800400000000A +:109E2000000040000000900000000000000008005A +:109E300000000000000000000000000040000000E2 +:109E40000000C000001108000000000082000000B7 +:109E500090000800000000009000000000000000DA +:109E600000008200900040000000000000000000A0 +:109E70000000820000000000000000000000000060 +:109E800000000000000000000000000000000000D2 +:109E9000000000840000000000000000000000003E +:109EA00000000000000000000000000000000000B2 +:109EB000008800000000000000000000000000001A +:109EC000000000000000000000000000000000088A +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000004110 +:109F10000000000000410000000000000000000000 +:109F20000000000000000000000000000000000031 +:109F300000000000000008200000000000000000F9 +:109F4000040000000000000000000000000000000D +:109F5000820000000000000000000000000000007F +:109F6000000000008200000000000000040000006B +:109F7000000000000000000000000000000004C01D +:109F800021000082000020C000000404000421849D +:109F900010400900000000C000844000000000A044 +:109FA00000004000401002880000114011A0008411 +:109FB000008803C020004084002000002100000031 +:109FC000002000840000000041080000000000C0E4 +:109FD000040402001108000000040084110840007D +:109FE000000403000084030000A00000082008848F +:109FF00011C00800008211C009C003400002000027 +:10A000000000000000000000400000000000000010 +:10A0100000110000000000000441000000000000EA +:10A020000011881100000000000200000000000084 +:10A03000400000004000000040410000000000001F +:10A040000000C0110000000000000000001100002E +:10A050000000000000000000000000000000000000 +:10A0600000000000000200110000000000000011CC +:10A07000000090000000000000110000000000003F +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000004000000000012 +:10AA400000000400000004000000000000000000FE +:10AA500004000400000000000400200004000000C6 +:10AA600000000000000000002000000000000000C6 +:10AA700000000000200002000000000000000000B4 +:10AA80000000000000000000000000000000820044 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000400000072 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000030000000000200033 +:10AB00002000000000000090000000000000000095 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000040001 +:10AB500000000000040000000000040000000000ED +:10AB600000000000000000000000000000000000E5 +:10AB70000000000000008200000000000000000053 +:10AB80000000000000000000004000000000000085 +:10AB900000000000000000000000000000000000B5 +:10ABA0000000000000000000001000000000000095 +:10ABB0000000000000000000000000000000020093 +:10ABC0000000000000000010000000000000000075 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF00000000000000000000000008800000000CD +:10AC00000000000000000000000000000000000044 +:10AC1000000000000400040000000000000000002C +:10AC20000000000000000000000000000000000024 +:10AC300000004000000000000000000000000000D4 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000002000A0000000000000000000000024 +:10AC7000000000000000A000840008008200000026 +:10AC8000000020000000000040002000C000000084 +:10AC90000800000090000000A0000000080008006C +:10ACA00088008200000000004000000082000000D8 +:10ACB0000000000000000084000000000000001000 +:10ACC0000084000000000000000000000000000000 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000100000000000000000000054 +:10ACF00000840000000000000000000000000000D0 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD300000000000000000200000000000000000F3 +:10AD400000000000000000000000000000100000F3 +:10AD500000000000000000000000000000100000E3 +:10AD600000000000000000000000000000000800DB +:10AD700000000000000000000000000000000000D3 +:10AD80000000001000000010001000000000000093 +:10AD900000000000000004000000000000000004AB +:10ADA000200000000000000004000000000000007F +:10ADB0000000002000000000000000000000000073 +:10ADC00000000000000000C00000000000000000C3 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE3000000000040000000000000000000000000E +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE80000000000000009000000000000000000032 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000001000000000000000000000000072 +:10AED0000000000009000000000000000000001059 +:10AEE000001000000008000400C000000000000086 +:10AEF0000000000000000000210000000000000031 +:10AF000000000000000000000084000008000000B5 +:10AF100000840000000000000000000000000000AD +:10AF20000000000000000000000000000010000011 +:10AF3000000020840000000000000000000000006D +:10AF400000000000000000000004000000000000FD +:10AF500000000004000000000000000000000000ED +:10AF600000000000000000000400000000000000DD +:10AF700020000000000000000000040000000000AD +:10AF800000000020000000000000000000000000A1 +:10AF900000000004000000000000000408000000A1 +:10AFA00000000000000000000000000000000000A1 +:10AFB000000000000000000000000000040000008D +:10AFC0000000000000000000000000000000000081 +:10AFD000000000000000000004000000000000006D +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000200000000000000031 +:10B000000000000400000000000400000000001028 +:10B01000000000000000000000000000000004002C +:10B020000000000000000000000000000000000020 +:10B0300000000000000420000020040000000000C8 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000001100000000000025 +:10BA1000000000000000000000000000000488009A +:10BA20000000000000030000000000000000000013 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000010000000000000E6 +:10BA500000000000000000000000000000000000E6 +:10BA60000000000000000000000040000000000096 +:10BA700000000000000000000000000000000000C6 +:10BA800000000090000000000040000000000000E6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000400000000000000046 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0004000000000000000000000000000000016 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000004000C00045 +:10BC00000000000000000000000002000000000032 +:10BC10000000020020000000000000000000000002 +:10BC200000000000000040000000000000000000D4 +:10BC300000000000000000000000400000000000C4 +:10BC400000000200000000000800020000000200E6 +:10BC500000000200000000000000000000000000E2 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000400000000000090 +:10BCB0001000000000000000000000000000000074 +:10BCC0000000000000000000004000000000000034 +:10BCD0000040000000000000000000000000000024 +:10BCE000000000000000000000000000000000094B +:10BCF00000000000000000000000004100000004FF +:10BD0000000000000000000000000009000000002A +:10BD1000000000040000000000001000000000000F +:10BD2000000000000000000000000009000000000A +:10BD300000000000000000000000000000000011F2 +:10BD400000100000001100000000000000000000D2 +:10BD500000000000000000000000000000000000E3 +:10BD6000002000000020004100090011000900111E +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB00000000090000000000000000000000000F3 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE000040000000000000000000000000000000F2 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE9000080000000000000000000000000000009A +:10BEA0000000000000000000000000000000000092 +:10BEB000000000000000000000000000000000047E +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000040000022 +:10BEE0000000000000000200000000000000000050 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF9000000000000040000000000000020000005F +:10BFA000000004000000000000000000000000008D +:10BFB0000000000000000000000000000000000081 +:10BFC000000000000000000000040000000000006D +:10BFD0000000000000000000000011000000000050 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800002000000000000000000000000000000A5 +:10C990000000000000000000000000008800880087 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000C000000000000000000000A7 +:10C9D0000082008400000000000000000000000051 +:10C9E00000000000008200C0000000000000000005 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000041B5 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA80000000000000000000004000000000000066 +:10CA90000040001000000000000000000000000046 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC00000400000004000000000000000000000E6 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB2000000000000000000800000000900000006D +:10CB300000000002000000000000000000000000F3 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000002000000000000000B5 +:10CB600000000000000000000000000200000000C3 +:10CB700000000000000000000000000000000000B5 +:10CB800000002000000000008800000000000000FD +:10CB90000000000000000000000000000000000095 +:10CBA00000009000000000000000000000000000F5 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD00000000000000000000090000000000000C5 +:10CBE0000000000000000000000000000000000045 +:10CBF00000000088000000000000000000000000AD +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000020002 +:10CC300000000000020000000000000000000000F2 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000020002000000000090 +:10CCA0000000000000000000000000000240004002 +:10CCB000020004400040000000400040020002402A +:10CCC0000384004000000000004009000200020050 +:10CCD00000200000008800000000004000000082EA +:10CCE0000000000000000000000000000000000044 +:10CCF0000040004000400090000002000010004092 +:10CD0000000000400000000000000540004000401E +:10CD100000000000110000000000000000400000C2 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD60000000000000000041000000000000000082 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000004DE +:10CE500000000000000000000000000000000000D2 +:10CE60000000000000000000000000410000000081 +:10CE700000000000000000000000000002000000B0 +:10CE80000000000000000000000000000000004062 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000080200004020000000000008 +:10CEC00004000000000000000384000000000300D4 +:10CED00002040500000011400000000005000200EF +:10CEE00020000000008400A00200020000C000003A +:10CEF00002000200000002000000020000000040EA +:10CF0000000002000200210402842000020402004A +:10CF10000000000000000200000002000500000008 +:10CF2000000002040500024000000000024004402E +:10CF30000440021002000000000000000000000099 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000800000008500000020100088800E +:10D5E00000000000000040000080888001808880EA +:10D5F000000000800080000000000080008000200B +:10D600000000018040802020008000800080008099 +:10D6100000000000010000000000000000A00080E9 +:10D62000000000000080000020000000000000005A +:10D63000000000000080002000800080212000A069 +:10D64000840020A0002000A0202000800080040092 +:10D6500004840000000400080002000000018000B3 +:10D6600000200000000000002000004000402020BA +:10D670000020000000100004000000000020002036 +:10D680000001000040208020000100200020002038 +:10D69000000800030000040000A0000000000005D6 +:10D6A0000004000000000004000000000000000072 +:10D6B0000000000000000020000100200020A00168 +:10D6C00000050000800500010005000100020004C3 +:10D6D000800080400000000000000000000000000A +:10D6E00000000000000000000000000000800000BA +:10D6F000008000000000000000800000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000008000000010000079 +:10D7200000000000000000000000000000000004F5 +:10D7300000200000000000000000000000000000C9 +:10D740000000000000000000000000000000008059 +:10D7500001000000000000000000000800080008B0 +:10D760000084000000000000008400000900004068 +:10D770000000002000000000000000040088008479 +:10D780000008000000000000000000000000000091 +:10D790000000000000000001000000200000000167 +:10D7A0000000000800000000000000000000000071 +:10D7B0000009000900000000000000000000000057 +:10D7C0000000000800000000000000000000000051 +:10D7D00000200408000000000000000200050021F5 +:10D7E00000080008000382080002A0040080010372 +:10D7F00000100040000300000900002100000000AC +:10D8000040000000000000000000000500000000D3 +:10D810000008000310410500000000000004002182 +:10D82000000000000480000020000000000000084C +:10D830000008002100210002010300100000000088 +:10D8400000040002000400000000000300080000C3 +:10D8500000000100000800000000000000010000BE +:10D8600000000000000000000001000100010002B3 +:10D8700004000001000100002100880000000000F9 +:10D880000000800000000000000000000000000018 +:10D890000000000800008000A0000000000000015F +:10D8A0000000000000008002000080000000000076 +:10D8B00008010001000000000001200000830000BA +:10D8C0000000110100010001000000000000000143 +:10D8D0000000000004000000000000000000000044 +:10D8E0000000A000400004800000040000000000D0 +:10D8F00000800000000000000000000000000000A8 +:10D9000000000100C0000000000008000000C0008E +:10D910009000200000000000000000000000000057 +:10D9200000000800008002000000400000000080AD +:10D930000080A00000000000020001000000008044 +:10D94000008000000000000000000100898021002C +:10D95000000002000000000000004000A0000000E5 +:10D960000000000800080000802000008000000087 +:10D970000000000200840000000000000800000019 +:10D980000000000004000000000200A000000000F1 +:10D990000000000080000082000000000000000085 +:10D9A00000000000800000028000000080000000F5 +:10D9B00000040002000000000000800040000000A1 +:10D9C0000004000800000000000000002000200407 +:10D9D000849000000000000000A00000800000040F +:10D9E00000210021000008850005000000028203DC +:10D9F00002000203044108030002000000030000CB +:10DA000000001008000000200000000008040000D2 +:10DA100001020021000000000000A011A00082050A +:10DA200000000004A00000008208000000000000C8 +:10DA30000000002100000000000000032000400062 +:10DA40001005A004C00400091008000082000000B6 +:10DA50000020000000000004000000000080000022 +:10DA6000A00200000000000080040000000000018F +:10DA70000000800080008000000000010000000025 +:10DA80000000000080000000000100000000000114 +:10DA90000000040100000000000000000000000081 +:10DAA000000000000001000000000001000000A0D4 +:10DAB00000000000000000000000000000008000E6 +:10DAC00080008000000100010000910100000000C2 +:10DAD000000000010000000000010000000000083C +:10DAE000000000010003000500008240000304055F +:10DAF00000081120050402A100008202900002002B +:10DB00000200202000000021000000004021000051 +:10DB100000000009000002054000000000050808A0 +:10DB2000004108000000004100400000002100000A +:10DB30000005C0020000000900050809000220419C +:10DB400020058200000902002005000400410100B8 +:10DB500000210000000089038808000090000000F8 +:10DB600000084021000500000000000000A10000A6 +:10DB70008000000190018200802000000001000070 +:10DB80000000000080010000000000000000000014 +:10DB90000000000000000000000080000000000005 +:10DBA0000000000000000000000000010000000074 +:10DBB0000000000000010000000000000000000163 +:10DBC00080008000000000000000800000010000D4 +:10DBD000040000000000000020000001020000001E +:10DBE0000000000080000000008888820408C01047 +:10DBF0004108008282890009888900910200909181 +:10DC0000000000000001000100A0018800C00082A7 +:10DC1000000089900201000000C01091C0000000C7 +:10DC200000C000910001000000000005009100020A +:10DC30000004000000000100000001C1008210C0CB +:10DC40001091019121901040008900010190410044 +:10DC5000000100C000910000000101C08890000098 +:10DC6000000100C1C091119100C100C0008380A0DB +:10DC70000008828010A10082008990890091802193 +:10DC80008091000500030020001000000300000048 +:10DC900000000011899011030011008911A00009F2 +:10DCA0000000408000A0002000040000001100835C +:10DCB00040800084000000000800000011C120A185 +:10DCC000C0050009219183A18540008900110983C5 +:10DCD00002000009008500A0000300401183A1900C +:10DCE0000009002002A005A08320002084000283F8 +:10DCF0002091028382008000000289801100A0111F +:10DD000041808580000510200480840010002104DB +:10DD10001180208000000900910082800500000031 +:10DD2000800091830000000000008080000000005F +:10DD30000011800000898000210083088004000019 +:10DD40008011000480890280080309800089410055 +:10DD50000905C0118089000000008020808011002A +:10DD6000000004009080100000118080C0C005A059 +:10DD7000914191A09105890090008883A1900000B5 +:10DD800083010084C090400041018384C0400100B1 +:10DD9000010001040004000001008500C0900000A3 +:10DDA00000008400C091000000000000909000027C +:10DDB00000001041A0000089088805000801C0018A +:10DDC00000004000C001C00940A011010010001176 +:10DDD0000000010084A1C091000000008401C090F7 +:10DDE0008400000001009190018400008440C190F3 +:10DDF000080000110489A0110208000302A1041107 +:10DE000040000188919102C100820082004000C15F +:10DE1000040000008801080000000001119101C108 +:10DE200000000000009100C000000000849001C0CC +:10DE300000001002010000080201000002000004BE +:10DE400000C0088501A1014100C1C000010104001A +:10DE5000020100000100009101C100008800009053 +:10DE600001C000000100019100C00000004190913C +:10DE700000C1800440880321809100C1008341A13A +:10DE8000C0028000098591A180C0001105000380B7 +:10DE9000059180000000001180000000022005852F +:10DEA00011C10010001000A10090000004000080CB +:10DEB00041800000008011004182802000000000AD +:10DEC0000000028080041110098200A08011110856 +:10DED0008040801100001100058511C1000005007F +:10DEE000038002800000030008A009800005008470 +:10DEF000802100C1A18040910000030400108480B3 +:10DF000084000400A1219180800000400040800333 +:10DF10000000000009008000000004200000000450 +:10DF20000000001191118040020000118011C140D9 +:10DF300009000300000041209100410084000220FC +:10DF4000112002800900C140110005000000C1003D +:10DF500040418000830041029102050003118009C5 +:10DF60000009000000008011004100000004892128 +:10DF70002111040003001140010310820300A000DE +:10DF80000282A10410009101898890009000400055 +:10DF900082200088C000881004008400A00000A037 +:10DFA000000000000001C001200100000001C001CC +:10DFB000209101000000000011010500080004008C +:10DFC000000100C100400000C101890004000400FC +:10DFD00011000000A0009000A1000300A0000401B7 +:10DFE000C000000000009000C00000010000880197 +:10DFF0009001029103008500480000D0000000005D +:10E00000004200000B000000008100C183000000FE +:10E01000484200D00B000000000000D00B000000C0 +:10E02000482100D00B000000488200D00B00000007 +:10E03000884200D00B0000420000480000D00B00D6 +:10E040000000480002D00B000000480000D0000093 +:10E050000000484200D00B008200488100D00B0035 +:10E060000000008100410B000000488100D00B003F +:10E070008200484200D00B000000D3D3DFDF00F065 +:10E080000000FFBF000099004600DFFF20000FF0F6 +:10E0900096666600FF00FFF0FF3C07FF07FF0000E9 +:10E0A000000000000000FF000000FF000000FF0073 +:10E0B0000000FF000000FF000000000033F0FFFF41 +:10E0C000003C003C0000000000FFFFFF30C030F0CB +:10E0D0003300F3C0202000000000000028410000B1 +:10E0E000FF0000003C3C0000FF0000003C3C000042 +:10E0F000FF0000003C3C000000F00000FFFFFFFFBD +:10E10000FF000000FFBF000099004600FFFF000075 +:10E11000FF00666600001800FFF0FF3C07FF03FBEE +:10E120000000000000000000FF000000FF000000F1 +:10E13000FF000000FF000000FF00000000000000E2 +:10E140000000003C003C0000000000FFFFFF0C034B +:10E15000FCFF0033F3F300000000000000000000AB +:10E160002841FF0000003C3C0000FF0000003C3C58 +:10E170000000FF0000003C3C000400F00000000034 +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000FFFFFFCB000000007EFFFEFF0009C3CB35 +:10E2100000FF24DB6969F0F00000000000F0FFFF60 +:10E220000C0400000000000000FF00FF000000FFE1 +:10E23000000000FF000000FF000000FF66669999E3 +:10E240000000004D014D000F000FFFFFFFFF1DFFFD +:10E25000140000CF00CC000F000F000F040BC0C053 +:10E26000000000FF00FF000000FF000000FF0000B2 +:10E2700000FF000000FF3C3CC3C33C3C00F000003A +:10E280000099FFFFFFFB000002003C3C3C3C00000B +:10E29000000000FF00FF6969F0F00000000000F2DC +:10E2A0000F0D000000000000000000FF00FF000054 +:10E2B00000FF000000FF000000FF000000FF666696 +:10E2C0009999000000FF00FF000F000FFFFFFFFF04 +:10E2D0009FFF8200CFFFCC00000F000F000F000F48 +:10E2E000C0C0000000FF00FF000000FF000000FFB2 +:10E2F000000000FF000000FF3C3CC3C33C3C00F0BA +:10E30000000000990061300480190000806100263F +:10E31000001D000000610034004D00080061002E67 +:10E320008041200800610006050500A00061033F50 +:10E330008C0092C003E3033E8C0992C003E3033ECA +:10E340008C0DA0C0000000410E01800D0001006195 +:10E35000070F80AD920000830001800D8200004114 +:10E36000002980090005004103398C0092C003E3B5 +:10E37000033E0C0D92C003E3033A8C0580C000619C +:10E3800023258C0D92C00000000006060F06000039 +:10E3900030003030000000FFFF00505050000000FF +:10E3A00000000000C3C33C3C0000FF000000000070 +:10E3B0000000050005000000000000FF00FF000055 +:10E3C0000C0000000000000000300030FF000000E2 +:10E3D0000400000000000000000F000F00000D7D91 +:10E3E0006900EB00002000DF030C030FAA00AA0065 +:10E3F00000000000000000000000000000FF00001E +:10E40000802028285A5A3C00AA55BE7D06060F06D1 +:10E410000000300030B0000000FFFF0050505000FE +:10E42000000000000000C3C33C3C000000000000EE +:10E43000000000000005050000000F0F007F00FF36 +:10E4400000000C0000000000000000303000FF0061 +:10E4500000000800000000000000000F0F000D7D0C +:10E46000000069001400000000FF030C0C0000AA6B +:10E47000AA000000000000000000000000000000F2 +:10E480000000822820205A5A0000040000000000EA +:10E4900000200000000000003C3C000000000000E4 +:10E4A0004001000000000000FF0F00000000109677 +:10E4B000003CE0F0EBFF00000000FFF0FF00BEBEFC +:10E4C000AAAA0000040000000000000000000000F4 +:10E4D0000000000000000000000F000F0B040B0FF5 +:10E4E000000000000B0F040000300030EBFF1400B0 +:10E4F000009C009C050A55AA000000003C3C3C1C06 +:10E500001400FFFF0C0000003C3C00000000000075 +:10E510000000000000000C0000003C3C000800006F +:10E5200000004001000000800000FF0F000000001C +:10E5300000FF00FFEBFFEBFFA05F0000FFF0FF001C +:10E54000BEBEAAAA00000400000000000000283C93 +:10E5500014000000000000000000000F000F0B047A +:10E560000400000000000B0F040000300030000029 +:10E570000000009C009C01024182000000003C3C25 +:10E580003C3C1400FFFF0C0000003C3C00008400F9 +:10E5900000000000840000000000000020000000D7 +:10E5A000000020000000000000000000000000004B +:10E5B00000000000840000000000000020000000B7 +:10E5C000000020000000000000000000000000002B +:10E5D00000000000000020000000000000002000FB +:10E5E000000000002000000000000000000000000B +:10E5F00000000000000020000000000000002000DB +:10E600000000000084008400000000000000000002 +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E710000000000000000088000000000000000071 +:10E720000000008800000000000000000000000061 +:10E730000000000000000000008800400000000011 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000008B1 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000008811 +:10E780000000000000000000000000A000000000E9 +:10E790000000000000000000000000000008000071 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E00000410041000000000000000000000000A7 +:10E7F00000410000000000100000000000000000C8 +:10E8000000000000000000000041000000000000C7 +:10E810000000000000000000000000C000000082B6 +:10E8200000000000001000000082000021000084B1 +:10E8300000000000000008000800000000000000C8 +:10E84000000800000000000000C000000088000078 +:10E8500000A000A0000000C00000000000000000B8 +:10E86000000000081100000000000000000000008F +:10E870000000000000000010000000A000000000E8 +:10E880000000000000000000000000000000000088 +:10E8900000000088000000000000000000000000F0 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000041000000000000000000100000000016 +:10E9B0000000000000000000000000000005000052 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200021000800030800080000000000C00000EA +:10EA30000000000000000000090000002100218407 +:10EA4000000000C0008408840000000000000000F6 +:10EA5000000000000088000000002100000000000D +:10EA6000000000840000000000000000000000849E +:10EA700000A01100000021100000000000C0008470 +:10EA8000000000000000000000C000000000008442 +:10EA9000000000840000080021C0000021000000E8 +:10EAA00021000011000000000000000000009000A4 +:10EAB000000000000000880090000000000000003E +:10EAC00000050000000000000040000000000040C1 +:10EAD0000002000002000000000000000000000032 +:10EAE0000000000000000000000000000021000005 +:10EAF000020000000000000000080000000000000C +:10EB00000000000000000000000200000000000003 +:10EB10000000900000009000000290000002000041 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F0000400000000000000000000000000000008 +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F55000000020000000000000000000000000008B +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D00000000000000000008200000000000000A8 +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000080000000000E1 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F97000000000000000000000000800000000007F +:10F980000000000000000000000000000000000077 +:10F990000000001000000000000000000000000057 +:10F9A0000000000000000010001000000000000037 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000001000000000000017 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:10040000000000000000FFFFFFFFFFFFFFFFFFFFF6 +:10041000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEC +:10042000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC +:10043000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:10044000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC +:10045000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAC +:10046000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10047000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8C +:10048000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7C +:10049000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6C +:1004A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5C +:1004B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4C +:1004C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C +:1004D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2C +:1004E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1C +:1004F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0C +:10050000FFFFFFFFFFFFFFFFFFFF000000000000F5 +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:10054000000000000000000000000000900000001B +:100550000008000000000000000000000000000093 +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C0000000000000000000000000000000000229 +:1005D0000000000200000000000000000000000019 +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000001000000079 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000002000000049 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000020000000000000000000017 +:1007E0000000000000000000000000000000800089 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000004000000000000000000D4 +:1008200000000000100000000000000000000000B8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000100000200000100000000000000000074 +:100880000000000000000000000000000000000068 +:1008900000000000000000008000000000000000D8 +:1008A00000000000000080000000000000000000C8 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000800000000000000000000000010 +:1008E0000008000000000000000000000000000000 +:1008F00000002000000800001000000000000000C0 +:1009000000080000000000000000000000000000DF +:1009100000000000000800000008000000000000C7 +:1009200000000000000000000000000000000000C7 +:1009300000000003000000000000000000000000B4 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000295 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000050000000072 +:100980000000000000000000000000000000000067 +:10099000000000080000000000000000000000004F +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC00000000000000000000000000000000082A4 +:100AD000050005A000000500210000004100000005 +:100AE00000000000000000000000100000000000F6 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000030000000000030000000000080000A7 +:100B4000000000000000000000080000000000009D +:100B50000000000000000020040000000000000071 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B8000000000080000000000000000000000005D +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000100000000000000000000E3 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000021A3 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000001000000000000000000084 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C8000000000000000000800000000000000213B +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000005040000050016 +:100CD0000000000000000000000000000000000014 +:100CE00000000000000010000000000000000000F4 +:100CF00000000000000000000000000000001000E4 +:100D000000000000050000000000000000000000DE +:100D100000000004000000000000000000000000CF +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000010000000082 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000400000000023 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E00000000000000090000000000000080000059 +:100E100000000000000000000000000000000000D2 +:100E20000000000000000000000000000000800042 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E800000000000000000000000000300000080DF +:100E900000000080000000000000000000000000D2 +:100EA0000000000000000000000000000000000042 +:100EB000080000000000000000000000000000002A +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000020000000000000000000000000000F0 +:100F000000000200000000000000000000010000DE +:100F10000040000000C000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F3000000000400000C0000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000100000000000000000000000070 +:100F80000000000000000000000000000000000061 +:100F90002000000001000000000000000000000030 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000800000800000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:10100000000000000000000000000000000000419F +:10101000000080000000410000000000000000000F +:1010200000000000000000000000000000000000C0 +:101030000000000000000080000000000000000030 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:10107000000000000000000200000000000000006E +:10108000000000000000000000110000000000004F +:1010900000008000000000000000000000000000D0 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000001000000000000020 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:10111000000000004000000000000000000000008F +:1011200000000000000000000000000000000000BF +:10113000000000000000000000000000006000004F +:10114000004200000000000000000000000000005D +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000810000419D +:1011900000000000008200410000D00000000000BC +:1011A00000004800000000000000000000000000F7 +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:101240000000000000080000000000000000000096 +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:101290000000000014018000021000E18001000045 +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000002000000000000001C +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000020000000028A3 +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:1013900000000000000000000604000000004008FB +:1013A0000402000000000000000000000000000037 +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:101420000000102A54080000000000000000000026 +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000040008BF +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A0000040000000800000000534000000000042 +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000100000000000000000A +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000808000000000000909000000000000A8 +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000020000000000020003A +:10167000000000000000000008000400400000001E +:10168000000000000000000020000000000000003A +:1016900010000000000000000000000040000000FA +:1016A000000000000000000000000000000000003A +:1016B00020000000000000000000000020000000EA +:1016C00000000200000040008800200000008800A8 +:1016D000000000000000000000000000000000000A +:1016E000000000000000000000008000000000007A +:1016F000800000000000000000008000800000006A +:1017000000000000000000000000000000000000D9 +:1017100000030000000000000000000000000000C6 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000080000000000000008000000099 +:101750000000000000000000000000000000000089 +:10176000000000004000080000000002020001002C +:101770000100410820022102200000000800A00012 +:1017800040000000000000001000000041002000A8 +:101790000000000000000002000000000020002007 +:1017A000A120050000000000000000030000000070 +:1017B000400200000100040003000000008001005E +:1017C0000100000010080100002000000000890056 +:1017D0000100000000000000000000000000000008 +:1017E0000000000000008000800000002101800057 +:1017F0000400100090018001C001800000088000FA +:101800000000800000000000000080000000820056 +:101810008008000000000000000100020000000934 +:1018200020012001A0820000008400004000000090 +:1018300000008083000020008000A0000000000263 +:101840000200200000000001100000010000000064 +:101850002000200000000000000000000000000048 +:101860000000000000000000000000000000000078 +:101870000100000001080000400000020000010813 +:101880000000000000000000000000020000002135 +:1018900000100008002000000000000200200000EE +:1018A0000003008400210020000400000002000268 +:1018B00000000004000200200000000800000000FA +:1018C000020000000000000000080000000400000A +:1018D0000000000000080000000000000000000000 +:1018E00000000000000000000000000000000000F8 +:1018F0000000200000001001000080000001000036 +:1019000020010300000009000000000000000000AA +:1019100000000001000100000000000000000000C5 +:10192000000000000004000000010001410000016F +:1019300000000000000000010001000000000000A5 +:101940000000800000000000000000000000000017 +:101950000000000000000000000000000000000087 +:1019600000000000000000000000000004800000F3 +:1019700004A00580040004880004010400020000A3 +:10198000008840800900834010888404000400021D +:101990008400008200210008C02100000100000234 +:1019A00000020100A0A00184084000C0000400055E +:1019B0000000000500100080008200801140200817 +:1019C0002020210410001020000020201008118485 +:1019D00000042004000000002100000000000000BE +:1019E0000000000000000000000000000000802057 +:1019F00000008005840880008020000110010301A0 +:101A0000000000038008820004012104000141015C +:101A100000012100004000000000008400004000A0 +:101A20000001000120000003104100010005000139 +:101A30000000000000000001004000410040900153 +:101A400080018001820180008001410085018001C8 +:101A5000A0050085800100840000A00000000000B7 +:101A6000000000000000000800009000900000004E +:101A700010000020040010200404200420040002B0 +:101A80008800400220008204004020200408400317 +:101A900088038400000008040403000340050000DC +:101AA00000400203880404020008C008080008007F +:101AB000C00000034000000200400240000000207F +:101AC0000000100090202008C00002000404000064 +:101AD0002004042020208200002140000200200079 +:101AE00000000000000000000000000000000000F6 +:101AF0000000800000008000800000018001800064 +:101B00000000000080008000000100008001000152 +:101B10000000000000000000800000000000000045 +:101B200000000000000000000000000100010000B3 +:101B30000000000000000000000000018001000221 +:101B40000001000080000001800000008000800192 +:101B50000000000080018001000000000000000083 +:101B60000000000000000000000000000000000075 +:101B70000000000010002080200010021184C10528 +:101B8000A003000300002009820021212004110489 +:101B9000208411001008C021C00382211010902160 +:101BA000A00300000802000200000005100001046C +:101BB0000084830800030005000584050000002060 +:101BC00000200040008001800000010000000400AF +:101BD00000800480C12082208208A1000000C00093 +:101BE0001003000000000082000000000000000060 +:101BF00000000000000080008010800000018409C7 +:101C000020000000000000828008000088008001A1 +:101C100020018009100021000000000000000001E8 +:101C200000000000000000000001000000000000B3 +:101C30000401002104010000000000000000000079 +:101C4000080100010001000404400000040000003D +:101C50008084002080400401030100001000000087 +:101C600000002184000000000000000000000000CF +:101C70000000000000004090010902A00809400295 +:101C800011910011000111C041000509100211005D +:101C900000080010000088C1000300002011C108E6 +:101CA0000208002182900000C0C00008001040001F +:101CB000000089000001000908C10009001010900F +:101CC00089C000909088900089C000909088900012 +:101CD00088C0019000081020888889904001888978 +:101CE000C0C00000009010080000000000000000CC +:101CF0000000000000000000801109119088809110 +:101D0000808009891091000310851021C08380C054 +:101D1000900303A1090300080091009009050089C0 +:101D20009000008000110000000085C110A1008219 +:101D300000030000891103110083039100900080CB +:101D4000800089801180008080008980008002806E +:101D500080000080098010828000032089A19111F9 +:101D6000808380C10020001080100000000000006F +:101D700000008000000000000021024109A0911134 +:101D80009100910009080305C1098308210905880C +:101D9000C1C0000408008004808088000905030099 +:101DA000C109410011211011820300001000080335 +:101DB0008820A090020009001100C1890500A00838 +:101DC00003008500000080030080858000118003EF +:101DD00000858080910089008811C18003008903FB +:101DE0001100C1898800100885918300000000005F +:101DF00000000000C00000000000000010019190F1 +:101E0000A1089000082003010100C1010891010010 +:101E10009110C188880040008420C088089020006C +:101E20000002C101201008004091C0010000200004 +:101E300000010400C008908891882100C1110200AF +:101E400003000090C000000082014040C0900000EC +:101E500082010041C090080083000800C19040004A +:101E600003010100C189050201010511C010000034 +:101E70000000000000000000000000000000101042 +:101E80000082039082098888011008910101A00353 +:101E90002004080108C0000111A0028900002000F0 +:101EA0000110208804C0084000A004022040000067 +:101EB00040000004001002C10000010008010101FF +:101EC00090000020000800C000A00088029188C196 +:101ED00000848888028589C120028840910911C147 +:101EE000909000200391850308200004010010C099 +:101EF00000000000000000000000000000000000E2 +:101F00000089208908099041001003804185114112 +:101F1000008800C10005A088000890800510090213 +:101F20000000110008008080808000808080000018 +:101F30000000000220830090829109110300C10576 +:101F4000114100000200418000800003008880A150 +:101F5000809100808080008591C180808088888504 +:101F600091C180800080058591C1800002800500BC +:101F700008800000000000000000000000000000D9 +:101F8000000008A1C11110800811C18991C1008011 +:101F90008011C1C021881000A003801000411100F1 +:101FA0000000C18591008500030990058805830024 +:101FB00003080000A11021801000910841899102BE +:101FC0000000001180080000000080400008008828 +:101FD00080008091000280C180808010008000C05D +:101FE00000000011C1A19191C1C10011A190118007 +:101FF0004100891000000000000000000000000007 +:10200000000000000109102088409101918911040D +:1020100000040401C1C0A00200020401C00002408B +:1020200090000000C1402190021000000340208277 +:10203000050090010000C188108283880500018995 +:10204000090000200001C08388200008080000016A +:1020500040889000021100008888908490010088D8 +:102060000089002000019189910440020001C11003 +:1020700021020088040100000000000000000000B0 +:10208000000000000000608200D00B004800418288 +:1020900000D00B000000410000D00000840041810E +:1020A00000D00B000000410000D000000000410003 +:1020B00000D0000041000000410000D000004200BC +:1020C000410600D00B000000880000D00000000096 +:1020D000812100D00B000000818100D00B000000A6 +:1020E000411100D00B010000842100D00B00000042 +:1020F000410000D00000420000000000000000008D +:1021000000000000000000007C607C6067676F6F6B +:102110003CFF3C006E626E62A5000000A0A00000C3 +:1021200096F0996699699969600600006900000057 +:1021300020041008DFFF20000000330000006006CC +:1021400000000000FF000000000024180000609064 +:10215000000020002000CF305666400040003CCCFC +:10216000665600000000F3FF99990FFFF000DF2092 +:10217000FF00A5000000282800000000000000006B +:10218000000000000000000000003C003C0067610F +:102190006F603C3C3C3C6E626E6200A50000050531 +:1021A000000096F0996699699969900900000069A4 +:1021B000000040028001FFFF00000000003300002B +:1021C000900900000000FF000000000042810000B4 +:1021D0000609000000000000FF0066660000000025 +:1021E0003C3C66660000000030009999FFFF00004B +:1021F000FF00FF0000A500004141000000000000BA +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:102280000000000000000000000000000000FFF956 +:10229000F6F02424242400F00FFF000014140000A2 +:1022A000050500004224000F000F000088440000D4 +:1022B000422400000A0A000000040000A0050000FB +:1022C00000000906000000A53C3C00000000300CA6 +:1022D0000000030C0000050566690FF066690FF049 +:1022E00066690FF066660F0F0000626E99663C0F1C +:1022F00000FF24DB00000505000014140000005A54 +:1023000000000000000000000000000000000000CD +:10231000FFFBF4F0FFFFFF2F0000FFFF00008282B1 +:102320000000A0A000008118000F000F00008844EA +:102330000000811800005050000000000000A005BF +:102340000000000090600000A5003C3C0000000080 +:10235000C0030000C0300000A0A066690FF06669ED +:102360000FF066690FF06969F0F0FFFF626E9999EE +:102370003C3C00FF00FF0000A0A0000082820000A3 +:102380005A00000000000000000000000000900063 +:10239000000000610E27800000000040002D80003A +:1023A000120600E30007800D8A00A040002F800D78 +:1023B000160600C30001800D8A0000610006801728 +:1023C0001206000000E3000680098A0015402A2D4D +:1023D000850012A600E70002800D8A008061202E91 +:1023E000804920088060202F804800080060502F1E +:1023F000809000080040002D8000120600E30004D9 +:1024000080058A00000000000000000000000004B9 +:1024100000008000F030FF3CFFDFAA9AAA55AAFF17 +:10242000FFC33CC3C0C000000000000000000F0F4D +:102430003C3CFF3CFB04FBFF000000000D7D0C3C1E +:1024400000000000000000C300F300FF3CFFAA559D +:10245000AAFFFF3CC33C0000000000000000000099 +:102460000000000A008A00000000AAAAFF00000085 +:1024700000000F000F00F00FF0FFFF55AA55053DBB +:10248000FF3D00000000000000000000000000020E +:10249000000000004000F030FF3C0020AA9AAA553E +:1024A0005500003C3CC3C0C000AA00020000000070 +:1024B0000F0F00000000FB040400000000000C3CB3 +:1024C0000C3C0000000000000000000000FFF0FFD6 +:1024D000AA55550000C3C33C0000000000000000E6 +:1024E00000000000000ACFCF00000000AAAAFF00F1 +:1024F00000000000000F0F00F00F0F0000AAAA5507 +:10250000053DFF3D0000000000000000000000004D +:102510000000000000000000C203C2C300FF20DF73 +:1025200004000400FFDF3C9C0C00000000000C0CC9 +:1025300006900000F0F00000FBFF04009C9C20DFF0 +:102540000000F0F03CFC1414000004000800FFFF41 +:10255000FFDF00040004FF7F807F5555000004105A +:102560000820000000000000555500000000AAAA45 +:102570000000F0F0F0F0DF009C000C000000FFDF36 +:1025800020DFF0C0C1C1FFFFAABE000000000000B4 +:1025900000000000000000000000C303C3C300FFF0 +:1025A00000FF0000000000203C9C0C0000000055D3 +:1025B0000C0C00000690F0F00000FFFF00003C3C17 +:1025C00000FF0000F0F03CFF1414000004000800BD +:1025D00000000020000000000080807FFFFF550009 +:1025E000014002800000000000005555000000007E +:1025F000AAAA00000000000020009C0000000000CB +:10260000002020DF00000000AFAFAAAE00000000F5 +:1026100000000000000000000000000000000000BA +:10262000000000000000000000000000100000009A +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:102670000000000000000400000040000400000012 +:102680000000000084009000A00000000000000096 +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000010001A +:1026B00000000000000000000000000040000000DA +:1026C00000000000000040000000000000000001C9 +:1026D00000000000400000000000000000000000BA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100001000000000000000000000000000000B8 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000400000000100085 +:102740000000000000000000000500040000000080 +:102750000000000000000000000000040000000075 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000554 +:1027800000000000000000000000000090000000B9 +:102790009000100000000000000000000000000099 +:1027A0000000000000000000000000000000000029 +:1027B00000000000000000004100000000000000D8 +:1027C0000000000000000500000000000000000004 +:1027D00000000000000400000008000000000004E9 +:1027E000008400040000050200000000000000005A +:1027F0000000000000000000000800900000000041 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:102820000000000000000000002100000000000087 +:10283000001100000000000000080004000400086F +:102840000000000000000000000000210000000463 +:10285000000000000000001100000011000800400E +:102860000000000000000000000000210000000047 +:10287000000000090000000000000002000000004D +:102880000000000000000000000000000000000048 +:102890000000000500000000000000000000000033 +:1028A00009000200000011000000000000A000A0CC +:1028B00002A00040040440040084110000A0054070 +:1028C00041001020090040A000084000040003005F +:1028D0001040214040880000000000C002000204B7 +:1028E000000420104004104000000000000000041C +:1028F000000000000000004000000000000802008E +:10290000110000000000000041000208050000085E +:102910000200400002000000000000000000000073 +:1029200000000041000000000041000000410011D3 +:10293000000900090011004100000000C011004121 +:10294000C000000200000000000040000002004142 +:102950000000000000000000000000410000C00076 +:102960000000000200000009000200000000004119 +:1029700000410009000000000000000900000011F3 +:102980000003000000410011000200000000900957 +:10299000000900000000000000000000000000002E +:1029A0000000000000000000000000000000000027 +:1029B000000000000000000000000500008400008E +:1029C0000000090040000000000011C0000000826B +:1029D00000020000000000000000000000000000F5 +:1029E00000A0000005000000000009000000000039 +:1029F00000000000000000000000000000000000D7 +:102A00000000000040000000000000000500210060 +:102A1000000000840000008800000090000000001A +:102A200000000000000000000000000200000000A4 +:102A30000000000000000000000004000408400046 +:102A40004000000000000000A000000000000002A4 +:102A500000000000000000110000900000000000D5 +:102A60000000000000000000900090000000C00086 +:102A70008400100000000000400000000000000082 +:102A80000000000000000000A00090410000004194 +:102A900084000400000000000000000000000000AE +:102AA0000000000000000000000000A000C0400482 +:102AB000208800A04004009000820090050009C01A +:102AC00040020008090009004004100841081000F5 +:102AD000109000A010A005020500218810900000B1 +:102AE00000C00904050810C00500008400A0110002 +:102AF0000200092010041000000010200000000057 +:102B000000004000004000000000004000A010084D +:102B1000004000840000000040004188008400C0A4 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B4000000000001000000000000008000000006D +:102B500000000000840000000000000000000000F1 +:102B60000000000000000000000000000000000065 +:102B70000000000000410000000000000000000014 +:102B800000000000880000000000000010000000AD +:102B900000000000000000000000000000028400AF +:102BA0000011000000000000000000000000000014 +:102BB0000000000000000000000011000000000004 +:102BC000000040000000000009A0409011A0008219 +:102BD000110000004100030011020000400000004D +:102BE00000020000080003000000000811000000BF +:102BF00010000000000041000300000041004100FF +:102C0000000041004100090011000000090000829D +:102C100000C0090000884100400000004100410060 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D20000000000000000000000000000000004063 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000001000000000000000000000000000012 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E20000000000000000000000000000010000092 +:102E30000200000000000000000000000000000090 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA00000000000000000000000000000000080A2 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F7000000200000000000000000000000000004F +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:1030300000000000842100000000D00B0000000010 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000042900600000117029D +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B00000000000000000000000000020081002D5 +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000008181000000000000000000000004D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000008C0000000000000071 +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000100000000007B +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:103560000000000090000000A0000000000010001B +:103570000200040020000000000010000000900085 +:1035800000000003000088000000000000001000A0 +:1035900010001000000010000000000300000000F8 +:1035A000000000000000000000000000000000001B +:1035B0001000000000000100000000008200000078 +:1035C00000000900110004000000000000000000DD +:1035D00000000800000000000000000000000000E3 +:1035E00000000000000011001000100008000000A2 +:1035F00000008000C100800000000000000000000A +:103600000000400000004000000000030000000037 +:1036100000000800000000000000000000000000A2 +:10362000000000000000000000000000000000009A +:103630000000090000000000400000000000000041 +:103640000000000090008200000000000000000068 +:103650000000000000000000000000000800000062 +:1036600008000000000000000041000000410000D0 +:1036700000008200000001001000010000000010A6 +:103680000000000000210000080000000000000011 +:103690000000000000008200000000410000000067 +:1036A0000000000000000100000000000400000015 +:1036B0000000000000000000000000000000880082 +:1036C00000000000000900000000000000090000E8 +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F0000000000000000000400000000200030085 +:1037000000000000000800000000000000000000B1 +:103710000000030000000010000000C000100000C6 +:103720000000000000000008109000000000800869 +:103730000000000000000004000000000000008203 +:10374000000200000000000800080000000000085F +:103750000000000000000000000000000000000069 +:103760000000040040000000200000000000840071 +:10377000020000004000400040092000000004005A +:10378000100000000021A000100084804102881079 +:1037900000000000040040040800100001000200C6 +:1037A00000088408841110054000000800098809F9 +:1037B000000000090000004000000000010040007F +:1037C0000000000020000002200000008410002102 +:1037D000C0C1000000000000000000000000000068 +:1037E0000000000000000000090804820000000042 +:1037F0000400090000000000000000000020040098 +:103800000000040010000000000000000040400024 +:1038100000010000098800000000000000004000D6 +:103820000000000000900000000000000000000008 +:10383000000000000000000000000000080004007C +:1038400008880000000000000400000004000000E0 +:103850000000001000000000000000000000000058 +:1038600000000000001000000000000084000000C4 +:103870000000000000000000100000000400100024 +:10388000000005000000000000200000000800000B +:103890000000200000008400000000000000A000E4 +:1038A0000020040002009000000000000800010059 +:1038B0000000000404109000200000000000000040 +:1038C000000040090200900200000000000000001B +:1038D000000004000441000000000000000000009F +:1038E000000000000000000000000000200000A018 +:1038F0000500000000000084200010004100008846 +:103900008090000808C00404000004040084101023 +:1039100010100810000400000000200005840000C2 +:10392000080010888010A0001004000000000002B1 +:10393000080400000001000100002084008400044D +:10394000008200048090800400020000000000104B +:103950000000002080A080000000000000000000A7 +:103960000000000000000000000000000000000057 +:1039700004000000000000000000000040000011F2 +:103980001000C011840200000005841100058400AD +:1039900000089100002000000000002184208840E1 +:1039A00000100200908200820003002100094005FF +:1039B000C00000091020000020112000200000009D +:1039C0000000020090000891040340208400C0021F +:1039D000C0410000000000200000000000000000C6 +:1039E00000000210000000000000000000000020A5 +:1039F000000004000000090000000000000010208A +:103A0000040000000000090009000000210000007F +:103A10000000000910000001002000000400000068 +:103A20001000080080000008000400902100000041 +:103A300000000000000080000000000800100000EE +:103A400000000000800000000020000000000000D6 +:103A5000000000000000000000A0000000000000C6 +:103A60000000000000000000000000000000000056 +:103A700000000000000000000000900000000000B6 +:103A8000000000004020840001208400400000006D +:103A900000090003001020000004002000000811AD +:103AA000002100000008840000800002080082005D +:103AB00008000003A002000900800084000000004C +:103AC0000000080004000000408400890800100085 +:103AD000A0000000A010000910002000000000005D +:103AE00000000000000000000000000000000010C6 +:103AF000001000102000000000C02000200009007D +:103B00000504000011081000000804001100000066 +:103B10002010000000004101038420010009000082 +:103B200011002004100010882000082008059100D2 +:103B30000000208200A020000000044004200808AB +:103B40004104008820100800000080080004830061 +:103B5000202009C0040420A041C000C08310000040 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000100C1000009C1830000C0000011C11183 +:103B9000C001C10000C0C0824000C0000003080096 +:103BA00000000000C1000141C1830000C0000011FD +:103BB00008A1C000C1000009C0824000C00001038C +:103BC00090000000C1000011C1830100C00000404E +:103BD0001005C000C1000085C0820400C1000003C0 +:103BE000A000000000000000000000000000000035 +:103BF00000000000000000000000000000000000C5 +:103C000000001041C1414183C1834041804104A073 +:103C1000088380408241008280800041914111836D +:103C2000800000001041C1410483C18302418041F2 +:103C3000418520038020904100C180800841A1413E +:103C4000208300000041C1410303C1831041804132 +:103C5000118209038840C04100C1808080419041A9 +:103C600020830000000000000000000000000000B1 +:103C70000000000000000000000000000000000044 +:103C8000000000004100034100C1914141418441D5 +:103C90000041400803C1034100C18380C110C141FC +:103CA00000C1800400004100114100C14141414177 +:103CB00083410041910209C1834100C18300C108D1 +:103CC000C1C100C180404100114100C108414141D2 +:103CD00084410041A00809C1A0C100C18300C19076 +:103CE000C14100C180400000000000000000000051 +:103CF00000000000000000000000000000000000C4 +:103D00000000000000000000010100C104110001DA +:103D1000400100018501A0C1A12100C183024082B0 +:103D200040C100C1820000000000000100C1880104 +:103D3000000140210003C08490C1112100C183080B +:103D40004021400500C182000000000100C12101A6 +:103D5000000141010003C10182C1210300C18310A0 +:103D60004011400900C18200000000000000000076 +:103D70000000000000000000000000000000000043 +:103D8000000000000000000000400000C1401021C1 +:103D900000000000C140108300041000C14000007A +:103DA00000850000C1400000000000400000C1404C +:103DB000100200000000C183098300040000C1401C +:103DC000000000040000C140010000400000C140AC +:103DD000108900000000C182C08300080000C140BB +:103DE000000000020000C1400000000000000000D0 +:103DF00000000000000000000000000000000000C3 +:103E00000000000000000000000000800021C1C18F +:103E10008010000000418589418300C18041C1C1FB +:103E200000000020002190C100000000008000215F +:103E3000C1C180A0000000218885908300C100419D +:103E4000C1C100000088002185C120000080002140 +:103E5000C1C1C010000000219083028300410041D5 +:103E6000C1C1000000A0002183C1000000000000CB +:103E70000000000000000000000000000000000042 +:103E8000000000000000000000000000C1C10010A0 +:103E9000A00208C1C14100C102C10982C1C10080A4 +:103EA00080C10000C1C18000808002830000C1C1C8 +:103EB000800591030841C14100C140890803C14107 +:103EC000008080C1000041C1804080800305C1C1E5 +:103ED0008010A04003C1C14100C1C0851083C14111 +:103EE000008080C1000041418005808040050000C5 +:103EF00000000000000000000000000000000000C2 +:103F0000000000000000000000000000000040C1B0 +:103F1000A00141100183400188C184C10010400309 +:103F200040C040C100000805201010C00010000073 +:103F300040C1040040030191400108C184C0011048 +:103F4000408320C040C100009003202040C00140B9 +:103F500040C18201410200834001A0C185C000042C +:103F6000404104C040C100001083208240C0009046 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000004842814100D00B00484270 +:103FA000811200D00B00484200000000000048428F +:103FB00000000000000000004842811200D00B0009 +:103FC0004842810A00D00B00484200000000000077 +:103FD00048420000000000004842811200D00B005F +:103FE0004842818200D00B004842000000000000DF +:103FF0004842000000000000000000000000000037 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000757B0000000000000000AF +:10412000000000000000000000000000000000008F +:1041300000000000DEAE0000757B00000000000003 +:10414000000000000000000000000000000000006F +:10415000000000000000DEAE757B000000000000E3 +:10416000000000000000000000000000000000004F +:10417000000000000000DEAE0000000000000000B3 +:10418000000000000000000000000000000000002F +:10419000000000000000000079E60340000000007D +:1041A0000000023160000000000000000C00000070 +:1041B0000000000000C0679E000079E603000000D8 +:1041C000000000000031C0000000000000000C40B2 +:1041D00000000000000002C0679E79E603000000B6 +:1041E000000000000031C0000000000000000C4092 +:1041F00000000000000002C0679E000000000000F8 +:1042000000000000000000000000040000000100A9 +:10421000000000000041400001000100000000001B +:104220000100014103000004010020000000108093 +:1042300084000005000001000100000000000000F3 +:10424000050021000000000800080000000204082A +:1042500000040400202000850100200588000000E3 +:104260008800000000008800000088040000210091 +:104270000004200020002120400020000000000059 +:10428000000000000000000000000000000000002E +:104290000400000000000000A10020004000090010 +:1042A000000010002000A00000012000000000001D +:1042B0004004210000000000040010000003000082 +:1042C0000000A000A000000020000000000000018D +:1042D0008001000180008001212020009100000069 +:1042E00000000000000000000000000000010000CD +:1042F0002000000100000000840180000000020096 +:1043000000000000000000000000000002000000AB +:104310000000000002000800030004000400000088 +:104320000000010001000000000200002002001156 +:104330000000000000000400040021000400000050 +:104340002000200005202000900000000000000058 +:104350000100010820002100080009800000000081 +:10436000400000000000900000000080008000413C +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:104390000000000000008000800084008000800099 +:1043A000000000002000200000000001000080014B +:1043B00000000000000000008000800084008000F9 +:1043C000000080008000200180000000000000004C +:1043D000000008004001800082008000A020000052 +:1043E000110080001100000000000084000400049F +:1043F0000300000011001100000000080008000088 +:1044000000080000000000000000000000000000A4 +:1044100000000800890000004000080001000000C2 +:10442000008440008280C005010020028820200016 +:104430000021108401038403008300100100032085 +:104440001103000001030109C080008590082004C9 +:104450004005200400400091009120104088100881 +:10446000001001000020200400000000209001A1A5 +:1044700000080008000001410041001108404040D0 +:104480000002010000000000000000000000000029 +:1044900000000000A00020000000C00080004000DC +:1044A00000002102000041042100100080000501ED +:1044B00080A0040080082000008200020901100092 +:1044C000A020C082000020004084004011020500AE +:1044D000A1011000800105010040081080838020A8 +:1044E00080000000400000000000008200C0800941 +:1044F000021000000000400020002188002080837E +:104500008001008520000000000000000000000085 +:10451000200000000000000020100502001009002B +:10452000050004000400110010002011200000020A +:104530009000820040009000000084008400900001 +:1045400000001000110800000000040040000000FE +:10455000A0009000880984110882820004000000F5 +:10456000880002210000C021000020110800000086 +:10457000A00000020800A000000082000011A000BE +:10458000200000000100000000000000000000000A +:104590000000000000000000000080018401000114 +:1045A0008400C00080008000C00080008000800087 +:1045B00000010000000000000000000000000000FA +:1045C00000000000800090010000000480008000D6 +:1045D00000000000000000000088000300008000D0 +:1045E00000000000800009000000000080000000C2 +:1045F000000000000000800000001100000000002A +:10460000000080000000200000000000000000000A +:10461000000000000000400040008400C000C120F5 +:104620000000201082102005200500002011000449 +:104630004005000088800900820440002111100418 +:1046400040000410820891104000000020021080F9 +:10465000200582008200841190002005040082025F +:10466000008008000040018500A100000082A10038 +:10467000410000804000100201000041880000025B +:1046800000004000020404000008000000000000D8 +:10469000000000000000000000000000000000001A +:1046A0004001000080000901800080000084C100FA +:1046B0004100410000A02104A0C005C080001000FE +:1046C000000100008000052020010000000080A102 +:1046D0004040800020A000A000A04100A102C12015 +:1046E000040100081000100140020308000800083F +:1046F00010084000080200002003400004200000D1 +:104700000000000280008900800000000900000015 +:104710000000000000000000200000000000200059 +:10472000C0002000100001802009101000000021AE +:10473000A0C182051021C01082108821A040000075 +:1047400000058400A02000110009C0001010000026 +:10475000C00020200402100090080008A0082004D7 +:10476000000000000003000200089008002100087B +:104770004005040400009021402120008821880485 +:10478000A008820082000000840300A08341000092 +:104790000000000000000000000000000000000019 +:1047A00000000000000080000810800000010000F0 +:1047B0000000000800008000000000000000000170 +:1047C000030003000000000000000000080080015A +:1047D00000000000800100018000000000000000D7 +:1047E00000000000000000000002000000000000C7 +:1047F00000900000000100000000800000000000A8 +:10480000000000000000000000000000000940005F +:104810000000000000000000000000000000000098 +:1048200000001090040409A0C021A008004001105D +:10483000028900C089020001A00300C08291A190FA +:10484000000840C00221C10090110110900388822D +:10485000A0210000090820110200100100C001027F +:10486000C08404C001030388858890820321082145 +:104870008988000901C001C10805848800A10221BE +:104880000009100888C001042108C0021182A0207C +:10489000010402A000000000000000000000000071 +:1048A0000000000090009083050380088009000349 +:1048B00040088008008089110411008300880008E6 +:1048C00003100011C09008880310090808008085B3 +:1048D0000011001100008890A002044180100084A3 +:1048E0000380804000001041094040050091904144 +:1048F000102121C10088109010080020000240887B +:1049000080082003802009801080110000808980A9 +:10491000A1000500000000000000000000000000F1 +:10492000000000000000020008100380400009851C +:10493000201188848408410011108311C10900846A +:10494000000300828008118010020540C1040000AD +:10495000890091100204000010401040C1828503BC +:10496000040000058200801120000910118084805D +:104970000040808800838000008090030900C1808F +:10498000210320030820C103000009080900850550 +:1049900082402008C02003830000000000000000C7 +:1049A0000000000000000000208809011182890831 +:1049B000840204020409C1090200C0000385C10188 +:1049C00020C0040900A1C020081004008400C10117 +:1049D000200240084101089000000190090184056F +:1049E000C011910000000500C08409002182410827 +:1049F000C10200A082C10482C0100408110100009D +:104A0000C108C11004000091C1010000A000040011 +:104A1000C101880001010510011000000000000024 +:104A200000000000000000000000401100A18921EA +:104A300091849088840410218390050201080083EA +:104A400085C1400084002004210904000005010400 +:104A500004C1018201A0C0200841000040118489E6 +:104A6000909100A18421002102A101C0090100212F +:104A70004041C1C18908400800000801840400A029 +:104A8000028400C1900805000310028900A000C044 +:104A90000000A0C0C0029002001002000000000050 +:104AA000000000000000000000000000808800906E +:104AB000902108110800108090214121209103804D +:104AC000418511C180402120A1A0209083200510A4 +:104AD000032000C11000109003100041000080036B +:104AE000840283A0201080210002002111C0022036 +:104AF0000003802011C10482029000084041000898 +:104B00002010200900C100809000080080110020C2 +:104B10000011004100A0800000050000C00000005E +:104B20000000000000000000000000000000410044 +:104B30001111009103102190089083001103C18985 +:104B40001105000008112108900002000300898966 +:104B50009103050083048041820889890280000056 +:104B60004180021003008588A1009100A10080000F +:104B70008005831100008511000200829000020070 +:104B8000C00091100000000388089000020010008F +:104B9000C020110000001000851103002000A0407B +:104BA0000000000000000000000000000000000005 +:104BB000890488C10284C10011830309A000A000F8 +:104BC000C189A1820200010191000308088888A020 +:104BD000C188850020400401C00302400540A004B4 +:104BE000000083C04021C1080291C100030803A056 +:104BF00008000804082010200801900188104104D2 +:104C00001000C000410208C00401410121828884D3 +:104C10009100C10091A08408840008009000C008A1 +:104C20001001000000000000000000000000000073 +:104C30000000884202D00B000012810A00D00B0055 +:104C4000000A410000D000000000481200D02000FF +:104C50008800810000D000000000410A00D00B0055 +:104C600082000000500600D00B006000840000D0DD +:104C700000000021604100D00B000000884100D0FE +:104C80000B005000880000D000000000880000D019 +:104C900000000000880002D000000000418100D028 +:104CA0000B004200000000000000000000000000B7 +:104CB00000000000FF83E7C3F6D2F6F60004000010 +:104CC00000DFDFDF6090000033000000FFFFB07006 +:104CD00000FFFF00300C000060900000CCF0C33CEF +:104CE000000F000F0000DFFFFFFF0F07FF07300C72 +:104CF0000000300C0000DFFFFFFF0FF000FF00FF9F +:104D0000F3FF262626263300000030C000002000D6 +:104D10000000FFFFC30030C00000A0A00000F3624D +:104D2000626200002000000000000000000000009F +:104D3000000000000000FFC3E7C3FFDBFFFF626667 +:104D4000666600FFFFFF0609000000330000FFFF5A +:104D5000BF7F00FFFF00C003000006090000CCF089 +:104D6000C33C000F0C0F0000200000000F00FF00EC +:104D7000C0030000C0030000FFFFFFFEF0F0FF00D3 +:104D800000FFE3EF26262626003300000C03000078 +:104D900000000000C3C3C3000C03000005050000B1 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000008000C3C36C +:104E4000C300FFBD5AE700008844000000A5000031 +:104E500041288DFF8DFF000014140000182400006D +:104E6000030CC0CFC8CE00880044000000FF000043 +:104E7000000009060000030C0000244200000000AE +:104E80003C3AFFFB001C0000000060060000300CF4 +:104E9000000005053C3C3C3A000044440000A005ED +:104EA00000000096660066003C0000000000000064 +:104EB00000000000000000000000000000000000F2 +:104EC000C1C1C1005AE7FFFD000088440000A500F1 +:104ED000000041288DFFBDFF00008282000081425A +:104EE0000000C030C0CFC8CE880044000000FFFFE3 +:104EF000FFFF000090600000C0300000188100003B +:104F000001003C3A0004000000000000900900008D +:104F1000C0030000A0A03C3C3C3C0000888800008E +:104F2000A005000096007E7E7676FF00000000005F +:104F30000000000000000000000000000000006110 +:104F40004025800D000005610A2F850D12A600C2C4 +:104F5000000580008A0000615E6F900D120600E27D +:104F6000000580058A00A5610A2E850700A00000C3 +:104F700080610027800D160600E3000480098A0086 +:104F800005614A3F859000A585610A2FC01D120664 +:104F900000E30004800D8A0000610007800912060A +:104FA00000E3000480058A0000690027C09D000519 +:104FB00000000000000000000000000000000000F1 +:104FC000C396C3C300001000909600060000FF00C7 +:104FD000C33CC3FFFF3CC33CFF00FF03C302FF020F +:104FE000FB04FF00FF5F3C9C00002800AA55F05A1C +:104FF0000000FB041B1B0020FFDFF0FFF000B0F0FF +:10500000BEFF00C300C3FFDFF0D8B0B0B0B09A9AC3 +:1050100020DFFFFFAA00AA5A3C9C393C3C3CFFFF22 +:1050200000003C003C00028008200C000000F0F072 +:105030000000000000000000000000000000000070 +:105040000000C396C3C3000010100999009000002F +:10505000FF00C33C3C0000C3C33C3D013D01C30213 +:10506000FF02FF00FF0000A03C3C00003800AA55F2 +:10507000F05A0000FF000F0F0000FFFFFF00FF00CD +:10508000BEFFBEFF00C300C30020F0D8B0B0B0B078 +:10509000AAAA00FFFFFFAA00AAAA3C3C3C3C3C3C59 +:1050A000FFFF0000FF3CFF00014004100300000070 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000007F7D000000000000E4 +:1050D000FFFF5FF500040004FFF73C3600002000EE +:1050E0000000000024000024FFDF3C9C00000600BC +:1050F000000F0FFF00002400810000000020F0F0EE +:10510000000000C300C33C00F0F000FF20DFA0A0BF +:1051100000C300000000080C0C0CF00FAA5A041089 +:1051200008203CC3F0CC000000000F0F0FFF000070 +:105130000000820282000000000000000000000069 +:10514000000000000000000000C00200000000009D +:105150008000F96F59650000000000083C363000FF +:105160001000000000008100008100203C9C000035 +:105170000000000F00FF000000240081000000007C +:10518000F0F0000000C300C33C00F0F000FF00FF9F +:10519000A0A000C30000000000000000F00FAA5A09 +:1051A000014002803CC3F0CC000000000F0F0FFF55 +:1051B00000000000820282000000000000000000E9 +:1051C00000000000000000000000000000000002DD +:1051D00000000000000000000000000000000000CF +:1051E00010008800000000020040080000000000DD +:1051F00000000000020000000000000000000000AD +:105200000000200000000000400000000000000836 +:1052100040001000000200000000000000004000FC +:1052200000001000000000002000400000000040CE +:10523000000200000200000000000000000000006A +:10524000000000000000000000000000000010024C +:105250000000100400000000000021000200000017 +:1052600000002100080010400000000000002100A4 +:10527000210008C00400000000020000100000002F +:10528000100400000000000000000000000000000A +:1052900000000000000000000000000040000000CE +:1052A00000000000000021000000000000000000DD +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000100000000000CE +:1052D000000000000000000084000000000000004A +:1052E00000000000000000000000000000000000BE +:1052F00000000005000000000000000000000000A9 +:10530000000000000000000000000000000000009D +:105310000000000000000000000800000000000085 +:10532000004000000002000000000000000000003B +:105330000000000000000000000000080000000065 +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:1053600000000000000000080000000000400900EC +:105370000000000002000000000000000002000029 +:105380000000000000000000008800000000000095 +:10539000000000000000000000000000020000000B +:1053A000000000400200080000000000008400002F +:1053B0000040000000020008000000020008000099 +:1053C00000000000000000000000000000000000DD +:1053D00000000000040000000000000000000000C9 +:1053E0000400000010004000400000000000000029 +:1053F00000000000000000000000000004000000A9 +:105400000000000000004000024000000000820098 +:105410002000000000008400A00010001000000028 +:105420000000000000000000000000030000040372 +:10543000000084008400000000000000840000419F +:10544000000000000000000000000200000020003A +:105450002000000040004000004000400004000028 +:1054600000080988100402040400401000004000F5 +:10547000400003840484100009A000C04004101000 +:1054800021200000100010040004219004C010002E +:1054900010042100100009000000000800A04000D6 +:1054A00040080000000440041082049005400504F8 +:1054B000100010902120402003040500400010043B +:1054C0004184008400000000000000000000000093 +:1054D0000010000000040040004000050000021120 +:1054E0000002000040404010880000000000004022 +:1054F000004000000009000000040000000000401F +:105500001000840000000000000000000000000502 +:1055100000000040004000110020000010000011B9 +:105520000003000000000000000040000000040034 +:1055300000000000000000008421000000000002C4 +:105540000000004100040000000000000000000016 +:10555000000000000000000000000000000000004B +:10556000000000000000000000400040004000007B +:10557000000000000000000000000000000000002B +:105580000000000000000000040000000000000017 +:1055900000002000000000000000000041000000AA +:1055A00000000000080800000000000000000000EB +:1055B00000000000200000000200000000000000C9 +:1055C0000000108800000000000000000000000043 +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000001000000004A7 +:1055F00000000000000000000000000400000000A7 +:105600000000000000000000000000040000000096 +:105610000000000000000000020000000000000088 +:105620000000000000000000000000040000000076 +:105630000000000000400000001000000004000016 +:10564000080800000000000000000000000000004A +:1056500000000008000000000000090409201120DB +:1056600004840282400411041104008402000084B6 +:1056700000000504400010200084094003000304DA +:1056800000000200408209880284000040044004B7 +:10569000104040C000000800004003000000080067 +:1056A000214010200290000808A0218802820000FA +:1056B00000880300020405040082109040000510D9 +:1056C0000000102003041084040404000000000003 +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000900000000B1 +:1056F00000000000000200000003000000000000A5 +:1057000000009040000400090000040000000000B8 +:1057100000000000100200000000100082000008DD +:105720000000000010000000000000000000000069 +:105730000000000000080800000000000000000059 +:1057400000000000000008000000880000000000C9 +:105750000000000000000000000000000000001039 +:1057600000000000000000000000008200000000B7 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:1057900000000008000000000000000000080000F9 +:1057A0000000000000000000000000002000208435 +:1057B00000000000000000000000000000000000E9 +:1057C00020000000000010000000000000000000A9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:1061000090000000000000000000000000000000FF +:10611000000000001000000000000000000000006F +:10612000000000000000000000000000000000006F +:1061300000000000000090000000000000000000CF +:106140000000C0000000820000000000000000000D +:10615000000000000000040000000000000000003B +:10616000000020000000000000000000000000000F +:10617000000000000000000000000000000000001F +:1061800000001100000000000000000000000000FE +:10619000000000C00082000000004100000000007C +:1061A0000000000200000000410000C000000000EC +:1061B000000041000000000000000000000011008D +:1061C000400000000000000000000082000000000D +:1061D000000011C0000000000000000000000000EE +:1061E0000000000000000000000000C000000000EF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:1062100000000000000000000000000082000000FC +:1062200082000000000000000000000000100000DC +:1062300000000000C000000000000000001000008E +:10624000000000000000000000000000000004004A +:10625000000000000000000000000000000000003E +:10626000000400000000000000000000000000002A +:10627000000000000000001000000000000000000E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000004000000000000000000AA +:1062F000000000000000000000000010000000008E +:1063000000000000000000002000200000009000BD +:106310004000200088008800200090000400000059 +:10632000000084008800C000880004008800840009 +:106330000000840000008400880090000000080035 +:1063400082000000200000000000820000008200A7 +:106350002000000000000000404000009003840086 +:1063600020002000200088000000840088008400B5 +:1063700084108400A000000084000000C000000021 +:10638000000000000000000000000000000000000D +:1063900000880090000000000000000000000400E1 +:1063A00000000000000000000000000000000000ED +:1063B00004000000110000000000000000000000C8 +:1063C000000000000000000000000000400000008D +:1063D0000000000000C000000000000000000000FD +:1063E0004000200000000000090009000000050036 +:1063F000000010000000000000000000000000008D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000020000000004C +:106430000000C00000000000000000008400000018 +:1064400000008400000000000000000000000004C4 +:106450000004000000000000000000000000000038 +:106460000000000004000000000000000000000028 +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000100000EC +:1064A00000100000000000040000000000000000D8 +:1064B00000000000000000000000000000000000DC +:1064C00000040000000000000900000000000000BF +:1064D0000400000000820082008200840090110409 +:1064E0001100000800000084000204002100208444 +:1064F00008000000000005C020000000000021C0CE +:1065000000C00008000000000000000000000000C3 +:10651000000000000000000000000000000000413A +:1065200000000000000000009000000000000000DB +:1065300088000000000000000000000000000000D3 +:106540000000000900000000000000001000000032 +:106550000000000000000000000000000000000338 +:10656000000500110010000000009002000800006B +:106570000000000000090800000084000000000086 +:1065800000000010001000000000000000000000EB +:106590000000000000002000000000884000000013 +:1065A00000000090009000000002000020000000A9 +:1065B00000000000000000000000210005000000B5 +:1065C000200000000090000000000000000000001B +:1065D0000000000000002000090000000000000092 +:1065E000090200000000000000000000000000029E +:1065F0000000000000002000000000000000050076 +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:106630000000000008000000000000000020000032 +:10664000000000000000200000000000000000002A +:1066500000000000000000008400000000000000B6 +:106660000000000000000000000808000004000016 +:10667000000000000005000004000000824100004E +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000020902082A8 +:1066A00020000000000005C009C00010000000002C +:1066B0000000000000000084020000824100000091 +:1066C00004000000000000002000000000000500A1 +:1066D00000002100000200A0410009A0000000020B +:1066E000100021820000000040002000008200A075 +:1066F0000000050000004100000000200082002092 +:106700004088118240C0414000C0022000000000CB +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D300000000000008001000180008400000000CD +:106D40000180018000000100008020040004828096 +:106D5000018040000105212183000900A0200100DD +:106D600001002080000001A00000A08000A004001D +:106D700004800411040040000000210220200800CB +:106D80002000412021000100200000A00105880012 +:106D900088000000402000000080200088A000083B +:106DA00010040000200000000000000000000000AF +:106DB00000000000000000080400040200050000BC +:106DC000000040200404000040004020800100003A +:106DD0000020202000402084A000040004000001C6 +:106DE00004000400C0040003402100A000082005A6 +:106DF000C100A120800080002100000040010001AE +:106E00000000804004000400200080000005040011 +:106E10000000400000C0800100900004000000035A +:106E200000018001004000000000000000000000A0 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000001000000000041 +:106E5000000000000100010000000000040000002C +:106E600000000000400000040000010000000005D8 +:106E700000110002080501000000000000020000EF +:106E8000088900000000012001200000010000002E +:106E9000000000000000001000020020002001108F +:106EA00001050002000000000000000000000000DA +:106EB00000000000000000000000000000000000D2 +:106EC0000000000000000000000000004000000082 +:106ED00000000000000020002008000000008000EA +:106EE00000000000000080000001000040000000E1 +:106EF00000000000008380844000000800000801BA +:106F0000000080400000000040011001000040002F +:106F100000000000000000000000000900850009DA +:106F20004001040800850984000000000000000002 +:106F300000000000000000000000000000000480CD +:106F4000418001800000020041804109042100A12C +:106F5000040004800440032004802102001000107B +:106F6000910004000100050004000020000004045A +:106F700004200400058000040000008020100000B0 +:106F8000004000A1000800080888C002000800882E +:106F900004400521010501A010A0042001A0040067 +:106FA000030004081021080008000804080000007D +:106FB00000000000000000000000000000000000D1 +:106FC0008004C020040400000000A00884008000A9 +:106FD00000208000802080000405800484000001DF +:106FE0001101200080000400C000800000010000AA +:106FF000809080018300A004000000000004800154 +:10700000000000001120000008A080040001080119 +:1070100000208000840040002021082188A1040372 +:1070200080008400800800000000100000010000C3 +:107030000000000000000000000000000000000050 +:107040000040014100400004A080A0004002042054 +:107050000102C00211000210820000040020002082 +:107060002002000011200120002005020540040537 +:10707000000000820002822100040040C080002144 +:10708000108402800000000000410910080005087B +:107090000588100004020500200088841000048088 +:1070A0000800080020824100100500800000C00098 +:1070B00000020000000000000000000000000000CE +:1070C00000000000040000000001004000008001FA +:1070D00080014005000140000000000000010001A7 +:1070E0001001800100004001200100A18401C000C6 +:1070F00080A00000032100010000008300000002C6 +:1071000000840004800200000000000002018000F2 +:10711000C001C00900008001840080000805000053 +:107120000002000000000003A000080000080000AA +:10713000000000010000000000000000000000004E +:107140000000000000000000402004800002400217 +:10715000C1200004C000040000A0012000200103A1 +:107160008200000240051000404005804085042058 +:10717000A02040A0000004040020104010110000D6 +:10718000001000211040A008A021104008040003B6 +:107190000040012082A1002084000884402104A036 +:1071A0000400C00084050000100000080800001062 +:1071B000000008210800000000000000000000009E +:1071C000000000000000000000040905802002010A +:1071D0008001200121A100008000000304010001C2 +:1071E000200000000300000000008000A004000256 +:1071F00090010001002100008000000180000800D3 +:107200000000000000000000000000000000080076 +:10721000000000000401082000010000000200003E +:107220008805800003000800000000000000000046 +:10723000000000000000000000000000000000004E +:1072400000000000000000000200048005000400AF +:10725000002100050082004000042085002000403D +:10726000000882080200C0000000000510000000B5 +:1072700000210005002000020000402004850020BD +:1072800004201000082111000841002100080820F6 +:1072900010102010010800210220410304050421E0 +:1072A00004202000050540008203020010050500AF +:1072B00005400008082100008805000000000000CB +:1072C000000000000000200000080082A004C000B0 +:1072D00080000000000000210001000000200001EB +:1072E000000000000082000000000000000000001C +:1072F0000008000000041085008300008021802029 +:10730000000100010000000020000000000408004F +:1073100080010000000108000000030184008000DB +:10732000800080018000C00080000000000000009C +:107330004000200100000000000000840000000068 +:107340000000000000000000000000001103912078 +:10735000C084108311C1A1020888C00800C1009038 +:107360000000000000C0849089000000001002406E +:107370000084004000C0019188000001000000C1AD +:1073800000900000000003210890019082900041CD +:107390000000C0A0100310A000028804889000C064 +:1073A00001900901000101C100900000000090A0BF +:1073B00000901003082004C140C1090104840000AA +:1073C0000000000000000000000000000000210894 +:1073D000C18000098084C041888384410089098874 +:1073E000009100410000008000800400000011892D +:1073F0000011051100110389089000200011000000 +:1074000000C1008300021002040880400440804054 +:10741000098804000083800880802091008900C0D2 +:10742000009111A04010001111A00083004100083C +:107430000091200080888002808891038410802041 +:10744000000000000000000000000000000000003C +:107450000900A0001000C188000005038504838096 +:10746000110041009140918041000400A0118083EF +:1074700002402121C020898341801100880383912B +:10748000000041801100880288A010009100804017 +:107490008020000004C180C080880980400488806A +:1074A0008583000521008302828003800500880017 +:1074B0008285000004C004208410030040100511E0 +:1074C000C183000000000000000000000000000078 +:1074D000000002A083000508099000000084849148 +:1074E000C190000000008401C190000001008501EE +:1074F000C091008901008541C0910008000084000E +:10750000C0910000001000008500C19000088404B4 +:10751000A00110049088912004A040050002009171 +:107520008510C090000001008401C0900090000010 +:107530008500C1900020A102A040C09040880101B8 +:10754000A001C109000000000000000000000000D0 +:107550000000000040840102A185C188080401A048 +:10756000002089C000001000009001C00000000150 +:10757000009100C140002000409004010000000183 +:10758000009001C0000000000000849101C11108BA +:10759000001082100810C010000040091091001166 +:1075A000000100A101C000018200A19100C1000002 +:1075B0000100019100C04110881091090510A084BC +:1075C000000184C041C10000000000000000000074 +:1075D00000000000000080C1080485201104009113 +:1075E0002002100510C100008000008041800000D2 +:1075F000000400A00091800082000980841100092D +:10760000111011A0418300000041030883A11188DB +:1076100040800580030085008084112090A18411A2 +:107620000008000300A105900011112111910588A7 +:107630000000100010A10089020900020221C111FE +:1076400000040008904020C100000000000000007D +:107650000000000000000080082191A184000310B8 +:107660000940832000008000A191900411000003D4 +:107670008011A0051000200088049003080010115C +:1076800091918820110003200000A1119020110089 +:1076900009112080032009001102102010080500A4 +:1076A00090114180200404098540900840040000A6 +:1076B00008029191850405000303A140C0218500C3 +:1076C0000904C0800511050003410000000000000E +:1076D000000000000000000000108489851011885F +:1076E00085010201200100000800C1912001000075 +:1076F0000000C0892100000001000303040185008F +:107700004000C1032101010000010000C11121015D +:10771000000000000104000500C00000C001A1201D +:107720000382080103C0030010010900C1880100A1 +:1077300000009000C1052000000000000501058246 +:1077400002C0C100C18882050482910100000000CE +:10775000000000000000000000000000484200D0CF +:107760000B008400484200D00B000000414200D0D2 +:107770000B000042414200D00B000000414200D00B +:107780000B000000884200D00B000042000048427D +:1077900000D00B000000414100D00B00000041422E +:1077A00000D00B005000504200D00B00600084421B +:1077B00000D00B000000484200D00B0000004842FF +:1077C00002D00B000042840000D000000011000035 +:1077D0000000000000000000000000000000899987 +:1077E000CFFF727EFFFFDBC3C3C36666030FFF00DC +:1077F0000000FF000000FF000000FF000000BDBD12 +:10780000B000FF00FF00FF000000FF0000000000CC +:10781000FF000000FF00000000000000200040000A +:10782000204040203C00C300FFFFFFFF66663C0095 +:107830003C3C0000FF000000FF000000FF000000D3 +:107840000000000000BF00FF300C00002418000002 +:107850000000000000000000000000000000000028 +:107860008999CFFF303CFFFF990000006666C3FF97 +:10787000FF000000FF000000FF000000FF0000000C +:10788000B000B000FF00FF00FF000000FF0000009C +:107890000000FF000000FF000000000000000020CA +:1078A00000402F4F4F2F003C00C3FFFFFFFE6666D6 +:1078B00000003C3C0000FF000000FF000000FF0053 +:1078C00000000001000000FF00FFC0030000428133 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:1079600000000000FF7EF676BFB0BFBF99DB99DB59 +:10797000000000FF000000FF000000FF000000FF0B +:10798000FFFFFF00F3FFF3FF000000FF000000FF18 +:10799000000000FF0000000000FFFFFFFF000000EC +:1079A0000301FF66FF99C7C704048180C3C30C03AA +:1079B0000F00000000FF000000FF000000FF0000BB +:1079C00000FFFFFFFF00440065210000009600005B +:1079D00018240000005A0000000000000000000011 +:1079E000000000000000FF3CF0309F909F9F99DB5B +:1079F0009FDF000000FF000000FF000000FF00000C +:107A000000FFFFFFFF00F0F09090000000FF00007B +:107A100000FF000000FF0000000000FFFFFFFF006C +:107A200000000000FF66F090CBCB08089990FFFFA4 +:107A30000C030F00000000FF000000FF000000FF2B +:107A4000000000FFFFFFFF0088009A120000960070 +:107A50000000814200005A00000000000000000009 +:107A60000000000000000000006100248009000008 +:107A70000061302F8C9D12C003E3032E8C0DB2C029 +:107A800003E3032D8C0182C00061002F8C0912C01A +:107A900003E3032E8C0DB2C0000003E3032E8C0918 +:107AA00082C005610A2F850D00A00061302E851966 +:107AB00020A00061002C8C9D12C003E3032FCC0D8D +:107AC00092C003E3032A8C0D82C005614A2E850F04 +:107AD00032A600E2000580058A00000000000000D8 +:107AE00000000000000000000000FFD7FFD7FF28C3 +:107AF0002F28FF000000A956AA5500F00000000042 +:107B000000000F3C0F3C0AAAFAAA00000000FFFF89 +:107B10000000FFFFFFFF5555AAAA0000FFCFC2C318 +:107B2000FF3CF330FAEA5054000000000035000535 +:107B30000000030BF0F5C3C3F0F0F0D000C3000069 +:107B4000408010205A5A5A5A0000FF00001000FFCF +:107B5000F0FC8080393C3C3CAA55333300000000E7 +:107B6000000000000000000000000000FFD7F0D778 +:107B7000FF28FF28FF000000A957AA5500F00000C9 +:107B8000000000000F0F0F0F0AAAFAAA0208000057 +:107B9000FFFF0000FFFFFF7F0045008A0000FFCFCE +:107BA000C3C3FF3CF330FAFF5000000000000005A3 +:107BB000000500AAC3EBF0F50000FFFFFFD700C3EC +:107BC0000000010204085A5A99D90000FF00000081 +:107BD00000FFF0F080803C3C3C3C00FF3333000071 +:107BE0000000000000000000000000000000F3F3AF +:107BF000A2A2CF450000C2FA0000000000F0000081 +:107C000000F0FFFFFFFF000000003FFF3FFF00A06C +:107C1000FF5FBFBF01BF6FFFFF6F000000000000EC +:107C20000101C000F0F0F0D00000000007070707D6 +:107C30000000CBCB50505050000000FCF8F0D0F0CA +:107C400022444488555500055F1CFFFFF96FC96346 +:107C500003030303000000A0CFFFF3FFFFDFAA9A96 +:107C60000000000000000000000000000000000014 +:107C7000FFFFAAAACF453C3CC2FAFFFF000000F07C +:107C8000000000F0FEFFFFFF0000000030003000A9 +:107C9000FFDF00A0FFFF00FF6069F66F0000004EED +:107CA00000000000C303F0F0F0F000800000D7D720 +:107CB000D7D70000C1C150505050000000FC000058 +:107CC000000020444488FFFFFFAF5F1C5F1CFFFFE4 +:107CD000CFF303030000000000A00000000000201C +:107CE000AA9A02000000000000001000000000003E +:107CF0000000000000000000000000000200000082 +:107D00000000200000000000000020000000000033 +:107D1000000000000000000000000000C0000200A1 +:107D2000000000002000000084000000200000008F +:107D300000000000000000000000000088000000BB +:107D4000200040002000000020000200200000046D +:107D50000000C0000000000000000041000004001E +:107D600084002000000000000000000080000000EF +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D90000008000000000004000005000800008446 +:107DA0000082000000010000000040000000000010 +:107DB00000000000000000020000000000000000C1 +:107DC0000000000000000082110000000000000020 +:107DD00000000082008200000000000000C00000DF +:107DE0000000000000000000000000000000000093 +:107DF0000000000002000000000000000000000081 +:107E00000000000002000000000000000000000070 +:107E10000000000000000000000000000000000062 +:107E2000020000000200000000000000000000004E +:107E30000000000000000000000000000000000042 +:107E40000040004000000000000000000000002191 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000008000000000000000002880088B8 +:107EB0000000000000080008000000000002004070 +:107EC000004000000082000000000200000000826C +:107ED000008200820082008200000000000000009A +:107EE00000000000000000A00500000000000000ED +:107EF00000000000000000000000000000009000F2 +:107F000090000000000000000000000000000000E1 +:107F10000000000000000008000000000040000019 +:107F20000000004100000000000000000000000010 +:107F30000000000000000008A0090000000000028E +:107F4000000000000000080000000002880000009F +:107F5000000300000000000000000000000000001E +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F80001100008800000000000000000000000058 +:107F900000100000000000020000000000000000CF +:107FA00002080040000000840000200200004000A1 +:107FB00000000000050000820300050800A000206A +:107FC000410000A0001000820800000200820002B0 +:107FD00000000084200203002100110021000000A5 +:107FE0000000000002000802210208A00082000038 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000800000000000058 +:1080200000000000000000400800000040000000C8 +:1080300084000000000000000200000000000000BA +:1080400000000000000000009000000000000000A0 +:108050000000A00000000000000000000000000080 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000040000200000900A5 +:10809000000000080000008400000008090800003B +:1080A00000C00000000000C005901000090200C0E0 +:1080B0000000020000A0020002000008008800008A +:1080C0000000008800900000000000820000000016 +:1080D00021000000000000000082410000000010AC +:1080E0000000000000000088000000900000000078 +:1080F0000000000000000000000000000000000080 +:108100000000000000050000000000000000020068 +:108110000000000000000008000000000000000057 +:1081200000000000000000000000000020400000EF +:10813000000000000000000000000000000000003F +:108140009000000000000400000000090000000092 +:1081500000000000000988000002900200000002F8 +:1081600000110000000000000000000000020000FC +:10817000000084000000000000000000000000007B +:108180000000000000C011020090008800C0110033 +:1081900021840988000000A00088000000A000845D +:1081A00000880084000000400084004002000000BD +:1081B000008400020000408821000008000804C07C +:1081C00011900588100003020902118409884102F8 +:1081D00000820084008205902088000000002100B9 +:1081E00009000088210021C00300208402842100AE +:1081F000200200020000000000000000000000005B +:1082000000000000000000000000880000000000E6 +:108210000008000000000000000000110000000045 +:1082200000000000004000000010000000080000F6 +:108230008400002100000000000000021011000076 +:10824000000000000000000000000000000010001E +:108250000000080000080000400084000041900079 +:1082600090000000000200084000900000000000A4 +:1082700000000000000200020000000000000000FA +:108280000000000000000000008809880000004095 +:108290000000000002A00082000041000000000079 +:1082A0000002200040000000090000080082400099 +:1082B00000000088000209000000410009004000A1 +:1082C00000C0400000002000030400000500000082 +:1082D00000C0008200000088000000C000004000D4 +:1082E0000084050005C0410000000000008200007D +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F00000000000000000000000000000008000FD +:10840000000000000041000000800000009100001A +:1084100000800000000000000000000000000000DC +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:10848000C00000000000000000000082000000406A +:10849000000000C00000000000000000000000001C +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:108500000000000000000000000000C000000000AB +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:108640000000000000000002000000000000000028 +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000500A00000000D00B00000000000024 +:108720004800000000000000000000000000000001 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000004290060000011702000066 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:10889000000000000000000000002008100200009E +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A100000000000000000000000900000000000C6 +:108A20000818100000000000000000000000000016 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A90000000000000000000000000040000800052 +:108AA0000000000000008C0000000000000000003A +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000020000000053 +:108B20004000000000000000010000000000000004 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000080018000800000000000000180B2 +:108CC00082000100C1801088000390800180018033 +:108CD00000000400000000002104000001000980E1 +:108CE0000000830000808920018000000000000057 +:108CF000000001002000000500800000018000004D +:108D000040020000000204A00184000001050400EC +:108D10000500898020840580048000000080008098 +:108D20000000000000000000000000000000000043 +:108D300000000000000440020004000000000000E9 +:108D40000420090040000420000800001102201047 +:108D500010400008800000002100A00100A0400099 +:108D6000A0040003100000400801020400000000FD +:108D70001100000020008000000000040000100826 +:108D80000000000100000401800904210000040823 +:108D90008000A00020040009A00480080000000456 +:108DA00000040000000000000000000000000000BF +:108DB0000000000001000000010000000000200091 +:108DC000A100200010009000910020000000000091 +:108DD000A0001000000004000008830000841005BB +:108DE0004080010000000440000040820084000038 +:108DF000A085000000800000100000000008400076 +:108E0000008009A004001000108004100120100050 +:108E100000000000000011891180208021800000E6 +:108E200004000000400000000000000000000000FE +:108E300000000000000002000000400000000000F0 +:108E40008000040080000000000004008300000097 +:108E500000000000000000000000000020000005ED +:108E600000108002200000008089000080210005A1 +:108E700000000004000000040008000000080000DA +:108E800080000002040380100000000280000883BC +:108E900000C0000000000000A004840480048408D6 +:108EA00000008000000080100000000000000000B2 +:108EB000000000000000000000000400400002006C +:108EC00000001080000000000021001100080000D8 +:108ED0000005000808030000402101020005001001 +:108EE0002002000008082004000000822004828282 +:108EF00000880208200200002005200500008800EC +:108F000000210900018800000008018021902085CF +:108F1000839020840021008082880180208000834B +:108F200083800005008400040000000000000000B1 +:108F30000000000000000000000000008000800031 +:108F40008000000080080000000000000000000019 +:108F500000000000000080000000A10004A100004B +:108F60000000A001000080018501000000038001D5 +:108F7000082100040000880000008000800000003C +:108F80000000000088841011000000004002C04072 +:108F90008010080480050000000400041008800808 +:108FA000000820040000000500010000000000008F +:108FB00000000000000000000000000002000000AF +:108FC00000002000000000A1040021208200000316 +:108FD0000404000000052000A00001801000010230 +:108FE0000800080020000000208840800000820067 +:108FF000200082820800A000008000000080200085 +:109000000900000021400800000004000803088057 +:109010000100010040800080040004800500A100E0 +:109020000400000010000500848004000880000097 +:1090300000000000000000000000000000008000B0 +:109040000000000080000000000880041001000003 +:1090500021008501000000000000030008208000BE +:1090600004018000800080040004001080200000C3 +:1090700000000000002100000000000400000004C7 +:1090800080000400000088010000000000000000D3 +:1090900000402004040000200004800000040400BC +:1090A0000400802000008000840000048000002074 +:1090B00000000000000000000000000000000000B0 +:1090C00002009080058008800408A0A102080041E9 +:1090D00020080208A04082050221C040A021000013 +:1090E00020408821901000100010822140020221AF +:1090F00000000800C00808108203020209001020C6 +:10910000000501800400400082008203004002103C +:1091100000000910408300A10188C010000801046C +:10912000A0842003000004080000000020080420A0 +:10913000000200000000000000000000000000002D +:10914000000000C00004080280040008000821009C +:1091500000000000000100000020000000000000EE +:10916000001000000000000000000000000080016E +:10917000800000000000001000010000000020A09E +:10918000008400A00204800010A000000000000085 +:1091900080000000200000020004104103000000D5 +:1091A00020C10005000000008000000000008000D9 +:1091B00000010000000000000000000000000000AE +:1091C000000002000000200040000800000390089A +:1091D0000221A000C0002005840841902003A005C2 +:1091E000002100214008A00520210000200540109A +:1091F000058204080000200490054080A0908200B1 +:10920000C0052090001004800805841020A10804E7 +:1092100088052004200010109005088040908209E5 +:1092200000050021000083022005012184000121A6 +:109230000405842104080000000000000000000074 +:10924000000000000000000080008000800000009E +:10925000000000000000000000000001021180007A +:1092600000000000000080000000000000008000FE +:10927000800004090000000000000008C120000474 +:109280000000000000020000004000000001800417 +:1092900000000000000000008800000000088010AE +:1092A00000000000000000000408000010000000A2 +:1092B00004008000000080000000000000000000AA +:1092C000000000000000000000C08488C084C0844A +:1092D0008910088288031088200201049020080366 +:1092E0000100008800A100041011908901A0A1C113 +:1092F0001191000240A00020000000110041C009AF +:10930000840304C003880400A08209C02004400133 +:10931000900310A19000100108084005A0029004DD +:109320000002C103A1919009000100C10090080052 +:10933000101010C000904009000000000000000064 +:10934000000000000000000000000080110000008C +:109350008000108211A108838008110008A000413C +:109360000004110200210088008480841083409151 +:1093700004080841051120C1002000000088059064 +:109380008008001000801003800500031190C0C108 +:109390000008008300901003880300A000C108C0EB +:1093A000048905C0A01183A000C0002000C1028074 +:1093B00003100009918003808091000000000000EC +:1093C0000000004100000080000000A189C11003DE +:1093D00011831041900010809100A090800088853A +:1093E00011008000008000039191808040008890EF +:1093F0009080801185801005100088100000A0006A +:1094000000111184C1201180050810008308880014 +:1094100008C185C002088500050002008500090416 +:10942000110303C0800005004108918983C01180A9 +:1094300000108900C180C1000500C091C04000003B +:1094400000000000000000000082000000208841B1 +:1094500011C100A10084118820040200029008902C +:10946000038203000402A04004A005A110C04090A4 +:1094700003900982028408100385890208C1000054 +:109480000210C00200A1C10184020001A000C100BD +:109490008990050384A01084100020001000900023 +:1094A0000040C0A00905C00001A002010941C1039C +:1094B000000810008400C190081000000811C0844A +:1094C000000000000000000000000000000000009C +:1094D00040A00011024100C1C04000A190831009CA +:1094E0008410001188911103820400020284038514 +:1094F00010100191054002080000012002A184859E +:10950000000005830088820901C1C10000A08541D7 +:1095100085C0A0050010008503C1022102890091C9 +:10952000C111A01104C08800911100020000009038 +:1095300000C110100000029100C1080900000008DD +:1095400000C100000000000000000000000000005A +:1095500000008004008400A1059100831088A0A170 +:1095600080C100410084008591C1808011C1C1008B +:109570009111808941100810828000100310902101 +:10958000880800009020004100A1118821410003BB +:10959000902111C000C0008241A184910008030302 +:1095A00005A104C180908011100888820005052162 +:1095B000408500910004414180C000C1809000407E +:1095C0004083108800000000000000000000000040 +:1095D00000000000040240008580910041212184A8 +:1095E000108020404100030083912000C10810003A +:1095F00011809110412110A10800030090800510F6 +:10960000038588400000A000110221808310A00281 +:109610001100A000C0031003081111000340A108AD +:10962000A12011008208C1214090C18088050888CE +:10963000851183009100C19100210300040090A1D5 +:109640002103A080030500000000000000000000CE +:1096500000000000000084000502A11003000109C1 +:1096600000C00108010185002110081102008301DA +:1096700088C04108050004010004108205000202B0 +:10968000080421108501000004080905840408016C +:10969000C011052040820400042011011020410067 +:1096A000210204900010040105890140021091007C +:1096B0000109040002889100C140000002029100EB +:1096C000C105000108029000000000000000000039 +:1096D0000000000000000000481200D020004812E6 +:1096E000484202D00B008800484200D00B00000026 +:1096F000480000D00B000021484200D00B00500071 +:10970000480000D00B0000000000484200D00B00D1 +:109710000021480000D000000000484200D00B00AB +:109720004200484200D00B040000484200D00B0029 +:109730000000484200D00B004200484200D00B001D +:109740000000484200D00B000000000000000000B4 +:1097500000000000000000000000F2F2F2F23030E1 +:10976000FF00000000003F3F033F0C0CFF0CFF1CFC +:10977000E300220022FF30C00C03303000000F8FC6 +:109780000C8D39C90909600690090000FFFFFFFF31 +:1097900000FF303033000000690000003C3C303CEA +:1097A00030300099000000C3F5FAFAF518180000EF +:1097B000000001010000E4C0A500000030300000FE +:1097C0003C000000282800002442000000000000A7 +:1097D000000000000000000000000000F242F2F271 +:1097E00030300000000000003F3F273F0000FF0036 +:1097F000FF0CF300440044FF30C00C03767666662D +:109800000C8C0C8DC9390909600690090000F0FF25 +:10981000505FFF00303000330000006900007E7EA2 +:10982000767E303000FF000000C3FAF5F5FAF8F854 +:10983000F0F00000010100002400A50000000C0C65 +:109840000000C3000000282800002442000000009F +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E000F0C0FFFF0404040481818180061E667EAF +:1098F000FFFF0018E7E7E32000050005C382C3C0AF +:10990000EF8FEF8F09FF0FCFCB08C704FF3CF63472 +:109910000000FCFFFCFF00000505000000A50000A2 +:10992000A50000FFFFFF0000000042424242FFFF8F +:10993000FFFF3C007C60000066FF00F0000F0000AD +:10994000F00F0000003C0000000F0000000F0000BE +:10995000C33C000000000000000000000000000008 +:109960000000F0F0FFFF00000000C3C3C3C09F9FD2 +:10997000FFFFFFFF0F1FE7E7E7E7FF05FF05FF9684 +:10998000FFF0CFCFEF8F09FF0FFFCB08C704FFFF1A +:10999000F6F60000FCFFFC3C0000A0A00000A500C3 +:1099A000000000A542FFFFFF00C303C342420000C6 +:1099B00055AAAA55FFFFFFFF0F0F66FF00F0000F2B +:1099C00000000000000000C3000000F0000000F0F4 +:1099D0000000000000000000100000000000000077 +:1099E0001000000000615E6C90990000006100258D +:1099F00080092000006130268003200000613002D1 +:109A0000850320A000610E27800D00000061300357 +:109A1000850D00A000000561302C8299120600E33C +:109A2000000480098A000061342080990000806170 +:109A3000002F800C04000001302F851D0005A0615F +:109A4000032A8C0192C0038203298C0092C003E395 +:109A5000032C8C05C2C00000000000008000000044 +:109A6000000000008000AAFFAAFFBEBEAFFF55FFA6 +:109A7000C3C355553CFF0303AA00404000003030EB +:109A8000005500000F0FF0F0C0F0FFC3000000C24F +:109A900000C3AAAA00300000FFFC55FC003C003CBB +:109AA000FFF5FCF5BABEFBFF30303070FF30FF3001 +:109AB000C0F0F0F03C3C5F000C0055552828000039 +:109AC00000000000F3F3EFFF5F5F3F3F000FFFFF79 +:109AD000C343D35300000020000200000000000038 +:109AE00000020000000000003F3F0F0F3C3C0FFF52 +:109AF00055FFCCCC05053CFF0303AA00404000FF06 +:109B00003030005500000F0F505040F00F030000A0 +:109B1000C2C2C3C3000000300000FFF055F05F7FF9 +:109B2000FFFFFFF0FCF0303CF3FF30303030FF300F +:109B3000BA30C0F0F0F000005F000C00555500A0F6 +:109B4000000010F310F0C3C3EBFF5F5F3F3F008FD7 +:109B5000F070C303F33300000000000000000000B9 +:109B600000000000000000000000000000000000F5 +:109B7000000C6699996699666699000000F3000FDB +:109B8000000FF702F7020055005502011629CF0019 +:109B900000005F5F00FF00F400E000000C00000028 +:109BA00000000055C0F0C0F0AAAAAA00FFC3FFFF42 +:109BB000C3C3C30300080000000000000C000F0F27 +:109BC00055510000FF0F1414FCFFFC3C7D7D0A0A78 +:109BD000FFFFFFFF0F0F0F0F3CFFBEFF0000000055 +:109BE0000000000000000000000000000000000075 +:109BF0000000000C9966669966999966000000F36A +:109C0000000F000FFF000000005500550408458AB2 +:109C1000CF0000005F5F00C300F000F000000C0008 +:109C200000003C3C0055C3FFC3FFFFFFFE54FCC0D7 +:109C3000FCFCC1C1C1C10000000008000000000020 +:109C40000F0FFFF30000FF0FFFFFF0FFF0007D7D1F +:109C50000A0A00FF3C3C0000000030F3BAFB0000A1 +:109C600000000000000000000000000000000000F4 +:109C700000000000100010000000000000000000C4 +:109C8000000002000000020084024000000000000A +:109C90001000000020002000000000000000000074 +:109CA0000000000220001002200000100000000050 +:109CB0000000000000000000000084000000000020 +:109CC0000000000020000000200000001000100034 +:109CD0001000000040000000000020020000000012 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000262 +:109D00000000000000000000000010000002000041 +:109D1000009000000500000000000000000010009E +:109D20000000000000000000210000000000000012 +:109D30001000000000000000000000000000000013 +:109D40000000000000000000000000000000000013 +:109D500000000000210000000000050000000000DD +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000900084000000000000020009A4 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE00090000000000000000000000000000000E3 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E2000000000000000000000000090008800001A +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E5000000000A0000000000088000200000000D8 +:109E6000000000000084000000000000000000006E +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000020000000000000000000000000A2 +:109EA000000000000000000004000000000000416D +:109EB000001000000003000000000000000000008F +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF000000000000000000000002000040004003A +:109F00000982040004A0000040200084000800C072 +:109F1000002000820000000000200284410004C0F4 +:109F200040A0418400A000200000009004C02184D3 +:109F30000384000003000800110004821120400087 +:109F40000884008400C0008400080082002000040F +:109F500000000000008400822108000004000400CA +:109F600003C004C0000800C000C0000000000000E2 +:109F700000000000000000000000000000000000E1 +:109F80000000000000000000000000000000900041 +:109F90000000004000004000000000000000000041 +:109FA0004000200040000000880000000000000089 +:109FB00088000000000000000000A0000000000079 +:109FC000000000000005A0000000000000000000EC +:109FD00000009000000000000000000000000000F1 +:109FE000000000000000A0000000000000000000D1 +:109FF0000000000000000000000000000000000061 +:10A0000000000000000000000000000000900000C0 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A04000000000000000000000000000000000848C +:10A050000000000000000000000000000000000000 +:10A060000090000000000000000000000000000060 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A09000000000000008000000000000A0000020F8 +:10A0A000000082000000000000000000000000002E +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000800000000000000000000004147 +:10A0D0000005000000000000000000000000000873 +:10A0E000000000410000000000000000000000002F +:10A0F0000000000000000000000000000000000060 +:10A10000028800C000C000000020002002A0202023 +:10A110000000202000900408000000200020002003 +:10A1200011C0400200C004A00484112003C0090033 +:10A1300000000420002040044020038408840084A0 +:10A140000300000008080308201008C0048200046F +:10A15000030000C0098400000884000003C0000060 +:10A1600000840000000000000000218400000000C6 +:10A1700021000000000000000000000000000000BE +:10A1800000000000000000000000000000000000CF +:10A19000000000000000000040000000000400007B +:10A1A00000000000000000000000000000090200A4 +:10A1B0000000000000110009000010000000000075 +:10A1C000000800110011882000400000000000007D +:10A1D0000000000200110000004188000008000299 +:10A1E0000000000804009011000090020000000030 +:10A1F00000009000000000000000000000000000CF +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000009000008E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB000000000000000000000030400000000008E +:10ABC0000000000000100000000000100000401015 +:10ABD0000010000000100000000000000004000051 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000001000000000000000000000000045 +:10AC0000000000000000000900000000000000003B +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000300000000000000F1 +:10AC600000000300000000000000000004000000DD +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000041000000000000000000000000070 +:10ACD0000000020000000000000000000000000072 +:10ACE0000000000000000010000000000000000054 +:10ACF0000000000000100010000000000000000034 +:10AD0000080000000000000000000000002000001B +:10AD10000000000000000000000000000010000023 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000300000010000000000002000000DE +:10AD600000000000000000000000000000000000E3 +:10AD70000000000000000000004000000000000093 +:10AD800000000000000000000000000000000400BF +:10AD90000000000000000000040000000000100897 +:10ADA00000000000000000000000000000000000A3 +:10ADB00000000000000000000000000040009000C3 +:10ADC0004000000000000000400000000000000003 +:10ADD00000000000000000004000000000008200B1 +:10ADE000400000008800C000820000000000000059 +:10ADF0000000C000820000000800900000009000E9 +:10AE000084004000000040002000400000000000DE +:10AE100000000000000000009000000000000000A2 +:10AE20000000000000000000000000000000000022 +:10AE300000000000000000000000000000C0000052 +:10AE40000000000000000000001000000082000070 +:10AE500000000000000000000000000000000010E2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000008000000000000000000000000BA +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC000000400000004000000000000000000106A +:10AED000000004000000000000000400001000005A +:10AEE000000000400000000088000000000000207A +:10AEF0000000000000100000000000000000000042 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000010000021 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF400000000000000000000000000400000000FD +:10AF500000040000000000000000000000000000ED +:10AF600000000000000000000000000000000000E1 +:10AF70000000000000400000000000000000000091 +:10AF8000000000C000000000000000000000000001 +:10AF900000000000000000000000000000000000B1 +:10AFA0000000000000000008000000000000000099 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000010000000004000000000000800000009 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B03000000000000000000000000000000000040C +:10B0400000000000000000000000090000000000F7 +:10B0500011000000001000000000000000000000CF +:10B0600000000000000000100300000000000800C5 +:10B07000000000000000000000000000008400004C +:10B08000004000000000000000000000000011006F +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000400000000000000003B +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000201000000000000000000000BF +:10B1600000000000002004000000000000000000BB +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B19000200400000000000000000000000000008B +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000040000000000000000C1 +:10BB800000000010000000000000000000000000A5 +:10BB90000000000000000000000000110000000094 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000004000000000000000000025 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000002100000000000000000024 +:10BC00000000000000000000000000000000000034 +:10BC100040000000000000000000000000000000E4 +:10BC200000000090000000000000000000400090B4 +:10BC30000000000000000000000000000000000004 +:10BC400021000000000000000000000000000000D3 +:10BC50000000000040000000210000000000000083 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000020000000000C2 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000001000000000000000000000000054 +:10BCE0000000000000000000000000000200000052 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD5000000000000000000400000090000000004F +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD80004000000000000000000000000000000073 +:10BD90000000020000000000900000000000000011 +:10BDA00000000000000088004000000000000000CB +:10BDB00000000000000088000000000000000000FB +:10BDC0004000000000000000100000000000000023 +:10BDD0000000000010000000000000000040000013 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE000000000000000000000040000000000000F2 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE300000000000000000004000000000000000C2 +:10BE4000000000000000000000000000000000A052 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE70000000000000000000000000000000004181 +:10BE80000000000000410009000000110004000053 +:10BE90000010000000000000000900110000000078 +:10BEA0000000004000000000004100000000000011 +:10BEB0000000000000000041000000000000001130 +:10BEC0000008000900000000000000000000000061 +:10BED00000000000000000000000004100000041E0 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000204000000000000000426 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C0300000004000000000000000000000000000C0 +:10C0400000000008004000080000000000000000A0 +:10C0500000000000000000000000000000000000E0 +:10C0600000100000000000000000000000000000C0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000100000000F +:10C12000000000000000000002000000000000000D +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000004000000000000EB +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000003000000000000000043 +:10CAF0000000000000000000000300000000000033 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000008200000082000001 +:10CB30000000000000000000000041C00082000072 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB60000000000000C00000000000000000000005 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB9000000000C0000000000000000000000000D5 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000040000530 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000400000000015 +:10CBE0000000000000000000000000000040000005 +:10CBF0000000000000000000000000000003000032 +:10CC00000000000000000000000000000000000024 +:10CC100000400000000000000000000000000000D4 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000080000000000000000000000000000BC +:10CC70000000000000400000000000000040000034 +:10CC80000040000000000000001000000000000054 +:10CC9000000000000000000000080000000000008C +:10CCA0000000000000000000000000000000000084 +:10CCB00020000000000020000000900000000000A4 +:10CCC0000000200000000000000000000000000044 +:10CCD0000000901000000000900090000000000094 +:10CCE000000000080000000000000000000000023A +:10CCF00000000000000000000000000090000000A4 +:10CD0000840000008400000000000000000000001B +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000002000000F0 +:10CE40000200004000400208008400000000028848 +:10CE50000200000000000000000000880200020440 +:10CE60000000000000A000000010201000000000E2 +:10CE700020200000000000000000000000000090E2 +:10CE80000208024000400240000000000040000094 +:10CE90000000000002A002000200020000000200E8 +:10CEA00004A00200000000000000000000000000DC +:10CEB0000000000000000000000000000009000069 +:10CEC0000000000000000000000000000000000062 +:10CED00000000000000090000000000000000000C2 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF50000000000000000088000000000000000049 +:10CF600000000000000000000000110000000000B0 +:10CF700000000000000000000000050000000000AC +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD000000000000000004000000000000002000F +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D03000000000000000000000000000008200105E +:10D040000000000002040200200000400040000038 +:10D0500002A000040000000000000000004000A04A +:10D0600000044108000002402100000000080420E4 +:10D070000200041000000200200800000000000070 +:10D080000000000000400000021005400000000009 +:10D0900000400000000000000010050000A000009B +:10D0A0000004000000000000000005000000000077 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000080008000800080C9 +:10D7600000800000050000000080008001000080B3 +:10D770000008008004A000800000008000C00000BD +:10D7800000800080208000004082018000800080B6 +:10D790001000200000802080200000800000890010 +:10D7A00088800000000020000000018000000000D0 +:10D7B000010800800180008000800080000040801F +:10D7C00000000080000020000000000000000000B9 +:10D7D0000000000000000000000000400004000401 +:10D7E000000200020000028400A0002000200200CD +:10D7F00000080085002080030002000000040011E2 +:10D8000000000004001000040003804120200002FA +:10D810000020800080000002002080000020000026 +:10D8200004000004000000000000000004040000E8 +:10D8300000000400002004040020002000200084D8 +:10D84000892000000002000000000000000000002D +:10D8500000000000000000000000000000000000C8 +:10D8600000000000010000000000000000000000B7 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000048014 +:10D890000000000000000000000000000000000088 +:10D8A0000100000000000004000000000000002053 +:10D8B0000000000000000000000000000000000068 +:10D8C000008000000000000800800004000000004C +:10D8D0000000000000000000000000000000000048 +:10D8E00000000000000040000000000000000000F8 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000002000015 +:10D910008020000000000000000000000000000067 +:10D9200000082000000000000001000000000000CE +:10D93000000100000008000800A000002084000092 +:10D9400000080020000000080001000200010000A3 +:10D9500000000000000000000000000000000000C7 +:10D960000000000000000000008009000380028425 +:10D9700000040004040400050000008300A000006F +:10D98000000000000004000000030000004100004F +:10D990000000200400052004C00801000000000869 +:10D9A0000003002002000120000000080008A12060 +:10D9B000004100210420010300080008002000208D +:10D9C00000000020010301000000004104080040A5 +:10D9D0000000000000000000000000000000000047 +:10D9E000000000000000000000000020A000A020B7 +:10D9F00080050001000180010000000000040041DA +:10DA00000000000000000001000000000000000015 +:10DA100000000000800100008301000002000000FF +:10DA200000000000000180002001008403010000CC +:10DA30000401000000008001200000000008000137 +:10DA4000000100000001040004000000000080004C +:10DA500000010000000000000000000000000000C5 +:10DA600000000400040020000400C1004080000009 +:10DA70000080000001800000010000004000000064 +:10DA80000000408040000180200000002080000055 +:10DA900089000000000000000000218004008200D6 +:10DAA000040004110000218001000000200001009A +:10DAB00090008380C0000000000004800400080083 +:10DAC000000010000400A000000010200000000072 +:10DAD00000000480000000000000000000000000C2 +:10DAE0000000000080008000800080004000802056 +:10DAF000000000400000044000000400000080001E +:10DB000000000000800280002004800000A080044B +:10DB1000410004A0000000000088000084208088EC +:10DB200000008000800000009008080000008000D5 +:10DB30001004000404400000000000028004800083 +:10DB4000000021A080A0888400A000008801210896 +:10DB50000000000085200000000000000000000020 +:10DB60000000000090002000020000050400C0003A +:10DB700000C10004C0800404000800030005000088 +:10DB8000002100040000000000A1200420A10002E8 +:10DB90008200008020800000400382A1C041040870 +:10DBA00010002008000304212002202020088241C8 +:10DBB000000482088205A083080204000010000807 +:10DBC0000000040800210021A000042100088200B8 +:10DBD00082410041C00082210000000000000000DE +:10DBE00000000000000000008000800000008000B5 +:10DBF000000000200001000480010000000000007F +:10DC000000000000000100000000002080018004EE +:10DC100000000000002080400000800000040000A0 +:10DC200080008000800000008000000080018000F3 +:10DC3000000000010001000000040000800000005E +:10DC400000000000800000000000000080000001D3 +:10DC500000000000000000000000000000000000C4 +:10DC6000000000000000000000000400410000006F +:10DC700020000041040500042080001120850004DC +:10DC8000002100008205000200210011028802002C +:10DC900000040000200500100041000000112005D4 +:10DCA000C002000404020205040300020021A008CF +:10DCB0004000042082081085002100A10003820595 +:10DCC000020840000841048500210011830000087B +:10DCD00000000800080300050209042000000000FD +:10DCE0000000000000000000000000008000840030 +:10DCF0000000800000008000000180040000000897 +:10DD00000001000000000000000000000000000210 +:10DD10000000000000008000000100C000000000C2 +:10DD200080000001000180098000800000010000E7 +:10DD300000000000800100008004000000040000DA +:10DD400000008000000000008008000000000400C7 +:10DD50000000000000000000000000008001000042 +:10DD60000000000000000000000000000000111191 +:10DD700090100110880202C09185418301000101C9 +:10DD8000834040880083400189049101900300C0D2 +:10DD90000090900100000008110300A00011000095 +:10DDA0000102108482A00040008900A00288C00007 +:10DDB0001002059105058803201004C041A0889039 +:10DDC000010800000002000000C000020001000085 +:10DDD00040C001000440101100C002880088208269 +:10DDE0000000000000000000000000000000000033 +:10DDF0001188A1100809000089909121C189111191 +:10DE00000411400504C1008480088991C1118083F8 +:10DE100000C100808011000000C1C1C000890083E2 +:10DE2000000040C0850080C100000020030882205F +:10DE30000003808083888302009183C08321038054 +:10DE400000850384000541090011008000050020C1 +:10DE50000000C1800811009180C000800000020015 +:10DE6000008080000000002100218041000000218E +:10DE700000218941C10888118300110011001000A0 +:10DE80008500110085090000048011001100024086 +:10DE9000C1111100834091C18441090003838310A3 +:10DEA00091000000820002C0C00091410000A1105A +:10DEB0009100C1000591021105809180910020031D +:10DEC000828088C0850003038900908040000004A0 +:10DED000200083C011009041884082008900910099 +:10DEE000901183824000000000000000400000000C +:10DEF0000000000008014001A101C10000008900EC +:10DF00000000900001408485000001C084000000F2 +:10DF1000840191000088839190A108038800040483 +:10DF200010A008A0000008A0A091A1000801020014 +:10DF300011002002C10000C1001101C09090091021 +:10DF4000418502A00390080000008400C1900120D8 +:10DF500004000200C090000040000901C090088247 +:10DF60001000840008910000000000000000000084 +:10DF7000000000000000881100200310911110051E +:10DF8000051000081101C10001888800910100847A +:10DF900000409185000900000001C08411080001C3 +:10DFA00000018805C1910000C041009100C190119D +:10DFB000400000A090208908844000020308C009A6 +:10DFC00010040004A001821100080140900303C066 +:10DFD00004040002109002C000000000859102C0FD +:10DFE000020400A00090880500000000000000006E +:10DFF00000000000000000000008108005919188DA +:10E0000000A0A08402C189118820038503098241F0 +:10E0100000110280852110C1001000030004118945 +:10E020000008112004A18411000000080002002152 +:10E0300000918008058585A002C009890320058814 +:10E0400090C180410011900585C100800383C121EA +:10E05000828000042002C08080800009004108A165 +:10E06000808900800080009190C1000000000000C5 +:10E0700000000000000000000000101100831000EC +:10E080001141118508000400090840008511400075 +:10E090000540402108210080021084808200108900 +:10E0A0004009A102A11180802191000085A1A01149 +:10E0B0004100A0088091910900808303881091108D +:10E0C00010008402040220A18580054021058310F0 +:10E0D00000000009801108C189000500800041028C +:10E0E000898002090400020002800441000000004F +:10E0F0000000000000000000000000000289024053 +:10E1000000C0C00002C0A100C000040101840201DF +:10E1100041008200008901C00090000040A004007E +:10E120008284830083100211108205900000851103 +:10E130009109828803010889201110840800C10018 +:10E1400020040488820003C001C0084010010110AF +:10E15000900090024000C00102C041000000C000D9 +:10E160000000111090000140011001840001000026 +:10E170000000000000000000000000000000484215 +:10E1800000D00B054800484200D00B046021480035 +:10E1900002D00B02810A484200D00B0000814842A5 +:10E1A00000D00B000021480000D00B0084060000C6 +:10E1B000484200D00B000081484200D00B04000010 +:10E1C000484202D00B068882484202D00B028800E7 +:10E1D000484202D00B000000484200D00B008800EB +:10E1E000004200000B000000824200C10B00004111 +:10E1F000000000000000000000000000000000001F +:10E20000FFFFFFFF55AAAA55000000030800080001 +:10E21000000000000000F0F00000000080908090FE +:10E22000FF06FF06FF000000FFBDFF7E00FFFF00AE +:10E230000000FC3C3C3C000F303F7DBEBE7DFFFF3C +:10E240000FF03C3C06C3200000006F6FCFCF3B3B7C +:10E25000FFFF0000003030C00000F000000033007D +:10E260000000303000006600000000000000C30025 +:10E27000C3C000000000000000000000000000001B +:10E2800000003CC3C33CAA5555AA00000003080087 +:10E290000800000003000000F0F0000000008F9074 +:10E2A00080903E06FF06FF000000FF0FFF0F00FFFB +:10E2B000FF000000F0000000000F303FBE7D7DBE7B +:10E2C000FFFFF00F000006C300000000FFFFFFFF8C +:10E2D000FFFFFFFF0000000030C0000000F0000062 +:10E2E000330000000C0C000099000000000000004A +:10E2F00000003C00000000000000000000000000E2 +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000FFFFFFFFFFFFFFFFFFFF97 +:10E39000990F0000F0F0000200000F0F000000C312 +:10E3A0000000001899990099FFFF00000000E7F6AF +:10E3B000E7FFFF00FF0F0000FF7F8F0FC7FF06067C +:10E3C00069969669FFFF7DBE00FF40FF000066660C +:10E3D000FFDFFFDF096FF96F606006060000003C99 +:10E3E0000000006600006006000044440000000FCA +:10E3F000000000F0660FF69F000000000000000023 +:10E40000000000000000000055AAAA55555500FF65 +:10E410000F0F990F0000F0F0000000000F0F000038 +:10E4200000C30000000099990099FFFF0FFF0F0043 +:10E43000E7F6E7FF003E000F0000FF0FFF0FFFFFB2 +:10E440000606966969963C3C7DBE00FF00BF99991F +:10E45000FFFFFFFFDFDF086EF86E6060060600005A +:10E4600000C3000066000000600600004444000095 +:10E4700000F0E7E70000240FB49F000000009000C8 +:10E4800000000000000090000000007D002B8003D1 +:10E4900020088069502780032000A5655A01854225 +:10E4A00020A8006150278004000000693426800104 +:10E4B000000000613004850900A0000000610E2406 +:10E4C000801900000070002D800D0405A0650E214C +:10E4D000800220000065302180082000A061032D0B +:10E4E0008C09B2C003E3032E8C0592C003E3032E14 +:10E4F0000C0DC2C000612027C0080000000000040D +:10E500000000800000000004000080003333CCCC09 +:10E51000FF3C3CFF03000000FFFFFFFFFF99FF6689 +:10E52000F5F5F9F60000C3FF14140000AAAA0000D4 +:10E53000F0F800003C3C003CFCFCFF3C0000E0FF2D +:10E54000F0FF003C003CC300C3005155510577DD8E +:10E55000DD77FFFFFFFFBD7E7EBDA5FF3CFFFFFF18 +:10E56000FF00FFF0FFF00000020033330000000066 +:10E570000C0080C000C0FBFFFFFF00FFFF00000297 +:10E5800000000000400000020000000040003333A3 +:10E59000CCCC3CFFFF3C0300000066999966FF99D4 +:10E5A000FF66FAFAF6F9C3FFC3FF282800FF82A22C +:10E5B0000020F0F800003C3C003CF0F0C3000000FC +:10E5C00000FF00FF08080000C300C300515551556B +:10E5D00077DDDD77969666997EBDBD7E5AFF3CFF5E +:10E5E000FFFFFF00FFF0FFF00000000033330000EA +:10E5F00000000C0000C000C0FFFFFF7F00FFFF0015 +:10E60000000000000000000000000000000000000A +:10E61000FFFF5AA5FFFFFFFF00000000F9F6F6F923 +:10E62000FF66FF99F9F9F6F6000000AA0050C0C095 +:10E630000000F0F014F414F0A0B0A0A040004F04CB +:10E64000000000000F0F00000000203D203C00559E +:10E6500000007EBD7EBD66FF99FFFF3C3CFF9966D2 +:10E66000669999666699669999660000A00000000F +:10E67000FFC3000003008000000000000000858050 +:10E680008580000000000000000000000000000085 +:10E690000000FFFFA55A9966669900000200F6F98E +:10E6A000F9F6FF99FF66F6F6F9F9450045AA0F5FFE +:10E6B000C0C00000F0F014141400F0F0F0F00000FE +:10E6C0000000000000000F0F00404000203C203CF4 +:10E6D00000AAF0F0BD7EBD7E66FF99FF3CFFFF3CC7 +:10E6E0006699996666999966996666990000000030 +:10E6F0000000FFC3000000008000000000000000D8 +:10E7000085800500000000000000000000000000FF +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000200000000000000E7 +:10E7300000000000000000000000000000000000D9 +:10E740000000000000000000000084000000000045 +:10E7500000000000C0000000000088000000000071 +:10E7600000008400000000008400000000000000A1 +:10E770000000000020000000000020000000000059 +:10E780000000000084000000000000000000000005 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C00000000000000000000088000000000010B0 +:10E8D0000000000800000000000000000000000030 +:10E8E00000000000000000000000000000400000E8 +:10E8F0000000001000000000000000080000000000 +:10E90000000000880000000000000000000000007F +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E930000000000000000000004100000010000086 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E960000041000000000000000000000000000066 +:10E97000000000410000004100000000000800000D +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A000000000000010218200840084000800A004 +:10E9B000000800000000000000000000000000004F +:10E9C0000000000000C000840000008200840000FD +:10E9D00000C000A0040000000000110000000000C2 +:10E9E0000082001000A000C000A0008400C000A0B1 +:10E9F0002100210008C000C0008400C00000000009 +:10EA00000000000000000300008400000800000077 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA30004000000000000000000000000000000096 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000008000000000000000000AE +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000400000000000000056 +:10EA80000000000000004000000000000000000046 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000800000000CD +:10EB400000000000000000000000000000000000C5 +:10EB50000000000000000000002000000000000095 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000410000000000000000000054 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA000000000000084008400C0001000C000824B +:10EBB00000C000A00000000000840000008400C02D +:10EBC00000840084000003C000000000000000007A +:10EBD00000C021000084000021080084210000847E +:10EBE000008800C0000000080088001000C0000875 +:10EBF00000840000000000A00000000021000000D0 +:10EC0000000000000000000000000000218400A0BF +:10EC10000000000000C021C0000000000000000053 +:10EC20000000000000000000900000000000004113 +:10EC300000110000000000000000000800110000AA +:10EC4000000000020000000200000041021100006C +:10EC500088000000400000000000004100000000AB +:10EC6000000200000011000000020000000000008F +:10EC700000008800400088000000004000080000FC +:10EC800000000000000088000000004100000000BB +:10EC900090004000000000030000000000000000A1 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE2000800000000021002180410000002100211D +:10EE30000041000000000000000000000000000091 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA00000004000000000000000400000000000E2 +:10EEB0000000000100000000000000000000000051 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F140000000480000000000000000000000000077 +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F43000000000000000000000000000900000003C +:10F44000000000009000000000000000000000002C +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B0000000000000000000000000000004000048 +:10F4C0008000000000040000800000000000000038 +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000020000C9 +:10F540000000400000020000000040000000000039 +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000200A8 +:10F660000000000000000000000004000000000096 +:10F67000000000000000000000000000000000008A +:10F68000000000000000000040000000000000003A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000020000000000000003A +:10F6B000000000000000000000000000000000004A +:10F6C0000400000000000000000000000000000036 +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F700000000000000000000000080000000000079 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F800000000000000840000000000000000000074 +:10F810000000000000000084000000000000000064 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000021000000000000000077 +:10F870000000000000000008000000000000000080 +:10F880000000000000000000000000000000C000B8 +:10F89000010000000800000000050000000000005A +:10F8A000A0000000000000000003000000000000B5 +:10F8B000000000000000A000001000000000000098 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000001000000000000000000000000000D7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000020000000000000000000000017 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA8000000000000000000000000000000008006E +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000003000000000010000033 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000010D5 +:10FB200000000000000000100000000000000000C5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB7000000000080000000000000000000000007D +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000020000000000000000005000030 +:10FBB0000000000000210000000004000000000020 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000A0000000000000000034 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD7000000000090000000000000000000000007A +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA000000000000000000000000000000900004A +:10FDB000000000000009000000000000000000003A +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000020011 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE20000000000000000000900000000000000042 +:10FE300002000000000000000000000000000000C0 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:10023000020000000000004000000000000000007C +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:100400000000000000000000000400008000000068 +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000004000080000000000400008000A4 +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000020000000040002A +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C00000000002000000004000000200000000E8 +:1004D00040000000000000000000000000000000DC +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:10050000000000000000000000000000000090005B +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000009B2 +:10054000000000000000000090000000000000001B +:10055000900000000000000000000000000000000B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000FFFFFFFF7F +:10058000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B +:10059000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6B +:1005A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5B +:1005B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4B +:1005C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3B +:1005D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2B +:1005E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1B +:1005F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0B +:10060000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA +:10061000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEA +:10062000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDA +:10063000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCA +:10064000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBA +:10065000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAA +:10066000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9A +:10067000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040005F5 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B0000800100000011000600000000000000002D +:102B100000000000000000000000000000000000B5 +:102B2000070100000024000B8001000000110006D6 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD0000000000000000000270100000024000B9E +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000070100000024000B1D +:102C800000000000000000008001000000110006AC +:102C90000000000000000000070100000024000BFD +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D00000000000000000000070100000024000B8C +:102D1000070100000024000B070100000024000B45 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D5000070100000024000B070100000024000B05 +:102D6000070100000024000B070100000024000BF5 +:102D70000020000000000000000000000000000033 +:102D80000000000000000000000000000000000043 +:102D90000000000000200000000000000000000013 +:102DA000800100000011000600000000000000008B +:102DB0000000000000000000070100000024000BDC +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E0000070100000024000B070100000024000B54 +:102E1000800100000011000600000000000000001A +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000070100000024000B1B +:102E80000000000000000000000000000000000042 +:102E90008001000000110006800100000011000602 +:102EA000070100000024000B800100000011000653 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F20008001000000110006800100000011000671 +:102F3000070100000024000B8001000000110006C2 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F700000000000000000008001000000110006B9 +:102F800000000000000000008001000000110006A9 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00080010000001100068001000000110006C1 +:102FE00080010000001100068001000000110006B1 +:102FF00080010000001100068001000000110006A1 +:103000008001000000110006800100000011000690 +:103010008001000000110006800100000011000680 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:10305000070100000024000B070100000024000B02 +:103060008001000000110006800100000011000630 +:103070008001000000110006800100000011000620 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:10310000000000000000000000000000000000605F +:1031100080080080000000000000000000000000A7 +:10312000070100000024000B000000000000000068 +:10313000070100000024000B270100000024000B01 +:10314000000000000000000000000000000000007F +:1031500000000000000000008001000000110006D7 +:1031600000000000000000008001000000110006C7 +:10317000800100000011000680010000001100061F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F0008001000000110006000000000000000037 +:103200000000000929C2200020002000200020002A +:1032100020002000200020002000200020002000AE +:10322000200020002000200020002000200020009E +:1032300020002000200030220104001730A10001EE +:10324000506000000082757900000000000000005E +:10325000000000000000000000000000000000006E +:10326000000000009EAE7579000000000000000024 +:10327000000000000000000000000000000000004E +:10328000000000011EAE0000757880000000000004 +:10329000000000000000000000000000000000002E +:1032A0000000000000009EAE7579000000000000E4 +:1032B000000000000000000000000000000000000E +:1032C0000000000000009EAEFFFFFFFFFFFFFFFFBA +:1032D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:1032E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE +:1032F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10330000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10331000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10332000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10333000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10334000FFFFFFFFFFFFFFFFFFFF00111B6C30229D +:10335000020400175060000000820000000000001E +:10336000000000000000000000000000000000005D +:1033700000000000000000009EAE00000000000001 +:10338000000000000000000000000000000000003D +:1033900000000000000000009EAE000000000000E1 +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D000000000000000000000000000FFFFFFFFF1 +:1033E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:1033F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10340000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC +:10341000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBC +:10342000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAC +:10343000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9C +:10344000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8C +:10345000FFFFFFFFFFFFFFFFFFFFFFFFFFFF00007A +:10346000D6413022030400175060000000820000A3 +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000075794E +:10349000000000000000000000000000000000002C +:1034A0000000000000000000000000009EAE0000D0 +:1034B000757900000000000000000000000000001E +:1034C00000000000000000000000000000009EAEB0 +:1034D00075790000000000000000000000000000FE +:1034E00000000000000000000000000000009EAE90 +:1034F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDC +:10350000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCB +:10351000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBB +:10352000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAB +:10353000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9B +:10354000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8B +:10355000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7B +:10356000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6B +:10357000FFFF002F43A830A1000A30A10003200064 +:1035800020002000200030A1000A30A1000530E119 +:1035900000FF30C10081300200003C6730A1000D07 +:1035A000200020002000200020002000200020001B +:0C35B0002000200020002000200020004F +:00000001FF Index: saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.ucf =================================================================== --- saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.ucf (nonexistent) +++ saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.ucf (revision 6) @@ -0,0 +1,164 @@ +#============================================================================= +# TITRE : TOP_MIOSIL2 +# DESCRIPTION : +# Fichier de contrainte du FPGA top_miosil2 +# FICHIER : top_miosil2.ucf +#============================================================================= +# CREATION +# DATE AUTEUR PROJET REVISION +# 10/04/2014 DRA SATURN V1.0 +#============================================================================= +# HISTORIQUE DES MODIFICATIONS : +# DATE AUTEUR PROJET REVISION +#============================================================================= +#----------------------------- +# definition des timings +#----------------------------- +#Clock à 24MHZ +NET "clk_24" TNM_NET = "clk_24"; +TIMESPEC TS_clk_24 = PERIOD "clk_24" 35 ns HIGH 50 %; +#clock sclk1 à 25MHz +NET "pic_sclk1" TNM_NET = "pic_sclk1"; +TIMESPEC TS_pic_sclk1 = PERIOD "pic_sclk1" 35 ns HIGH 50 %; +#clock sclk2 à 25MHz +NET "pic_sclk2" TNM_NET = "pic_sclk2"; +TIMESPEC TS_pic_sclk2 = PERIOD "pic_sclk2" 35 ns HIGH 50 %; +# Cross clocking entre sclk et clk_96 +NET "clk_96" TNM_NET = "clk_96"; +TIMESPEC TS_cross_domain1 = FROM "pic_sclk1" TO "clk_96" 12 ns; +TIMESPEC TS_cross_domain2 = FROM "pic_sclk2" TO "clk_96" 12 ns; +# Setup et Hold pour l'interface SPI 1 +TIMEGRP "spi_grp1" OFFSET = IN 15 ns VALID 15 ns BEFORE "pic_sclk1" RISING; +INST "pic_sdo1" TNM = "spi_grp1"; +NET "pic_sdi1" OFFSET = OUT 10 ns AFTER "pic_sclk1" FALLING; +# Setup et Hold pour l'interface SPI 2 +TIMEGRP "spi_grp2" OFFSET = IN 15 ns VALID 15 ns BEFORE "pic_sclk2" FALLING; +INST "pic_sdo2" TNM = "spi_grp2"; +NET "pic_sdi2" OFFSET = OUT 10 ns AFTER "pic_sclk2" FALLING; + +#----------------------------- +# Valeurs d'inititalisation +#----------------------------- +# Commande des MOSFET des alims isolées. Forçage à '0' à la config car pas de reset sur ce process +INST "cde_high" INIT = 1'b0; +INST "cde_low" INIT = 1'b0; + +#----------------------------- +# Definition du pinning +#----------------------------- +NET "cclk" LOC = P70; +NET "cde_diag1" LOC = P102; +NET "cde_diag2" LOC = P101; +NET "cdehigh_5vid" LOC = P105; +NET "cdehigh_5vls1" LOC = P100; +NET "cdehigh_5vls2" LOC = P98; +NET "cdelow_5vid" LOC = P104; +NET "cdelow_5vls1" LOC = P99; +NET "cdelow_5vls2" LOC = P97; +NET "clk_24" LOC = P88; +NET "cso_b" LOC = P38; +NET "din_miso" LOC = P65; +NET "led_confok" LOC = P79; +NET "led_fail" LOC = P75; +NET "led_run" LOC = P78; +NET "ls485_de1" LOC = P142; +NET "ls485_de2" LOC = P134; +NET "ls485_ren1" LOC = P141; +NET "ls485_ren2" LOC = P133; +NET "ls485_rx1" LOC = P140; +NET "ls485_rx2" LOC = P132; +NET "ls485_tx1" LOC = P139; +NET "ls485_tx2" LOC = P131; +NET "mosi" LOC = P64; +NET "pic_rx1" LOC = P33; +NET "pic_rx2" LOC = P2; +NET "pic_sclk1" LOC = P14; +NET "pic_sclk2" LOC = P23; +NET "pic_sdi1" LOC = P10; +NET "pic_sdi2" LOC = P17; +NET "pic_sdo1" LOC = P12; +NET "pic_sdo2" LOC = P22; +NET "pic_spare[0]" LOC = P29; +NET "pic_spare[1]" LOC = P27; +NET "pic_spare[2]" LOC = P26; +NET "pic_spare[3]" LOC = P24; +NET "pic_ssn1" LOC = P11; +NET "pic_ssn2" LOC = P21; +NET "pic_tx1" LOC = P34; +NET "pic_tx2" LOC = P1; +NET "power_rstn" LOC = P50; +NET "prog_b" LOC = P40; +NET "rst_fpgan" LOC = P84; +NET "rst_n" LOC = P85; +NET "spare[0]" LOC = P119; +NET "spare[1]" LOC = P118; +NET "spare[2]" LOC = P117; +NET "spare[3]" LOC = P116; +NET "spare[4]" LOC = P115; +NET "spare[5]" LOC = P114; +NET "spare[6]" LOC = P112; +NET "spare[7]" LOC = P111; +NET "tp10" LOC = P126; +NET "tp11" LOC = P123; +NET "tp9" LOC = P82; +NET "wp_flashn" LOC = P80; + +#----------------------------- +# Definition des niveaux électriques +#----------------------------- +NET "cclk" IOSTANDARD = LVCMOS33; +NET "cde_diag1" IOSTANDARD = LVCMOS33; +NET "cde_diag2" IOSTANDARD = LVCMOS33; +NET "cdehigh_5vid" IOSTANDARD = LVCMOS33; +NET "cdehigh_5vls1" IOSTANDARD = LVCMOS33; +NET "cdehigh_5vls2" IOSTANDARD = LVCMOS33; +NET "cdelow_5vid" IOSTANDARD = LVCMOS33; +NET "cdelow_5vls1" IOSTANDARD = LVCMOS33; +NET "cdelow_5vls2" IOSTANDARD = LVCMOS33; +NET "clk_24" IOSTANDARD = LVCMOS33; +NET "cso_b" IOSTANDARD = LVCMOS33; +NET "din_miso" IOSTANDARD = LVCMOS33; +NET "led_confok" IOSTANDARD = LVCMOS33; +NET "led_fail" IOSTANDARD = LVCMOS33; +NET "led_run" IOSTANDARD = LVCMOS33; +NET "ls485_de1" IOSTANDARD = LVCMOS33; +NET "ls485_de2" IOSTANDARD = LVCMOS33; +NET "ls485_ren1" IOSTANDARD = LVCMOS33; +NET "ls485_ren2" IOSTANDARD = LVCMOS33; +NET "ls485_rx1" IOSTANDARD = LVCMOS33; +NET "ls485_rx2" IOSTANDARD = LVCMOS33; +NET "ls485_tx1" IOSTANDARD = LVCMOS33; +NET "ls485_tx2" IOSTANDARD = LVCMOS33; +NET "mosi" IOSTANDARD = LVCMOS33; +NET "pic_rx1" IOSTANDARD = LVCMOS33; +NET "pic_sclk1" IOSTANDARD = LVCMOS33; +NET "pic_sdi1" IOSTANDARD = LVCMOS33; +NET "pic_sdo1" IOSTANDARD = LVCMOS33; +NET "pic_rx2" IOSTANDARD = LVCMOS33; +NET "pic_sclk2" IOSTANDARD = LVCMOS33; +NET "pic_sdi2" IOSTANDARD = LVCMOS33; +NET "pic_sdo2" IOSTANDARD = LVCMOS33; +NET "pic_spare[0]" IOSTANDARD = LVCMOS33; +NET "pic_spare[1]" IOSTANDARD = LVCMOS33; +NET "pic_spare[2]" IOSTANDARD = LVCMOS33; +NET "pic_spare[3]" IOSTANDARD = LVCMOS33; +NET "pic_ssn1" IOSTANDARD = LVCMOS33; +NET "pic_tx1" IOSTANDARD = LVCMOS33; +NET "pic_ssn2" IOSTANDARD = LVCMOS33; +NET "pic_tx2" IOSTANDARD = LVCMOS33; +NET "power_rstn" IOSTANDARD = LVCMOS33; +NET "prog_b" IOSTANDARD = LVCMOS33; +NET "rst_fpgan" IOSTANDARD = LVCMOS33; +NET "rst_n" IOSTANDARD = LVCMOS33; +NET "spare[0]" IOSTANDARD = LVCMOS33; +NET "spare[1]" IOSTANDARD = LVCMOS33; +NET "spare[2]" IOSTANDARD = LVCMOS33; +NET "spare[3]" IOSTANDARD = LVCMOS33; +NET "spare[4]" IOSTANDARD = LVCMOS33; +NET "spare[5]" IOSTANDARD = LVCMOS33; +NET "spare[6]" IOSTANDARD = LVCMOS33; +NET "spare[7]" IOSTANDARD = LVCMOS33; +NET "tp11" IOSTANDARD = LVCMOS33; +NET "tp10" IOSTANDARD = LVCMOS33; +NET "tp9" IOSTANDARD = LVCMOS33; +NET "wp_flashn" IOSTANDARD = LVCMOS33; Index: saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.vhd =================================================================== --- saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.vhd (nonexistent) +++ saturn/tags/Release FPGA MIOSIL4 v1.0/fpga_miosil4/top_miosil4.vhd (revision 6) @@ -0,0 +1,674 @@ +--============================================================================= +-- TITRE : TOP_MIOSIL4 +-- DESCRIPTION : +-- Module TOP du FPGA MIO SIL4 +-- +-- FICHIER : top_miosil4.vhd +--============================================================================= +-- CREATION +-- DATE AUTEUR PROJET REVISION +-- 11/08/2015 DRA SATURN V1.0 +--============================================================================= + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +LIBRARY UNISIM; +USE UNISIM.VComponents.ALL; + +ENTITY top_miosil4 IS + GENERIC ( + reg_version : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"10" -- Version du firmware + ); + PORT ( + -- Ports système + clk_24 : IN STD_LOGIC; -- Clock principale à 24MHz + rst_n : IN STD_LOGIC; -- Reset principal de la carte + rst_fpgan : IN STD_LOGIC; -- Reset issu du PIC32 + led_confok : OUT STD_LOGIC; -- Pilotage de la led rouge + led_run : OUT STD_LOGIC; -- Pilotage de la 1ère LED verte + led_fail : OUT STD_LOGIC; -- Pilotage de la 2ème LED verte + power_rstn : IN STD_LOGIC; -- Indique que l'alim 3.3V du PIC est coupée + + -- Interfaces ports séries + ls485_de1 : OUT STD_LOGIC; -- Signal d'autorisation à émettre de la LS1 + ls485_ren1 : OUT STD_LOGIC; -- Signal d'autorisation à émettre de la LS1 + ls485_rx1 : IN STD_LOGIC; -- Signal de réception de la LS1 + ls485_tx1 : OUT STD_LOGIC; -- Signal d'émission de la LS1 + + ls485_de2 : OUT STD_LOGIC; -- Signal d'autorisation à émettre de la LS2 + ls485_ren2 : OUT STD_LOGIC; -- Signal d'autorisation à émettre de la LS2 + ls485_rx2 : IN STD_LOGIC; -- Signal de réception de la LS2 + ls485_tx2 : OUT STD_LOGIC; -- Signal d'émission de la LS2 + + -- Interface SPI (Interface PIC 1) + pic_sclk1 : IN STD_LOGIC; + pic_sdi1 : OUT STD_LOGIC; + pic_sdo1 : IN STD_LOGIC; + pic_ssn1 : IN STD_LOGIC; + + -- Interface SPI (Interface PIC 2) + pic_sclk2 : IN STD_LOGIC; + pic_sdi2 : OUT STD_LOGIC; + pic_sdo2 : IN STD_LOGIC; + pic_ssn2 : IN STD_LOGIC; + + -- Interface de pilotage des Alim isolées + cdehigh_5vid : OUT STD_LOGIC; + cdelow_5vid : OUT STD_LOGIC; + cdehigh_5vls1 : OUT STD_LOGIC; + cdelow_5vls1 : OUT STD_LOGIC; + cdehigh_5vls2 : OUT STD_LOGIC; + cdelow_5vls2 : OUT STD_LOGIC; + + -- Interface SPI (programmation de la flash de configuration) + wp_flashn : OUT STD_LOGIC; -- Autorisation d'écriture dans la flash SPI + cclk : OUT STD_LOGIC; -- Horloge d'accès à la flash SPI + din_miso : IN STD_LOGIC; -- Data série en lecture SPI + mosi : OUT STD_LOGIC; -- Data série en écriture SPI + cso_b : OUT STD_LOGIC; -- Chip select SPI + + -- Spare et RFU + cde_diag1 : IN STD_LOGIC; + cde_diag2 : IN STD_LOGIC; + pic_tx1 : IN STD_LOGIC; + pic_rx1 : OUT STD_LOGIC; + pic_tx2 : IN STD_LOGIC; + pic_rx2 : OUT STD_LOGIC; + pic_spare : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + prog_b : IN STD_LOGIC; + tp9 : OUT STD_LOGIC; + tp10 : OUT STD_LOGIC; + tp11 : OUT STD_LOGIC; + spare : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) + ); +END top_miosil4; + +ARCHITECTURE rtl of top_miosil4 is + -- signaux utilisés pour les fonctions systèmes + SIGNAL clk_sys : STD_LOGIC:= '0'; -- Horloge système = 2 x clk_24 + SIGNAL clk_48 : STD_LOGIC:= '0'; -- Pour récupérer l'horloge CLKx2 à la sortie du DCM + SIGNAL clk_96 : STD_LOGIC:= '0'; -- Pour récupérer l'horloge CLKFX à la sortie du DCM + SIGNAL clk_dna : STD_LOGIC:= '0'; -- Horloge à 1 MHz pour lire le DNA + SIGNAL rst_dcm : STD_LOGIC:= '0'; -- Signal de reset du DCM + SIGNAL rstdna_n : STD_LOGIC:= '0'; -- reset resynchronisé sur clk_dna + SIGNAL rst96_n : STD_LOGIC:= '0'; -- reset resynchronisé sur clk96 + SIGNAL dcm_locked : STD_LOGIC:= '0'; -- Signal de lock du DCM + SIGNAL cpt_blink : STD_LOGIC_VECTOR(23 DOWNTO 0); -- Compteur pour le blink de la led run + SIGNAL iid : STD_LOGIC_VECTOR(63 DOWNTO 0); -- Valeur DNA du FPGA + SIGNAL iid_rdy : STD_LOGIC; -- Indique que le IID a été récupéré + + -- Signaux d'interface entre le module de communication et le module SPI PIC + SIGNAL tid : STD_LOGIC_VECTOR(7 DOWNTO 0); -- TID que la COM doit utiliser pour ce MIO + SIGNAL cpy1 : STD_LOGIC; -- Autorise la copie du port 1 sur le port 2 + SIGNAL cpy2 : STD_LOGIC; -- Autorise la copie du port 2 sur le port 1 + SIGNAL repli : STD_LOGIC; -- Indique que le MIO est en mode repli + -- Interface de gestion des données applicatives reçues sur le port 1, côté µC1 + SIGNAL l7_rx1_uc1 : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Dbus de onnées extraites de la trame + SIGNAL l7_soc1_uc1 : STD_LOGIC; -- Indique un début de trame + SIGNAL l7_rd1_uc1 : STD_LOGIC; -- Demande une donnée applicative de plus + SIGNAL l7_comdispo1_uc1: STD_LOGIC; -- Indique que des données applicatives sont en attentes + SIGNAL l7_newframe1_uc1: STD_LOGIC; -- Indique la réception d'une nouvelle trame + SIGNAL l7_l2ok1_uc1: STD_LOGIC; -- Indique que la trame reçu est correcte (format + CRC) + SIGNAL l7_overflow1_uc1: STD_LOGIC; -- Indique que la mémoire de stockage a débordé + SIGNAL activity1 : STD_LOGIC; -- Indique du trafic sur le port 1 + -- Interface de gestion des données applicatives reçues sur le port 2, côté µC1 + SIGNAL l7_rx2_uc1 : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Idem + SIGNAL l7_soc2_uc1 : STD_LOGIC; + SIGNAL l7_rd2_uc1 : STD_LOGIC; + SIGNAL l7_comdispo2_uc1: STD_LOGIC; + SIGNAL l7_newframe2_uc1: STD_LOGIC; + SIGNAL l7_l2ok2_uc1: STD_LOGIC; + SIGNAL l7_overflow2_uc1: STD_LOGIC; + SIGNAL activity2 : STD_LOGIC; + -- Interface de gestion des données applicatives reçues sur le port 1, côté µC2 + SIGNAL l7_rx1_uc2 : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Dbus de onnées extraites de la trame + SIGNAL l7_soc1_uc2 : STD_LOGIC; -- Indique un début de trame + SIGNAL l7_rd1_uc2 : STD_LOGIC; -- Demande une donnée applicative de plus + SIGNAL l7_comdispo1_uc2: STD_LOGIC; -- Indique que des données applicatives sont en attentes + SIGNAL l7_newframe1_uc2: STD_LOGIC; -- Indique la réception d'une nouvelle trame + SIGNAL l7_l2ok1_uc2: STD_LOGIC; -- Indique que la trame reçu est correcte (format + CRC) + SIGNAL l7_overflow1_uc2: STD_LOGIC; -- Indique que la mémoire de stockage a débordé + -- Interface de gestion des données applicatives reçues sur le port 2, côté µC2 + SIGNAL l7_rx2_uc2 : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Idem + SIGNAL l7_soc2_uc2 : STD_LOGIC; + SIGNAL l7_rd2_uc2 : STD_LOGIC; + SIGNAL l7_comdispo2_uc2: STD_LOGIC; + SIGNAL l7_newframe2_uc2: STD_LOGIC; + SIGNAL l7_l2ok2_uc2: STD_LOGIC; + SIGNAL l7_overflow2_uc2: STD_LOGIC; + -- Interface de gestion des données applicatives à transmettre coté µC1 + SIGNAL tx_dat_uc1 : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Bis de données applicatives + SIGNAL val_txdat_uc1: STD_LOGIC; -- Indique une donnée valide sur tx_dat + SIGNAL tx_sof_uc1 : STD_LOGIC; -- Indique un début de trame à transmettre + SIGNAL tx_eof_uc1 : STD_LOGIC; -- Indique une fin de trame à transmettre + SIGNAL clr_fifo_tx_uc1: STD_LOGIC; -- Permet d'effacer la FIFO d'emission + SIGNAL txdat_free : STD_LOGIC; -- Indique que le module transport en tx est dispo + -- Interface de gestion des données applicatives à transmettre coté µC2 + SIGNAL tx_dat_uc2 : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Bis de données applicatives + SIGNAL val_txdat_uc2: STD_LOGIC; -- Indique une donnée valide sur tx_dat + SIGNAL tx_sof_uc2 : STD_LOGIC; -- Indique un début de trame à transmettre + SIGNAL tx_eof_uc2 : STD_LOGIC; -- Indique une fin de trame à transmettre + SIGNAL clr_fifo_tx_uc2: STD_LOGIC; -- Permet d'effacer la FIFO d'emission + -- Signaux de gestion des interfaces SPI + SIGNAL sclk1 : STD_LOGIC; + SIGNAL sdi1 : STD_LOGIC; + SIGNAL sdo1 : STD_LOGIC; + SIGNAL ssn1 : STD_LOGIC; + SIGNAL sclk2 : STD_LOGIC; + SIGNAL sdi2 : STD_LOGIC; + SIGNAL sdo2 : STD_LOGIC; + SIGNAL ssn2 : STD_LOGIC; + + -- Signaux de gestion du module d'accès à la PROM de configuration FPGA + SIGNAL txprom_dat : STD_LOGIC_VECTOR(7 downto 0); -- Donnée/commande à écrire + SIGNAL txprom_val : STD_LOGIC; -- Indique une donnée disponible sur txprom_dat + SIGNAL rxprom_dat : STD_LOGIC_VECTOR(7 downto 0); -- Donnée lue dans la PROM + SIGNAL rxprom_val : STD_LOGIC; -- Indique une donnée disponible sur rxprom_dat + SIGNAL rxprom_next : STD_LOGIC; -- Demande une dnouvelle donnée sur rxprom_dat + SIGNAL prom_type_com: STD_LOGIC; -- Définit le type de commande à exécuter (R ou W) + SIGNAL prom_exec_com: STD_LOGIC; -- Lance l'exécution d'une commande d'accès à la PROM + SIGNAL prom_busy : STD_LOGIC; -- Indique que le module est occupé + SIGNAL prom_nbread : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Nombre d 'octets à lire avec une commande de lecture + SIGNAL prom_rstn : STD_LOGIC; -- reset du module d'accès à la PROM + + -- Pilotage des Alims (PWM) + SIGNAL cpt_cde : STD_LOGIC_VECTOR(5 DOWNTO 0); -- Compteur pour générer les pulses de commande des alims isolées + SIGNAL toggle_cde : STD_LOGIC; -- Pour savoir quelle voie de la commadne alim est active + SIGNAL cde_high : STD_LOGIC; -- Signal de pilotage MOSFET + SIGNAL cde_low : STD_LOGIC; -- Signal de piltoage MOSFET + + COMPONENT communication_sil4 + PORT ( + clk_sys : IN STD_LOGIC; + rst_n : IN STD_LOGIC; + ad_mio : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + rx1 : IN STD_LOGIC; + tx1 : OUT STD_LOGIC; + rx2 : IN STD_LOGIC; + tx2 : OUT STD_LOGIC; + copy_ena1 : IN STD_LOGIC; + copy_ena2 : IN STD_LOGIC; + layer7_rx1_uc1 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + layer7_soc1_uc1 : OUT STD_LOGIC; + layer7_rd1_uc1 : IN STD_LOGIC; + layer7_newframe1_uc1 : OUT STD_LOGIC; + layer7_comdispo1_uc1 : OUT STD_LOGIC; + layer7_l2ok1_uc1 : OUT STD_LOGIC; + layer7_overflow1_uc1 : OUT STD_LOGIC; + activity1 : OUT STD_LOGIC; + layer7_rx2_uc1 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + layer7_soc2_uc1 : OUT STD_LOGIC; + layer7_rd2_uc1 : IN STD_LOGIC; + layer7_newframe2_uc1 : OUT STD_LOGIC; + layer7_comdispo2_uc1 : OUT STD_LOGIC; + layer7_l2ok2_uc1 : OUT STD_LOGIC; + layer7_overflow2_uc1 : OUT STD_LOGIC; + activity2 : OUT STD_LOGIC; + layer7_rx1_uc2 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + layer7_soc1_uc2 : OUT STD_LOGIC; + layer7_rd1_uc2 : IN STD_LOGIC; + layer7_newframe1_uc2 : OUT STD_LOGIC; + layer7_comdispo1_uc2 : OUT STD_LOGIC; + layer7_l2ok1_uc2 : OUT STD_LOGIC; + layer7_overflow1_uc2 : OUT STD_LOGIC; + layer7_rx2_uc2 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + layer7_soc2_uc2 : OUT STD_LOGIC; + layer7_rd2_uc2 : IN STD_LOGIC; + layer7_newframe2_uc2 : OUT STD_LOGIC; + layer7_comdispo2_uc2 : OUT STD_LOGIC; + layer7_l2ok2_uc2 : OUT STD_LOGIC; + layer7_overflow2_uc2 : OUT STD_LOGIC; + txdat_free : OUT STD_LOGIC; + tx_dat_uc1 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + val_txdat_uc1 : IN STD_LOGIC; + tx_sof_uc1 : IN STD_LOGIC; + tx_eof_uc1 : IN STD_LOGIC; + clr_fifo_tx_uc1 : IN STD_LOGIC; + tx_dat_uc2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + val_txdat_uc2 : IN STD_LOGIC; + tx_sof_uc2 : IN STD_LOGIC; + tx_eof_uc2 : IN STD_LOGIC; + clr_fifo_tx_uc2 : IN STD_LOGIC + ); + END COMPONENT; + + COMPONENT readmac + GENERIC ( + sim_dna_value : STD_LOGIC_VECTOR(59 DOWNTO 0) := X"023456789ABCDEF"); + PORT ( + clk_sys : IN STD_LOGIC; + rst_n : IN STD_LOGIC; + mac : OUT STD_LOGIC_VECTOR(63 downto 0); + mac_rdy : OUT STD_LOGIC + ); + END COMPONENT; + + COMPONENT if_picspi + GENERIC ( + version : STD_LOGIC_VECTOR(7 DOWNTO 0); + pic_num : INTEGER := 1); + PORT ( + clk_sys : IN STD_LOGIC; + rst_n : IN STD_LOGIC; + sclk : IN STD_LOGIC; + sdi : IN STD_LOGIC; + sdo : OUT STD_LOGIC; + ssn : IN STD_LOGIC; + iid : IN STD_LOGIC_VECTOR(63 DOWNTO 0); + tid : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + cpy1 : OUT STD_LOGIC; + cpy2 : OUT STD_LOGIC; + repli : OUT STD_LOGIC; + l7_rx1 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + l7_soc1 : IN STD_LOGIC; + l7_rd1 : OUT STD_LOGIC; + l7_comdispo1 : IN STD_LOGIC; + l7_newframe1 : IN STD_LOGIC; + l7_l2ok1 : IN STD_LOGIC; + l7_overflow1 : IN STD_LOGIC; + activity1 : IN STD_LOGIC; + l7_rx2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + l7_soc2 : IN STD_LOGIC; + l7_rd2 : OUT STD_LOGIC; + l7_comdispo2 : IN STD_LOGIC; + l7_newframe2 : IN STD_LOGIC; + l7_l2ok2 : IN STD_LOGIC; + l7_overflow2 : IN STD_LOGIC; + activity2 : IN STD_LOGIC; + tx_dat : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + val_txdat : OUT STD_LOGIC; + tx_sof : OUT STD_LOGIC; + tx_eof : OUT STD_LOGIC; + txdat_free : IN STD_LOGIC; + clr_fifo_tx : OUT STD_LOGIC; + txprom_dat : OUT STD_LOGIC_VECTOR(7 downto 0); + txprom_val : OUT STD_LOGIC; + rxprom_dat : IN STD_LOGIC_VECTOR(7 downto 0); + rxprom_val : IN STD_LOGIC; + rxprom_next : OUT STD_LOGIC; + prom_type_com: OUT STD_LOGIC; + prom_exec_com: OUT STD_LOGIC; + prom_busy : IN STD_LOGIC; + prom_nbread : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + prom_rstn : OUT STD_LOGIC + ); + END COMPONENT; + + COMPONENT if_promspi + GENERIC ( + div_rate : INTEGER := 1; + spiclk_freq : INTEGER := 10 + ); + PORT ( + clk_sys : IN STD_LOGIC; + rst_n : IN STD_LOGIC; + spi_csn : OUT STD_LOGIC; + spi_wpn : OUT STD_LOGIC; + spi_sdo : OUT STD_LOGIC; + spi_sdi : IN STD_LOGIC; + spi_clk : OUT STD_LOGIC; + tx_dat : IN STD_LOGIC_VECTOR(7 downto 0); + tx_val : IN STD_LOGIC; + rx_dat : OUT STD_LOGIC_VECTOR(7 downto 0); + rx_val : OUT STD_LOGIC; + rx_next : IN STD_LOGIC; + type_com : IN STD_LOGIC; + exec_com : IN STD_LOGIC; + spi_busy : OUT STD_LOGIC; + nb_read : IN STD_LOGIC_VECTOR(7 DOWNTO 0) + ); + END COMPONENT; + +BEGIN + --------------------------------------------------- + -- Gestion des interfaces système + --------------------------------------------------- + rst_dcm <= NOT(rst_fpgan); -- Le reset généré par le PIC déclenche un reset général + + DCM_SP_inst : DCM_SP + generic map ( + CLKDV_DIVIDE => 16.0, -- CLKDV divide value + -- (1.5,2,2.5,3,3.5,4,4.5,5,5.5,6,6.5,7,7.5,8,9,10,11,12,13,14,15,16). + CLKFX_DIVIDE => 1, -- Divide value on CLKFX outputs - D - (1-32) + CLKFX_MULTIPLY => 4, -- Multiply value on CLKFX outputs - M - (2-32) + CLKIN_DIVIDE_BY_2 => FALSE, -- CLKIN divide by two (TRUE/FALSE) + CLKIN_PERIOD => 41.7, -- Input clock period specified in nS + CLKOUT_PHASE_SHIFT => "NONE", -- Output phase shift (NONE, FIXED, VARIABLE) + CLK_FEEDBACK => "2X", -- Feedback source (NONE, 1X, 2X) + DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SYSTEM_SYNCHRNOUS or SOURCE_SYNCHRONOUS + DFS_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value + DLL_FREQUENCY_MODE => "LOW", -- Unsupported - Do not change value + DSS_MODE => "NONE", -- Unsupported - Do not change value + DUTY_CYCLE_CORRECTION => TRUE, -- Unsupported - Do not change value + FACTORY_JF => X"c080", -- Unsupported - Do not change value + PHASE_SHIFT => 0, -- Amount of fixed phase shift (-255 to 255) + STARTUP_WAIT => FALSE -- Delay config DONE until DCM_SP LOCKED (TRUE/FALSE) + ) + port map ( + CLK0 => OPEN, -- 1-bit output: 0 degree clock output + CLK180 => OPEN, -- 1-bit output: 180 degree clock output + CLK270 => OPEN, -- 1-bit output: 270 degree clock output + CLK2X => clk_48, -- 1-bit output: 2X clock frequency clock output + CLK2X180 => OPEN, -- 1-bit output: 2X clock frequency, 180 degree clock output + CLK90 => OPEN, -- 1-bit output: 90 degree clock output + CLKDV => clk_dna, -- 1-bit output: Divided clock output + CLKFX => clk_96, -- 1-bit output: Digital Frequency Synthesizer output (DFS) + CLKFX180 => OPEN, -- 1-bit output: 180 degree CLKFX output + LOCKED => dcm_locked, -- 1-bit output: DCM_SP Lock Output + PSDONE => OPEN, -- 1-bit output: Phase shift done output + STATUS => OPEN, -- 8-bit output: DCM_SP status output + CLKFB => clk_sys, -- 1-bit input: Clock feedback input + CLKIN => clk_24, -- 1-bit input: Clock input + DSSEN => '0', -- 1-bit input: Unsupported, specify to GND. + PSCLK => '0', -- 1-bit input: Phase shift clock input + PSEN => '0', -- 1-bit input: Phase shift enable + PSINCDEC => '0', -- 1-bit input: Phase shift increment/decrement input + RST => rst_dcm -- 1-bit input: Active high reset input + ); + + BUFG_inst : BUFG + port map ( + O => clk_sys, + I => clk_48 + ); + + --Resynhcronisation du signal DCM_LOCKED sur clk_dna + PROCESS (clk_dna, rst_fpgan) + BEGIN + IF (rst_fpgan = '0') THEN + rstdna_n <= '0'; + ELSIF (clk_dna'EVENT AND clk_dna = '1') THEN + rstdna_n <= dcm_locked; + END IF; + END PROCESS; + + --Compteur en free running pour la LED OK à 2Hz + PROCESS (clk_24) + BEGIN + IF (clk_24'EVENT AND clk_24 = '1') THEN + cpt_blink <= cpt_blink + 1; + END IF; + END PROCESS; + + led_fail <= NOT(repli); -- quand repli = '1' on affiche du rouge fixe + led_run <= '1' WHEN (repli = '1') ELSE -- Si repli = '0' on affiche du vert à 2Hz + cpt_blink(cpt_blink'LEFT); -- Période de la led run à 700ms + led_confok <= '0'; -- Dès que le FPGA est configuré on allume la LED verte + + ----------------------------------------------- + -- Instantiation du module de récupération de l'@ IID + ----------------------------------------------- + inst_readiid : readmac + GENERIC MAP( + sim_dna_value => X"123456789ABCDEF") + PORT MAP ( + clk_sys => clk_dna, -- Utilise une horloge à 2MHz MAX + rst_n => rstdna_n, -- Autorisé dès que la DCM est lockée + mac => iid, + mac_rdy => iid_rdy + ); + + --Resynhcronisation sur l'horloge à 96MHz + PROCESS (clk_96, rst_fpgan) + BEGIN + IF (rst_fpgan = '0') THEN + rst96_n <= '0'; + ELSIF (clk_96'EVENT AND clk_96 = '1') THEN + rst96_n <= iid_rdy; + END IF; + END PROCESS; + + ----------------------------------------------- + -- Instantiation du module de communication + ----------------------------------------------- + -- Les 2 drivers RS485 sont toujours autorisés à émettre + ls485_de1 <= '1'; + ls485_de2 <= '1'; + -- Les 2 drivers RS485 sont toujours autorisés à recevoir + ls485_ren1 <= '0'; + ls485_ren2 <= '0'; + + inst_comm : communication_sil4 + PORT MAP ( + clk_sys => clk_96, + rst_n => rst96_n, + ad_mio => tid, + rx1 => ls485_rx1, + tx1 => ls485_tx1, + rx2 => ls485_rx2, + tx2 => ls485_tx2, + copy_ena1 => cpy1, + copy_ena2 => cpy2, + layer7_rx1_uc1 => l7_rx1_uc1, + layer7_soc1_uc1 => l7_soc1_uc1, + layer7_rd1_uc1 => l7_rd1_uc1, + layer7_newframe1_uc1 => l7_newframe1_uc1, + layer7_comdispo1_uc1 => l7_comdispo1_uc1, + layer7_l2ok1_uc1 => l7_l2ok1_uc1, + layer7_overflow1_uc1 => l7_overflow1_uc1, + activity1 => activity1, + layer7_rx2_uc1 => l7_rx2_uc1, + layer7_soc2_uc1 => l7_soc2_uc1, + layer7_rd2_uc1 => l7_rd2_uc1, + layer7_newframe2_uc1 => l7_newframe2_uc1, + layer7_comdispo2_uc1 => l7_comdispo2_uc1, + layer7_l2ok2_uc1 => l7_l2ok2_uc1, + layer7_overflow2_uc1 => l7_overflow2_uc1, + activity2 => activity2, + layer7_rx1_uc2 => l7_rx1_uc2, + layer7_soc1_uc2 => l7_soc1_uc2, + layer7_rd1_uc2 => l7_rd1_uc2, + layer7_newframe1_uc2 => l7_newframe1_uc2, + layer7_comdispo1_uc2 => l7_comdispo1_uc2, + layer7_l2ok1_uc2 => l7_l2ok1_uc2, + layer7_overflow1_uc2 => l7_overflow1_uc2, + layer7_rx2_uc2 => l7_rx2_uc2, + layer7_soc2_uc2 => l7_soc2_uc2, + layer7_rd2_uc2 => l7_rd2_uc2, + layer7_newframe2_uc2 => l7_newframe2_uc2, + layer7_comdispo2_uc2 => l7_comdispo2_uc2, + layer7_l2ok2_uc2 => l7_l2ok2_uc2, + layer7_overflow2_uc2 => l7_overflow2_uc2, + txdat_free => txdat_free, + tx_dat_uc1 => tx_dat_uc1, + val_txdat_uc1 => val_txdat_uc1, + tx_sof_uc1 => tx_sof_uc1, + tx_eof_uc1 => tx_eof_uc1, + clr_fifo_tx_uc1 => clr_fifo_tx_uc1, + tx_dat_uc2 => tx_dat_uc2, + val_txdat_uc2 => val_txdat_uc2, + tx_sof_uc2 => tx_sof_uc2, + tx_eof_uc2 => tx_eof_uc2, + clr_fifo_tx_uc2 => clr_fifo_tx_uc2 + ); + + ----------------------------------------------- + -- Instantiation du module d'interface PIC1 + ----------------------------------------------- + inst_pic1 : if_picspi + GENERIC MAP( + version => reg_version, + pic_num => 1) + PORT MAP ( + clk_sys => clk_96, + rst_n => rst96_n, + sclk => sclk1, + sdi => sdi1, + sdo => sdo1, + ssn => ssn1, + iid => iid, + tid => tid, + cpy1 => cpy1, + cpy2 => cpy2, + repli => repli, + l7_rx1 => l7_rx1_uc1, + l7_soc1 => l7_soc1_uc1, + l7_rd1 => l7_rd1_uc1, + l7_comdispo1 => l7_comdispo1_uc1, + l7_newframe1 => l7_newframe1_uc1, + l7_l2ok1 => l7_l2ok1_uc1, + l7_overflow1 => l7_overflow1_uc1, + activity1 => activity1, + l7_rx2 => l7_rx2_uc1, + l7_soc2 => l7_soc2_uc1, + l7_rd2 => l7_rd2_uc1, + l7_comdispo2 => l7_comdispo2_uc1, + l7_newframe2 => l7_newframe2_uc1, + l7_l2ok2 => l7_l2ok2_uc1, + l7_overflow2 => l7_overflow2_uc1, + activity2 => activity2, + tx_dat => tx_dat_uc1, + val_txdat => val_txdat_uc1, + tx_sof => tx_sof_uc1, + tx_eof => tx_eof_uc1, + txdat_free => txdat_free, + clr_fifo_tx => clr_fifo_tx_uc1, + txprom_dat => txprom_dat, + txprom_val => txprom_val, + rxprom_dat => rxprom_dat, + rxprom_val => rxprom_val, + rxprom_next => rxprom_next, + prom_type_com=> prom_type_com, + prom_exec_com=> prom_exec_com, + prom_busy => prom_busy, + prom_nbread => prom_nbread, + prom_rstn => prom_rstn + ); + + ----------------------------------------------- + -- Instantiation du module d'interface PIC2 + ----------------------------------------------- + inst_pic2 : if_picspi + GENERIC MAP( + version => reg_version, + pic_num => 2) + PORT MAP ( + clk_sys => clk_96, + rst_n => rst96_n, + sclk => sclk2, + sdi => sdi2, + sdo => sdo2, + ssn => ssn2, + iid => iid, + tid => OPEN, + cpy1 => OPEN, + cpy2 => OPEN, + repli => OPEN, + l7_rx1 => l7_rx1_uc2, + l7_soc1 => l7_soc1_uc2, + l7_rd1 => l7_rd1_uc2, + l7_comdispo1 => l7_comdispo1_uc2, + l7_newframe1 => l7_newframe1_uc2, + l7_l2ok1 => l7_l2ok1_uc2, + l7_overflow1 => l7_overflow1_uc2, + activity1 => activity1, + l7_rx2 => l7_rx2_uc2, + l7_soc2 => l7_soc2_uc2, + l7_rd2 => l7_rd2_uc2, + l7_comdispo2 => l7_comdispo2_uc2, + l7_newframe2 => l7_newframe2_uc2, + l7_l2ok2 => l7_l2ok2_uc2, + l7_overflow2 => l7_overflow2_uc2, + activity2 => activity2, + tx_dat => tx_dat_uc2, + val_txdat => val_txdat_uc2, + tx_sof => tx_sof_uc2, + tx_eof => tx_eof_uc2, + txdat_free => txdat_free, + clr_fifo_tx => clr_fifo_tx_uc2, + txprom_dat => OPEN, + txprom_val => OPEN, + rxprom_dat => x"00", + rxprom_val => '0', + rxprom_next => OPEN, + prom_type_com=> OPEN, + prom_exec_com=> OPEN, + prom_busy => '0', + prom_nbread => OPEN, + prom_rstn => OPEN + ); + + ----------------------------------------------- + -- Instantiation du module d'interface SPI de programmation de la PROM + ----------------------------------------------- + int_promspi : if_promspi + GENERIC MAP( + div_rate => 3, -- Diviseur de l'horlgoe système + spiclk_freq => 12 -- Fréquence de 'lhorlgoe du SPI + ) + PORT MAP( + clk_sys => clk_96, + rst_n => prom_rstn, + spi_csn => cso_b, + spi_wpn => wp_flashn, + spi_sdo => mosi, + spi_sdi => din_miso, + spi_clk => cclk, + tx_dat => txprom_dat, + tx_val => txprom_val, + rx_dat => rxprom_dat, + rx_val => rxprom_val, + rx_next => rxprom_next, + type_com => prom_type_com, + exec_com => prom_exec_com, + spi_busy => prom_busy, + nb_read => prom_nbread + ); + + --------------------------------------- + -- Compteur en free running pour la génération à 300KHz de la commande des alims isolées des LS + --------------------------------------- + PROCESS (clk_24) -- Process nopn soumis au reset pour disposer des alims tout le temps + BEGIN + IF (clk_24'EVENT AND clk_24 = '1') THEN + IF (cpt_cde = CONV_STD_LOGIC_VECTOR(41, cpt_cde'LENGTH)) THEN + -- A la demi période (i.e = 42 x 40ns), on passe en temsp de repos pour les 2 MOSFET (non recouvrement) + cpt_cde <= (OTHERS => '0'); + cde_high <= '0'; -- Commande directe + cde_low <= '0'; -- Commande à 180° + toggle_cde <= NOT(toggle_cde); + ELSE + cpt_cde <= cpt_cde + 1; + IF (cpt_cde = CONV_STD_LOGIC_VECTOR(0, cpt_cde'LENGTH)) THEN + cde_high <= toggle_cde; -- high et low ne doivent jamais être à '1' en même temps + cde_low <= NOT(toggle_cde); + END IF; + END IF; + END IF; + END PROCESS; + cdehigh_5vid <= cde_high; + cdelow_5vid <= cde_low; + cdehigh_5vls1 <= cde_high; + cdelow_5vls1 <= cde_low; + cdehigh_5vls2 <= cde_high; + cdelow_5vls2 <= cde_low; + + ---------------------------------------- + -- Isolation des signaux vers le PIC quand le 3.3V du PIC est off + ---------------------------------------- + sclk1 <= pic_sclk1; + sdi1 <= pic_sdo1; + pic_sdi1 <= sdo1 WHEN (power_rstn = '1') ELSE 'Z'; + ssn1 <= pic_ssn1; + + -- Coté µC2, pas besoin d'isoler en cas de coupur de l'alim ÏC car FPGA et PIC sont isolés + -- par des ADUM + sclk2 <= pic_sclk2; + sdi2 <= pic_sdo2; + pic_sdi2 <= sdo2; + ssn2 <= pic_ssn2; + ---------------------------------------- + -- Gestion des SPARE et RFU + ---------------------------------------- + pic_rx1<= 'Z'; + pic_rx2<= 'Z'; + tp11 <= '0'; + tp10 <= '0'; + tp9 <= rst_fpgan OR cde_diag1 OR cde_diag2 OR pic_tx1 OR pic_tx2 OR + pic_spare(0) OR pic_spare(1) OR pic_spare(2) OR pic_spare(3) OR prog_b; + spare <= (OTHERS => '0'); + +END rtl; +

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.