URL
https://opencores.org/ocsvn/simple_spi/simple_spi/trunk
Subversion Repositories simple_spi
Compare Revisions
- This comparison shows the changes necessary to convert path
/
- from Rev 9 to Rev 10
- ↔ Reverse comparison
Rev 9 → Rev 10
/tags/initial/rtl/verilog/fifo4.v
File deleted
/tags/initial/rtl/verilog/simple_spi_top.v
File deleted
/trunk/rtl/verilog/simple_spi_top.v
File deleted
/trunk/rtl/verilog/fifo4.v
File deleted
/trunk/doc/src/simple_spi.doc
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
trunk/doc/src/simple_spi.doc
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: trunk/doc/simple_spi.pdf
===================================================================
Cannot display: file marked as a binary type.
svn:mime-type = application/octet-stream
Index: trunk/doc/simple_spi.pdf
===================================================================
--- trunk/doc/simple_spi.pdf (revision 9)
+++ trunk/doc/simple_spi.pdf (nonexistent)
trunk/doc/simple_spi.pdf
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Deleted: svn:mime-type
## -1 +0,0 ##
-application/octet-stream
\ No newline at end of property
Index: trunk/sim/rtl_sim/run/Makefile
===================================================================
--- trunk/sim/rtl_sim/run/Makefile (revision 9)
+++ trunk/sim/rtl_sim/run/Makefile (nonexistent)
@@ -1,124 +0,0 @@
-##########################################################################
-# #
-# Simple SPI controller testsuite #
-# (C) 2004 Richard Herveille richard@asics.ws #
-# #
-# v.0 #
-# #
-##########################################################################
-
-all: sim
-SHELL = /bin/sh
-MS="-s"
-
-##########################################################################
-#
-# DUT Sources
-#
-##########################################################################
-DUT_SRC_DIR=../../../rtl/verilog
-_TARGETS_= $(DUT_SRC_DIR)/fifo4.v \
- $(DUT_SRC_DIR)/simple_spi_top.v
-
-##########################################################################
-#
-# Test Bench Sources
-#
-##########################################################################
-_TOP_=tst_bench_top
-TB_SRC_DIR=../../../bench/verilog
-_TB_= $(TB_SRC_DIR)/tst_bench_top.v \
- $(TB_SRC_DIR)/spi_slave_model.v \
- $(TB_SRC_DIR)/wb_master_model.v
-
-##########################################################################
-#
-# Misc Variables
-#
-##########################################################################
-
-INCDIR="-INCDIR ./$(DUT_SRC_DIR)/ -INCDIR ./$(TB_SRC_DIR)/"
-LOGF=-LOGFILE .nclog
-NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT
-
-##########################################################################
-#
-# Make Targets
-#
-##########################################################################
-simw:
- @$(MAKE) -s sim ACCESS="-ACCESS +r " WAVES="-DEFINE WAVES"
-
-ss:
- signalscan -do waves/waves.do -waves waves/waves.trn &
-
-simxl:
- verilog +incdir+$(DUT_SRC_DIR) +incdir+$(TB_SRC_DIR) \
- $(_TARGETS_) $(_TB_)
-
-sim:
- @echo ""
- @echo "----- Running NCVLOG ... ----------"
- @$(MAKE) $(MS) vlog \
- TARGETS="$(_TARGETS_)" \
- TB="$(_TB_)" \
- INCDIR=$(INCDIR) \
- WAVES="$(WAVES)"
- @echo ""
- @echo "----- Running NCELAB ... ----------"
- @$(MAKE) $(MS) elab \
- ACCESS="$(ACCESS)" TOP=$(_TOP_)
- @echo ""
- @echo "----- Running NCSIM ... ----------"
- @$(MAKE) $(MS) ncsim TOP=$(_TOP_)
- @echo ""
-
-hal:
- @echo ""
- @echo "----- Running HAL ... ----------"
- @hal +incdir+$(DUT_SRC_DIR)/ocidec-1/ \
- -NOP -NOS -nocheck STYVAL:USEPRT:NOBLKN:DLNBLK \
- $(_TARGETS_)
- @echo "----- DONE ... ----------"
-
-clean:
- rm -rf ./waves/*.dsn ./waves/*.trn \
- ncwork/.inc* ncwork/inc* \
- ./verilog.* .nclog hal.log
-
-##########################################################################
-#
-# NCVLOG
-#
-##########################################################################
-
-vhdl:
- ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG \
- -WORK work -V93 $(TARGETS)
-
-vlog:
- ncvlog $(NCCOMMON) $(LOGF) \
- -WORK work $(WAVES) $(TB) $(TARGETS) $(INCDIR)
-
-##########################################################################
-#
-# NCELAB
-#
-##########################################################################
-
-elab:
- ncelab $(NCCOMMON) $(LOGF) -APPEND_LOG \
- -WORK work $(ACCESS) -NOTIMINGCHECKS \
- work.$(TOP)
-
-##########################################################################
-#
-# NCSIM
-#
-##########################################################################
-
-ncsim:
- ncsim $(NCCOMMON) $(LOGF) -APPEND_LOG \
- -EXIT -ERRORMAX 10 work.$(TOP)
-
-
trunk/sim/rtl_sim/run/Makefile
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/sim/rtl_sim/run/ncwork/cds.lib
===================================================================
--- trunk/sim/rtl_sim/run/ncwork/cds.lib (revision 9)
+++ trunk/sim/rtl_sim/run/ncwork/cds.lib (nonexistent)
@@ -1,3 +0,0 @@
-DEFINE work work
-INCLUDE /cds/tools/inca/files/cds.lib
-
trunk/sim/rtl_sim/run/ncwork/cds.lib
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/sim/rtl_sim/run/ncwork/hdl.var
===================================================================
--- trunk/sim/rtl_sim/run/ncwork/hdl.var (revision 9)
+++ trunk/sim/rtl_sim/run/ncwork/hdl.var (nonexistent)
@@ -1,29 +0,0 @@
-#*****************************************************************************
-# NCSIM hdl.var template *
-#*****************************************************************************
-
-#This file allows commonly used tool setups to be invoked automatically.
-#All the switches may be alternatively specifed on the command line.
-
-#reference the tool installation hdl.var - DO NOT REMOVE
-
-INCLUDE $CDS_INST_DIR/tools/inca/files/hdl.var
-
-# These are default settings for NCVLOG, NCVHDL, NCELAB, NCSIM
-# See below for commonly used switches.
-
-DEFINE NCVLOGOPTS -NOCOPYRIGHT -UPDATE
-DEFINE NCVHDLOPTS -NOCOPYRIGHT -UPDATE
-DEFINE NCELABOPTS -NOCOPYRIGHT
-DEFINE NCSIMOPTS -NOCOPYRIGHT -NOKEY -STATUS
-
-#Maps the work library to a logical library.
-#This library will contain the compiled design units
-#Can be overriden on the command line with -work
-DEFINE WORK work
-
-# Define valid Verilog file extensions
-DEFINE VERILOG_SUFFIX (.v, .vr, .vb, .vg)
-
-# Define valid VHDL file extensions
-DEFINE VHDL_SUFFIX (.vhd, .vhdl)
trunk/sim/rtl_sim/run/ncwork/hdl.var
Property changes :
Deleted: svn:executable
## -1 +0,0 ##
-*
\ No newline at end of property
Index: trunk/sim/rtl_sim/run/ncwork/work/inca.linux.135.pak
===================================================================
--- trunk/sim/rtl_sim/run/ncwork/work/inca.linux.135.pak (revision 9)
+++ trunk/sim/rtl_sim/run/ncwork/work/inca.linux.135.pak (nonexistent)
@@ -1,2244 +0,0 @@
-çíïé”ÓµëòìôŽÈÀûÖÊÆÞÌõÆÃÉËÞüœÂÙÙЖԔ×ÕÓËÇîðñöê³µ³Â— 9ª¤[—ÊmpjDk pX¸ {U"M?Tz!Bncp/B-I,@n#
-#U9V1r\0Y7B:%#
-{||qkccN
-IV]]|]s\,^1[>])Zu.Mbx:H
kv2Q5Fhm.! C'Tz<0x
fuTuuX_XCCbCmB2@/E C7Dk0S|z9O*X1]2UtU{UzTzU{UzTzU{Uz|?I,^7[4SrSS:k4G+J